JP2007529100A - 製造性能を最適化するための集積回路設計 - Google Patents

製造性能を最適化するための集積回路設計 Download PDF

Info

Publication number
JP2007529100A
JP2007529100A JP2005509541A JP2005509541A JP2007529100A JP 2007529100 A JP2007529100 A JP 2007529100A JP 2005509541 A JP2005509541 A JP 2005509541A JP 2005509541 A JP2005509541 A JP 2005509541A JP 2007529100 A JP2007529100 A JP 2007529100A
Authority
JP
Japan
Prior art keywords
design
library
manufacturing performance
manufacturing
design element
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2005509541A
Other languages
English (en)
Inventor
グアルディアーニ,カルロ
ドラゴーネ,ニコラ
キバリアン,ジョン
マラヴァシ,エンリコ
ラドシック,ラティボー
ストロシャス,アンジェイ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
PDF Solutions Inc
Original Assignee
PDF Solutions Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by PDF Solutions Inc filed Critical PDF Solutions Inc
Publication of JP2007529100A publication Critical patent/JP2007529100A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/18Manufacturability analysis or optimisation for manufacturability
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

特定の製造プロセスを用いて製造されるICチップを設計するのに用いられる製造性能を求めるためにライブラリ設計エレメント(102)が分析される。ライブラリからライブラリ設計エレメントが得られる。歩留まり属性を含む、特定の製造プロセスのためのライブラリ設計エレメントの製造性能属性(104)が、決定される。次いで、ライブラリ設計エレメント用の製造性能属性を有するライブラリ・ビュー(106)が生成され、それが電子設計自動化(EDA)ツールによって利用される。

Description

本発明は、集積回路設計に関し、特に、製造性能または製造適性(manufacturability:製造可能性、製造適合性)を最適化するように集積回路を設計することに関する。
関連技術
集積回路(IC)チップの設計は、種々のサイズおよび複雑さを有する知的財産(IP)要素ともいわれる個々の(discrete)設計エレメント(element、要素、素子)からなる。最も小さいエレメントは、一般的に標準セルと呼ばれる。複数のエレメントからなるより大きい各アセンブリ(assembly、組立体)を相互接続して、一般的にブロックと呼ばれる完全な機能を形成することができる。複数のブロックを相互接続して、作製、製造または組み立てる(fabricate)べきICチップを作成することができる。
所与の製造プロセス(方法、工程)用のICチップの設計について、設計者に所与のICチップを設計させ最適化させることを可能にする種々の機能および性能(performance)の選択肢を与えつつ、特定の製造プロセスと整合するそのような複数のセルまたはブロックの1つのアセンブリ(組立体)を製造する必要がある。そのようなセルまたはブロックのアセンブリは、特定の製造プロセス用に作成(創作)されたセルまたはブロックの特性(characteristics)の詳細な記述(description:説明、明細書)とともに、一般的にライブラリ(library)と呼ばれる。特定の製造技術用に創作された1つのライブラリにおける種々の設計エレメント(要素、素子)/コンポーネント(部材)によって、設計システムは、効率化され最適化されたICチップを製作することができる。ライブラリ設計エレメント(セルおよびブロック)は、チップ設計におけるその(エレメントの)使用に関連する相異なる様々な特性を含む専用のデータ表現の形に編成される。そのような特性を含む1つのライブラリ設計エレメントの特殊なデータ表現はビュー(view:図)と呼ばれる。
ライブラリの様々な特性(properties)を作成し特徴付ける(characterize:特徴を判別する)ための通常の設計フローでは、テスト・チップが設計されて製造設備において処理されて、そのライブラリの設計および作成を可能にする(allow for)情報が得られる。テスト・チップは、代表的なデバイス(装置)および相互接続の形状配置(geometries)のアレイ(配列)を含んでおり、そのデバイスおよび配置を分析して、ライブラリ設計エレメントの特徴付けにおいて用いられる例えばSPICEのような電子レベルのシミュレータによって使用されるのに適したデバイス・モデルが形成され、それに対応するライブラリ設計エレメントの性能(performance)ビューが形成される。また、テスト・チップを分析して、そのライブラリ設計エレメントの設計において利用される設計ルール(規則)が形成される。ライブラリ設計エレメントのレイアウトは、ライブラリ・ビュー、例えばライブラリ設計エレメントのフット・プリント(足跡)情報を含むライブラリ・ビューの形で記述される。また、テスト・チップを分析して設計キット(kit)が作成される。その設計キットは、IC設計用のユーザ・インタフェースを提供し、SPICEモデル、設計ルール、および、それらルールに適合する自動検査(チェック)用の対応ツール(道具)を含んでいる。
テスト・チップは、通常の設計システムに用いられるが、そのライブラリおよびその製品ICの組み立てに用いられる受動または能動コンポーネント(素子、部材)の製造性能の評価(アセスメント)または予測を行うために設計された包括的な(comprehensive:完全な)構造体を含んでいるものではない。従って、その結果、既存の設計システムによって作成されたライブラリ設計エレメントは、その製造性能の予測に関して充分には評価されなかった。
設計キットを利用して作成された各セルは、例えばGDSIIのようなコンピュータ読み取り可能なフォーマットを用いて表される。1つのライブラリには各セル設計の多数の相異なる様々な表現(representation)が存在し、各表現は1つのセル・ビューとして知られている。幾つかのセル・ビューは、他のもの(ビュー)から導出される。例えば、全ての各セルのタイミング・ビューは、ライブラリ・タイミング特徴付けと呼ばれるプロセスを介してSPICEモデルおよびGDSIIビューから作成される。LEFは、ルータ(経路指定手段)によって要求される特性を記述しかつフット・プリントおよびポート位置情報を含むライブラリ・ビューの一例である。
典型例の1つのライブラリは500個のオーダ(order)のセルを含んでいる。しかし、ライブラリ・セルのアセンブリ内には、所与の1つの論理機能用に複数のレイアウト表現が存在する。これらの“変形”(variants)は、特定用途(specific application)用に選択され最適化され得る相異なる様々な性能特性(performance characteristics)を与える。例えば、同じ論理機能に対する、高性能、大電力、低密度のもの、または、低性能、小電力、高密度のもの、の複数のオプション(任意選択肢)は、典型的には、そのライブラリに含まれている変形バージョン(版、形態)に利用可能である。しかし、いずれのライブラリ・ビューも製造性能属性(attributes)を含んでいないので、現在の技術で作成された変形は、特定の製造性能に関連するファクタ(要因)に関する選択肢を提供しない。また、典型的なライブラリ・ビューを用いた現在の商用ソフトウェア・アプリケーションは、そのライブラリにおける任意の設計エレメントの製造性能特性を抽出したりまたは用いたりすることができない。
統合法(synthesis)の手順では、ICの機能の高レベルの(高級)ハードウェア記述が、複数の基本2進演算器および複数の論理アレイにマップ(写像)されて(論理分解(logic decomposition))、中立論理(uncommitted logic)と呼ばれる表現が生成される。中立論理は、物理的ライブラリ・セルまたはブロックを用いて、しばしばゲート・レベルのネットリストと呼ばれる特定論理接続性図(specific logic connectivity diagram)にマップされる。ブロック配置およびルーティング(ルート)のステップはブロック・レベルのレイアウトを生成する。そのブロック・レベルのレイアウトは、選択された標準セルと、全てのエレメントを接続するためのルーティング・レベルでの接続と、で構成されているものである。そのレイアウトは、例えばGDSII等の種々のフォーマットで表現される。最終の検証ステップによって、全ての設計制約条件(constraints)が満たされていることが保証される。その他の一般的な現在のプラクティス(実務)では、1つのソフトウェア・アプリケーションによって高レベルのハードウェア記述とブロック・レベルのレイアウトとの間に2つ以上のステップが同時に実行される。このタイプの方法(アプローチ)を用いた設計フローは、しばしば、“物理的統合”(physical synthesis)フローと称される。
これらの設計フローにおいて、ライブラリ設計エレメントの収集物または選択物(コレクション)は、例えば速度、電力および面積の考慮項目のようなメトリック(metrics:測定基準、計量)の最適化に限定された特定設計制約条件によって決定される。実質的な製造性能メトリックを扱うこと(address:に対処すること)はできないが、幾つかの面積ベースの製造性能モデルを用いてチップ・コストが間接的に推定される。
発明の概要
特定の製造プロセスを用いて製造すべきICチップの設計において用いられる製造性能または製造性能適性について(製造性能を得るために)ライブラリ設計エレメントが分析される。そのライブラリ設計エレメントはライブラリから取得される。その特定の製造プロセスについてそのライブラリ設計エレメントの製造性能属性が決定される。その製造性能属性は歩留まりに関係する属性を含んでいる。そのライブラリ設計エレメントについての製造性能属性を有するライブラリ・ビューが生成され、それが電子的設計自動化(EDA)ツール(electronic design automation tool)によって利用される。
本発明は、添付の図面を参照する以下の説明によって最も良く理解できる。図面において、同様の部分には同じ参照番号が付されている。
以下の詳細な説明では、具体的な多数の構成、パラメータ等を挙げる。しかし、そのような説明は、発明の範囲を限定することを意図したものではなく、典型例の実施形態の説明である。
上述のように、設計エレメントのライブラリは、典型的にはICチップを設計するのに用いられる。そのライブラリは、そのライブラリ設計エレメントの性能(performance)関連の属性を含めてそのライブラリ設計エレメントの全ての必要なビュー(図)を含んでいる。しかし、通常のライブラリは、例えば1ウェハ当たりの良好なダイの数(GDW、the number of dies per wafer)を予測できる歩留まり関連の属性を含む製造性能属性を、ライブラリ・ビューに提供する(与える)ことはない。また、製造性能は、例えば欠陥(defects)、プリント適性(printability)および信頼性(reliability)等のような種々のIC特性を含んでいる、と認識すべきである。製造性能は、究極的(最終的)には、設計の収益性または採算性を決定する。
1つの典型例の実施形態では、ライブラリ設計エレメントを分析してライブラリ設計エレメントの製造性能属性を決定する。次いで、性能(performance)属性に加えて、製造性能(manufacturability)属性を含むようにライブラリ・エレメント用のライブラリ・ビューが生成される。設計フローにおいて製造性能属性を有する(とともに)ライブラリ・ビューを用いて、所与のプロセスについて高い(増大された)製造性能でICを設計することができる。
図1を参照すると、典型例の設計フロー100が示されている。ステップ(ブロック)102において、ライブラリ設計エレメントが取得される。ステップ104において、そのライブラリ・エレメントについて歩留まり関連の属性(yield-related attributes)を含む製造性能属性が決定される。ステップ112において、そのライブラリ設計エレメントの変形(variants)が生成され、その変形は、そのライブラリ設計エレメントとは異なる製造性能属性を有する。ステップ106において、そのライブラリ設計エレメントおよび変形の製造性能属性のコンピュータ読み取り可能なフォーマットのライブラリ・ビューが生成される。ステップ108において、レイアウトの製造性能推定(estimate、予測、見積り)が生成される。ステップ110において、IC設計用の最適な設計エレメントが選択される。
I.製造性能属性を有するビューの生成
1つの典型例の実施形態において、テスト・チップは、現在の設計ルールおよび所与の目標(ターゲット)製造性能モデルを考慮して、特定の作製(製造)設備(fabrication facility)および/または製造プロセス用に設計されている。テスト・チップは、現在のライブラリ設計エレメント内に含まれる複数のレイアウト・フィーチャ(feature:特徴、機能)の1つの表現を含んでいる。そのテスト・チップから抽出されたデータは、現在の製造プロセスのランダム歩留まりおよびシステム的な歩留まりのファクタ(要因)を含んでいる。ランダム歩留まりおよびシステム的な歩留まりを決定するのに用いることができるテスト・チップのより詳細な説明については、2002年9月10日発行の米国特許第6,449,749号、名称“SYSTEM AND METHOD FOR PRODUCT YIELD PREDICTION”(製品歩留まり予測用のシステムおよび方法)を参照されたい。ここで、この文献全体を参照して組み込む。
米国特許第6,449,749号
図2を参照すると、ライブラリ設計エレメント用の製造性能属性を決定するための典型例のプロセス200が示されている。ステップ202において、テスト・チップ用のマスク・セット(複数の組のマスク)が生成される。ステップ204において、ICの製造に用いられる製造プロセスにおいてそのマスク・セットが用いられる。ステップ206において、その製造プロセスにおいてそのマスク・セットを用いてテスト・チップが製造される。ステップ208において、分析(解析)ツールを用いてその製造されたテスト・チップを分析(解析)して、製造プロセスの製造性能属性およびライブラリ設計エレメントの製造性能属性が決定される。
次いで、そのテスト・チップから決定された製造性能属性を利用して、例えばYRSおよびOptissimo等のような、種々のシミュレータ・ソフトウェア・ツールを較正(calibrate)する。ライブラリ設計エレメントの製造性能のシミュレーションの結果は、レイアウトの制限された歩留まり(LY)、製造リスク・ファクタ(要因)(MRF)、プロセス・ウィンドウの定量的記述、およびLYとMRFの間の関係を含む、多数の製造性能属性を含んでいる。製造シミュレーションの結果は、電子設計自動化(EDA)ツールによって利用できるライブラリ・ビューに要約(summarize)される。
1つの典型例の実施形態において、所与の製造プロセスの履歴的製造特性、現在の(current)製造性能属性、および/または学習率を有する経験に基づいて、プロセス成熟度(maturity)の種々の将来の点(時点)に対して、製造プロセスの製造属性が推定される。次いで、種々の時間フレームに対して所与の設計エレメントの製造性能のシミュレーションが行われ、それは、様々な異なるプロセスの成熟度の予想または射影(projections)に対応するものであり、対応する種々の時間フレームおよび所与のライブラリ設計エレメント用のライブラリ・ビューに表現される。
例えば、図3は、典型例の学習曲線302を示している。図3に示されているように、或る時間期間にわたって、製造プロセスにおいて製造されるICの量(volume:ボリューム、体積)が増大する。従って、量が少ないほど、点306より早い時間期間に対応する学習曲線302上の或る点304における歩留まりがより低くなる。
1つの典型例の実施形態において、代表的なレガシー(遺産)チップ設計および/またはメモリ/論理構成のブロックからなる編集物に基づく統計的設計データと、対応する製造性能データとを利用して、複数のライブラリ設計エレメントを相互接続するのに用いられるルーティングの製造性能と、その複数のライブラリ設計エレメントの性質および論理接続性(nature and logic connectivity)との間の関係を記述する或るモデルが、所与の製造プロセスおよび設計方法について、規定される。この関係は、1つのモデルに含まれ、また、それ(モデル)は各ライブラリ・ビューに含まれる。
それらのライブラリ・ビューはコンピュータ読み取り可能な1つのマトリックスに含められ、そのマトリックスは、種々の時間フレームに対するライブラリ設計エレメントの所与の選択集合体(コレクション)用の種々の製造性能属性を表に(tabulate)したもので、種々の相互接続製造性能モデルを含んでいる。
図4を参照すると、製造性能属性を有するライブラリ設計エレメントのライブラリ・ビューを生成するための典型例のプロセス400が示されている。ステップ402において、IC設計を製造するのに用いられる製造プロセスが特徴付けられる。例えば、ステップ404において、製造プロセスを用いてテスト・チップが製造される。ステップ406、408および410において、テスト・チップを用いてその製造プロセスが特徴付けられて、それぞれ設計ルール、設計キットおよびSPICEモデルが生成される。ステップ412において、ライブラリ・ヴェンダ(供給手段)は、その設計ルール、設計キットおよびSPICEモデルを用いて製造プロセス用の設計エレメントからなる1つのライブラリを生成し、それら(エレメント)がステップ414において特徴付けられる。
ステップ416において、その設計ルール、設計キットおよびSPICEモデルに基づいてセルの標準ライブラリ・ビューが生成される。例えば、タイミング・ビューは、多数のSPICEシミュレーションを実行することによって構築されたセル負荷および入力電圧傾斜(スロープ)の関数としてのそのライブラリにおけるセルの性能特性を記述する。レイアウト・アブストラクト(abstract:概要、抽象、要約)ビューは、ルータによって要求される特性を記述し、フット・プリントおよびポート位置情報を含んでいる。機能(function:関数)ビューは、セルに関連づけられた2進論理機能(関数)を記述している。その他のビューを用いて、セルの電力消費、信号完全性(integrity)、等の、セルの属性が記述される。ビューは、一般的にはEDAベンダ(供給元)のツールに固有のものである。即ち、設計ツールはセル・ビューを読み込んで、そのツールによって実行される操作(オペレーション)に関連するライブラリ・エレメントの特性(properties)が決定されるようにする。また、そのセル・レイアウト・ビューは、例えばGDSIIのようなコンピュータ読み取り可能なフォーマットで記述される。
ステップ418において、テスト・チップを用いて、一連の製造性能パラメータの範囲が決定され、その多くが歩留まり関連のデータの種々の形態で表現される。例えば、ステップ420において、テスト・チップから得られたデータに基づいてランダムおよびシステム的な歩留まりが決定される。さらに、例えばプリント適性メトリック(metrics)、プロセス・マージンおよび信頼性フィーチャのようなその他の製造性能フィーチャも、テスト・チップ・データの分析によって抽出される。ステップ422において、例えば歩留まりランプ・シミュレータ(yield ramp simulator)(YRS)およびOptissimo、等のようなシミュレータ・ソフトウェア・ツールは、歩留まり関連のおよびその他の製造性能データを用いて較正される。
ステップ424において、種々のレイアウト・フィーチャの履歴歩留まりランプ・データがYRSによって用いられて、所与の製造性能の量の関数としてのそのようなフィーチャの時間依存性が較正される。
ステップ426において、製造性能シミュレータを用いてそのライブラリにおける各設計エレメントが分析されてその製造性能属性が記述される。そのシミュレーションの結果は、そのレイアウトの制限された歩留まり(LY)、相対的な定量的形態でレイアウト用のプロセス・ウィンドウを記述するための製造性能リスク・ファクタ(MRF)、時間に対するLYおよびMRF、およびLYと各MRFの間の関係(例えば、重み付けファクタ(係数))を含んでいる。ステップ428において、製造性能属性を有するライブラリ設計エレメントのライブラリ・ビューが生成される。
II.変形の生成
1つの典型例の実施形態において、ライブラリ設計エレメントの変形(variants)は、ライブラリ設計エレメントの製造性能の増強(enhancement:向上、エンハンスメント)を可能にするように作成され、それは、通常、例えば面積(area)、性能または電力のようなその他の設計パラメータを最小限使用して作成される。それらの変形は、元のライブラリ設計エレメントと機能的に均等(等価)であるが、例えば面積および/または性能ファクタのような有効な妥協(compromise)を通してライブラリ設計エレメントの製造性能特性(properties)を増大させることができる代替手段の特定用途の設計を提供する。
図5を参照すると、変形設計エレメント(変形)を生成するための典型例のプロセス500が示されている。ステップ406、408および410において、製造プロセスのための設計ルール、設計キットおよびSPICEモデルが作成(生成)される。ステップ502において、例えばGSDIIのようなコンピュータ読み取り可能なフォーマットのセルのライブラリ・ビューを有するライブラリが生成される。典型的なライブラリは、約100個の基本論理回路機能を含み、それらの基本機能の各々について多数の駆動機能変形が存在し、合計のセル・カウント(計数値)が約500に達する。ステップ504において、レイアウトが変更されて、レイアウトの製造性能属性が変更される。ステップ506において、設計エレメントの製造性能属性は、指定された或る制限(limitations)の範囲内での高い(増大した)製造性能のための、例えば電力、面積のような許容可能な設計制約条件とトレードオフ(得失)の関係にある(trade off)製造性能シミュレーションによる評価によって、特徴づけられる。ステップ508において、変形のライブラリ・ビューが生成される。ステップ510において、変形が特徴付けられて、設計ツールおよびフローによって要求されるライブラリ・ビューが生成される。ステップ512において、製造性能シミュレーションを用いて、その変形の製造性能属性が生成される。ステップ514において、その製造性能属性を有するその変形のライブラリ・ビューが生成される。ステップ516において、その変形が格納される。変形の生成の詳細については、2003年1月2日出願の米国仮特許出願番号60/437,922(PCT/US03/37046、WO2004/064898)、名称“YIELD IMPROVEMENT”(歩留まり改善)を参照されたい。ここで、この文献全体を参照して組み込む。
WO2004/064898
III.設計の製造性能推定の生成
図6を参照すると、典型例の設計フロー600が示されている。ステップ602において、設計エレメントのライブラリが取得される。ステップ604において、回路の所要の機能の高レベルの仕様(specification:規格)が得られる。また、その仕様は、例えば性能、電力および面積のような設計上の制約条件/ルールを含んでいる。ステップ606において、その設計の記述が、所要の機能の仕様と設計エレメントのライブラリとに基づいて生成される。1つの典型例の実施形態において、その記述は、ネットリスト(net-list:回路構成情報)である。ネットリストは、標準セルおよびその他の組み立てブロックのリストを含むものであり、全てのエレメント間の接続性を規定(画定)するフォーマットである。さらに、ステップ614において、例えばアナログ、メモリ、I/O、等のようなブロックのライブラリ・ビューが生成される。ステップ608において、選択されたライブラリ設計エレメントとルーティング・レベルの接続とを含むレイアウトがブロック・レベルで作成され、次いで、全てのライブラリ設計エレメントが接続される。ステップ610において、全てのブロックが配置され接続されて、ブロックのライブラリ・ビューを部分的に用いたチップ・レイアウトが作成される。ステップ612において、チップ・レイアウトが検証されて、全ての設計制約条件が満たされたこと、および設計ルールに反していないことが確認される。
ステップ616において、設計の記述がインポートされる。その記述はネットリストであり得る。そのネットリストは、構造レベルのブロックまたはチップ設計を記述しており、換言すれば、相互接続された基本コンポーネント、所要のブロックまたはチップ機能のレジスタ(Register)転送レベルの記述、または現在のブロックまたはチップのレイアウトのリストに関して、そのような設計を特定(指定)したものである。ステップ618において、製造性能分析器(アナライザ)を用いてライブラリ設計エレメントのライブラリ・ビューに基づいて、設計の製造性能を分析する。ステップ622において、設計に対する製造性能推定(estimate)が生成される。製造性能推定は、製造時間フレームの関数(機能)であり、所要の設計ブロックによって分析、分解または分類(break down)される。ステップ620において、製造性能ビューが作成されていない場合は、ステップ614における設計ブロックに対して製造性能ビューが生成される。ステップ622において、製造性能推定によって、所与のICまたはIPブロックの製造性能特性を理解するための機能がユーザに提供される。さらに、1つの典型例の実施形態において、製造性能推定を用いて、設計の製造性能の時間依存性を予想(射影)することができる。
より具体的には、任意の設計エレメントについて、仮想学習曲線(例えば、履歴データから得られた製造量に対する歩留まりの依存性)を、例えばYRSのようなシミュレータ・ツールに入力することができる。図3を参照すると、学習曲線に関連するプロセス習熟度のユーザのレベルが与えられると、YRSツールによってフォーマット化された履歴データを用いて、IC設計の特定のレイアウト・フィーチャに対する歩留まり対時間(時間に対する歩留まりの関係)が予想(射影)される。そのような情報は、製品寿命の期間にわたる設計のより正確なコスト/収益性予想を可能にする、変形を選択するための追加的な基準を与える。さらに、そのような設計システムによって、プロセス成熟度のレベルが与えられた場合の、最低歩留まりの設計エレメントの確認または識別により、時間に対する(長い時間における)全体のIC設計の歩留まりを予測し最適化することができる。製造プロセスが進展(evolve:展開)すると、ライブラリ・セルの製造性能に関する特性も変化し、従ってライブラリ・セルに関するチップのブロックの最適なマッピングが動的に調整される。
IV.最適設計エレメントの選択
図7を参照すると、最適な設計エレメントを選択するための典型例の設計フロー700が示されている。ステップ702において、製造性能推定と、ステップ516からの設計エレメントの変形とに基づいて、設計が最適化される。その設計の最適化は、製造性能属性およびその他の設計制約条件に基づいてセルまたはブロックを選択するように合成ツールの選択機能を変更することによって行われる。代替構成として、設計の現在のネットリストは、必要条件の機能を維持しその他の設計制約条件を尊重しつつ、構文解析(パース)されて変形が置換される。
ステップ704において、その改訂された設計が分析されてその改訂された設計が設計制約条件に適合するかどうかを判定する。制約条件に反した場合は、設計は徐々に(増分的に、付加的に、インクレメント的に)編集されて、制約条件を満たすようにされ、または同じ機能を有する代替的な次の低い歩留まりの変形で置き換えられる。図7に示されているように、このプロセスはその制約条件を満たすまで繰り返される。その制約条件が満たされたとき、例えば改訂されたネットリストのような、改訂された設計記述が生成される。
典型例の実施形態について説明したが、本発明の精神および/または範囲から逸脱することなく種々の変形を行うことができる。従って、本発明は、図面に示され上述の説明における具体的形態に限定して解釈されるべきでない。
図1は、典型例の設計フローである。 図2は、ライブラリ設計エレメント用の製造性能属性を決定する典型例のプロセスである。 図3は、典型例の学習曲線を示している。 図4は、製造性能属性を有するライブラリ設計エレメントのライブラリ・ビューを生成するための典型例のプロセスを示している。 図5は、変形設計エレメントを生成するための典型例のプロセスを示している。 図6は、典型例の設計フローを示している。 図7は、別の典型例の設計フローを示している。

Claims (38)

  1. 特定の製造プロセスを用いて製造されるICチップを設計するのに用いられる製造性能について、ライブラリ設計エレメントを分析する方法であって、
    ライブラリからライブラリ設計エレメントを取得し、
    前記特定の製造プロセス用の前記ライブラリ設計エレメントの製造性能属性であって、歩留まりに関連する属性を含む製造性能属性を決定し、
    前記ライブラリ設計エレメント用の製造性能属性を有するライブラリ・ビューであって、電子設計自動化ツール(EDA)によって利用されるライブラリ・ビューを生成すること、
    を含んで成る、方法。
  2. 製造性能属性を決定することは、
    前記ライブラリ設計エレメントを組み込んでテスト・チップ設計を生成し、
    前記テスト・チップ設計および前記特定の製造プロセスを用いてテスト・チップを製造し、
    前記作製されたテスト・チップを分析して前記ライブラリ設計エレメントの前記製造性能属性を決定することを含むものである、請求項1に記載の方法。
  3. 前記作製されたテスト・チップを分析することは、
    ライブラリ設計エレメントのレイアウト・フィーチャを、前記テスト・チップ上に製造されたレイアウト・フィーチャと比較し、
    前記比較に基づいて前記ライブラリ設計エレメント用の製造性能属性を決定することを含むものである、請求項2に記載の方法。
  4. 前記作製されたテスト・チップを分析することは、前記テスト・チップからデータを取得して、ランダム歩留まりおよびシステム的な歩留まりモデルを配置(populate:投入、構成)することを含むものである、請求項2に記載の方法。
  5. さらに、前記テスト・チップから、プリント適性、プロセス・マージンおよび信頼性を決定することを含んで成る、請求項4に記載の方法。
  6. さらに、ライブラリ設計エレメントに基づいて、前記ライブラリ設計エレメントのフィーチャを修正して前記ライブラリ設計エレメントの前記製造性能属性を修正することによって、変形設計エレメントを作成することを含んで成る、請求項1に記載の方法。
  7. さらに、前記修正された製造性能属性の結果として前記変形設計エレメントの設計属性の変更(alterations)を決定することを含んで成る、請求項6に記載の方法。
  8. 前記設計属性は、性能、電力、面積および歩留まりを含むものである、請求項7に記載の方法。
  9. さらに、前記変形設計エレメント用の製造性能属性を有するライブラリ・ビューを生成することを含んで成る、請求項6に記載の方法。
  10. さらに、製造性能属性を有するライブラリ設計エレメントおよび変形設計エレメントのライブラリ・ビューを利用し、
    前記ライブラリ・ビューに基づいてIC設計の製造性能を分析することを含んで成る、請求項9に記載の方法。
  11. さらに、変形設計エレメントを選択することによって前記IC設計を修正することを含んで成る、請求項10に記載の方法。
  12. さらに、修正された設計がユーザ指定の制約条件を満たすかどうかを判定し、
    前記ユーザ指定の制約条件が満たされなかったときに、別の変形設計エレメントを選択することによって前記IC設計を修正することを含んで成る、請求項11に記載の方法。
  13. 前記IC設計を修正することは、時間依存の歩留まりファクタを用いて変形設計エレメントを選択することを含むものである、請求項12に記載の方法。
  14. 前記時間依存歩留まりファクタは或る時間期間における歩留まりの変化を特徴付けるものである、請求項13に記載の方法。
  15. さらに、所与の製造プロセスおよび設計方法について、代表的なレガシー・チップ設計および/またはメモリ若しくは論理構成のブロックからなる編集物に基づく統計的設計データと、対応する製造性能データとを利用して、前記ライブラリ設計エレメントを相互接続するのに用いられるルーティングの製造性能と、(対応する製造性能データ)との間の関係を記述するモデルを規定することを含んで成る、請求項1に記載の方法。
  16. 集積回路を設計する方法であって、
    ライブラリからライブラリ設計エレメントを取得し、
    前記ライブラリ設計エレメントの製造性能属性であって、歩留まりに関連する属性を含む製造性能属性を決定し、
    前記ライブラリ設計エレメントに基づいて、修正された製造性能属性を有する変形設計エレメントを生成し、
    設計エレメントからなる前記ライブラリおよび前記変形設計エレメントを用いて、前記設計エレメントの前記製造性能属性と、前記変形設計エレメントの前記修正された製造性能属性とに基づいて、前記集積回路を設計すること、
    を含んで成る、方法。
  17. 製造性能属性を決定することは、
    設計エレメントからなる前記ライブラリに基づいてテスト・チップ設計を決定し、
    前記テスト・チップ設計を用いてテスト・チップを作製し、
    前記作製されたテスト・チップを分析して、前記製造性能属性を決定すること、
    を含むものである、請求項16に記載の方法。
  18. 前記作製されたテスト・チップを分析することは、前記テスト・チップからデータを取得して、ランダム歩留まりおよびシステム的な歩留まりモデルを配置(populate:投入、構成)することを含むものである、請求項17に記載の方法。
  19. さらに、前記テスト・チップから、プリント適性、プロセス・マージンおよび信頼性を決定することを含んで成る、請求項18に記載の方法。
  20. さらに、前記ライブラリ設計エレメントの前記製造性能属性と、前記変形設計エレメントの前記修正された製造性能属性とを、コンピュータ読み取り可能なフォーマットで記述することを含んで成る、請求項16に記載の方法。
  21. 前記コンピュータ読み取り可能なフォーマットが、電子設計自動化(EDA)ツールにおいて用いられるライブラリ・ビューである、請求項20に記載の方法。
  22. 前記集積回路を設計することは、
    ライブラリ設計エレメントの製造性能属性および前記変形設計エレメントの修正された製造性能属性のライブラリ・ビューを利用し、
    生成されたライブラリ・ビューに基づいて前記集積回路用の設計レイアウトの製造性能を分析すること、を含むものである、請求項21に記載の方法。
  23. 前記集積回路を設計することは、ユーザ指定の制約条件を用いて、前記ライブラリ設計エレメントおよび変形設計エレメントから、前記集積回路の前記設計レイアウト用の最適コンポーネントを選択することを含むものである、請求項22に記載の方法。
  24. 最適コンポーネントを選択することは、
    前記ユーザ指定の制約条件が満たされたかどうかを判定し、
    前記ユーザ指定の制約条件が満たされなかったときに、前記ユーザ指定の制約条件が満たされるまで、修正された製造性能属性を有する変形設計エレメントを繰り返し選択することを含むものである、請求項23に記載の方法。
  25. 前記集積回路を設計することは、時間依存の歩留まりファクタを用いて前記ライブラリ設計エレメントおよび変形設計エレメントから、前記集積回路の設計レイアウト用の最適コンポーネントを選択することを含むものである、請求項16に記載の方法。
  26. 前記時間依存の歩留まりファクタは或る時間期間における歩留まりの変化を特徴付けるものである、請求項25に記載の方法。
  27. さらに、前記設計レイアウトの最低歩留まりのコンポーネントに基づいて或る時間にわたる前記設計レイアウト用の歩留まりを予測することを含んで成る、請求項25に記載の方法。
  28. さらに、所与の製造プロセスおよび設計方法について、代表的なレガシー・チップ設計および/またはメモリ若しくは論理構成のブロックからなる編集物に基づいて統計的設計データと、対応する製造性能データとを利用して、前記ライブラリ設計エレメントを相互接続するのに用いられるルーティングの製造性能と、(対応する製造性能データ)との間の関係を記述するモデルを規定することを含んで成る、請求項16に記載の方法。
  29. 特定の製造プロセスを用いて製造されるICチップを設計するのに用いられる製造性能について、ライブラリ設計エレメントを分析するシステムであって、
    ライブラリ設計エレメントを有するライブラリと、
    製造性能シミュレータと、
    を具え、
    前記シミュレータは、
    歩留まりに関連する属性を含む、前記ライブラリ設計エレメントの製造性能属性を決定し、前記ライブラリ設計エレメント用の製造性能属性を有するライブラリ・ビューを生成するよう構成されているものである、
    システム。
  30. さらに、前記ライブラリ設計エレメントの中の1つ以上のエレメントに対応するフィーチャを含み、前記特定の製造プロセスを用いて製造されるテスト・チップを具え、
    前記製造性能シミュレータは前記テスト・チップを分析して前記ライブラリ設計エレメントの前記製造性能属性を決定するものである、請求項29に記載のシステム。
  31. 前記テスト・チップは、ランダム歩留まりおよびシステム的な歩留まりモデルを配置するためのデータを決定するためのフィーチャを含むものである、請求項30に記載のシステム。
  32. 前記テスト・チップは、プリント適性、プロセス・マージンおよび信頼性を決定するためのフィーチャを含むものである、請求項31に記載のシステム。
  33. 前記製造性能シミュレータは、前記ライブラリ設計エレメントの前記製造性能を修正することによって、ライブラリ設計エレメントに対応する変形設計エレメントを生成するものである、請求項29に記載のシステム。
  34. 前記製造性能シミュレータは、前記変形設計エレメント用の製造性能属性を有するライブラリ・ビューを生成するものである、請求項33に記載のシステム。
  35. さらに、前記ライブラリ設計エレメントの前記ライブラリ・ビューに基づいてIC設計の製造性能推定を決定するよう構成された製造性能分析器を具える、請求項34に記載のシステム。
  36. さらに、前記製造性能推定、前記変形設計エレメントおよび前記ユーザ指定の制約条件に基づいて前記IC設計を最適化するよう構成された製造性能最適化器を具える、請求項35に記載のシステム。
  37. 前記製造性能最適化器は、時間依存の歩留まりファクタに基づいて前記IC設計を最適化するものである、請求項36に記載のシステム。
  38. さらに、所与の製造プロセスおよび設計方法について、前記ライブラリ設計エレメントを相互接続するのに用いられるルーティングの製造性能と、(対応する製造性能データ)との間の関係を記述するモデルを具え、
    前記モデルは、代表的なレガシー・チップ設計および/またはメモリ若しくは論理構成のブロックからなる編集物に基づいて統計的設計データと、対応する製造性能データとを利用して規定されるものである、
    請求項29に記載のシステム。

JP2005509541A 2003-09-16 2003-09-16 製造性能を最適化するための集積回路設計 Pending JP2007529100A (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2003/029758 WO2005036422A1 (en) 2003-09-16 2003-09-16 Integrated circuit design to optimize manufacturability

Publications (1)

Publication Number Publication Date
JP2007529100A true JP2007529100A (ja) 2007-10-18

Family

ID=34434210

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005509541A Pending JP2007529100A (ja) 2003-09-16 2003-09-16 製造性能を最適化するための集積回路設計

Country Status (5)

Country Link
JP (1) JP2007529100A (ja)
CN (1) CN100474311C (ja)
AU (1) AU2003272617A1 (ja)
DE (1) DE10394299T5 (ja)
WO (1) WO2005036422A1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011526091A (ja) * 2008-04-29 2011-09-29 クゥアルコム・インコーポレイテッド クロック・ゲーティング・システム及び方法
JP2016030409A (ja) * 2014-07-30 2016-03-07 株式会社ディスコ 保持治具生成装置

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1579352A2 (en) 2003-01-02 2005-09-28 PDF Solutions, Inc. Yield improvement
US8677292B2 (en) * 2009-04-22 2014-03-18 Taiwan Semiconductor Manufacturing Company, Ltd. Cell-context aware integrated circuit design
KR101252698B1 (ko) 2009-04-29 2013-04-09 퀄컴 인코포레이티드 클록 게이팅 시스템 및 방법
CN102542116B (zh) * 2012-01-06 2014-12-17 深圳市汉普电子技术开发有限公司 Dfm分析自动化的方法及装置
DE102019124928A1 (de) 2018-09-28 2020-04-02 Taiwan Semiconductor Manufacturing Company, Ltd. Integriertes schaltungsdesign unter verwendung von fuzzy-maschinenlernen

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0981623A (ja) * 1995-09-19 1997-03-28 Fujitsu Ltd Cadシステム及びlsi設計方法
JP2002076086A (ja) * 2000-08-29 2002-03-15 Hitachi Ltd 電子デバイスの歩留り予測システム

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5539652A (en) * 1995-02-07 1996-07-23 Hewlett-Packard Company Method for manufacturing test simulation in electronic circuit design
US5666288A (en) * 1995-04-21 1997-09-09 Motorola, Inc. Method and apparatus for designing an integrated circuit
US5754826A (en) * 1995-08-04 1998-05-19 Synopsys, Inc. CAD and simulation system for targeting IC designs to multiple fabrication processes
US5956497A (en) * 1997-02-26 1999-09-21 Advanced Micro Devices, Inc. Methodology for designing an integrated circuit using a reduced cell library for preliminary synthesis

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0981623A (ja) * 1995-09-19 1997-03-28 Fujitsu Ltd Cadシステム及びlsi設計方法
JP2002076086A (ja) * 2000-08-29 2002-03-15 Hitachi Ltd 電子デバイスの歩留り予測システム

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011526091A (ja) * 2008-04-29 2011-09-29 クゥアルコム・インコーポレイテッド クロック・ゲーティング・システム及び方法
JP2016030409A (ja) * 2014-07-30 2016-03-07 株式会社ディスコ 保持治具生成装置

Also Published As

Publication number Publication date
AU2003272617A1 (en) 2005-04-27
CN100474311C (zh) 2009-04-01
DE10394299T5 (de) 2006-08-10
CN1839389A (zh) 2006-09-27
WO2005036422A1 (en) 2005-04-21

Similar Documents

Publication Publication Date Title
US7469394B1 (en) Timing variation aware compilation
US8307317B2 (en) Statistical on-chip variation timing analysis
US8966415B2 (en) Architectural physical synthesis
US7225423B2 (en) Method for automated design of integrated circuits with targeted quality objectives using dynamically generated building blocks
US8136068B2 (en) Methods, systems, and computer program products for implementing compact manufacturing models in electronic design automation
US8234617B2 (en) Method and system for re-using digital assertions in a mixed signal design
US20060253810A1 (en) Integrated circuit design to optimize manufacturability
JP2006512767A (ja) 歩留まり改善
US11853676B2 (en) Layout context-based cell timing characterization
US8719743B1 (en) Method and system for implementing clock tree prototyping
US20080127020A1 (en) System and method for automatic elimination of voltage drop, also known as IR drop, violations of a mask layout block, maintaining the process design rules correctness
JP2009519528A (ja) 統計的タイミング解析におけるクリティカリティ予測のシステム及び方法
US7596775B2 (en) Method for determining a standard cell for IC design
CN111128998A (zh) 集成电路布局方法
US20090222775A1 (en) Characterising circuit cell performance variability in response to pertibations in manufacturing process parameters
US20020073388A1 (en) Methodology to improve the performance of integrated circuits by exploiting systematic process non-uniformity
US8359553B1 (en) Various methods and apparatuses for effective yield enhancement of good chip dies having memories per wafer
US8261215B2 (en) Method and system for performing cell modeling and selection
Nardi et al. Logic synthesis for manufacturability
JP2007529100A (ja) 製造性能を最適化するための集積回路設計
TW202242701A (zh) 佈線後壅塞優化方法
TWI817646B (zh) 用於設計上下文感知電路的方法及系統
US7092865B1 (en) Method and apparatus for timing modeling
US10346573B1 (en) Method and system for performing incremental post layout simulation with layout edits
CN113139362A (zh) 集成电路布局

Legal Events

Date Code Title Description
RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20081027

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20081027

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20091201

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100630

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20101208