WO2005036422A1 - Integrated circuit design to optimize manufacturability - Google Patents

Integrated circuit design to optimize manufacturability Download PDF

Info

Publication number
WO2005036422A1
WO2005036422A1 PCT/US2003/029758 US0329758W WO2005036422A1 WO 2005036422 A1 WO2005036422 A1 WO 2005036422A1 US 0329758 W US0329758 W US 0329758W WO 2005036422 A1 WO2005036422 A1 WO 2005036422A1
Authority
WO
WIPO (PCT)
Prior art keywords
design
manufacturability
library
elements
attributes
Prior art date
Application number
PCT/US2003/029758
Other languages
English (en)
French (fr)
Inventor
Carlo Guardiani
Nicola Dragone
John Kibarian
Enrico Malavasi
Ratibor Radojcic
Andrzej Strojwas
Original Assignee
Pdf Solutions, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Pdf Solutions, Inc. filed Critical Pdf Solutions, Inc.
Priority to CNB038270803A priority Critical patent/CN100474311C/zh
Priority to US10/572,151 priority patent/US20060253810A1/en
Priority to JP2005509541A priority patent/JP2007529100A/ja
Priority to PCT/US2003/029758 priority patent/WO2005036422A1/en
Priority to DE10394299T priority patent/DE10394299T5/de
Priority to AU2003272617A priority patent/AU2003272617A1/en
Publication of WO2005036422A1 publication Critical patent/WO2005036422A1/en

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/18Manufacturability analysis or optimisation for manufacturability
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Definitions

  • the present application relates to integrated circuit design, and more particularly to designing integrated circuits to optimize manufacturability.
  • IP intellectual property
  • test chips are designed and processed in a manufacturing facility to provide information that allows for the design and creation of the library.
  • the test chips contain an array of representative devices and interconnection geometries, which are analyzed to generate device models suitable for use by electrical-level simulators, such as SPICE, that are utilized in the characterization of the library design elements, to produce performance views of the corresponding library design elements.
  • the test chips are also analyzed to generate design rules utilized in the design of the library design elements.
  • the layout of the library design elements is described in library views that, for example, contain footprint information of the library design element.
  • the test chips are also analyzed to create a design kit that provides a user interface for the design of ICs, and that includes the SPICE models, the Design Rules, and the corresponding tools for automated checking of compliance with these rules.
  • test chips that are used in conventional design systems do not contain comprehensive structures that are designed for an assessment or prediction of the manufacturability for the passive or active components that are used for the construction of the library and the product ICs. Therefore, it follows that the library design elements that are created by the existing design systems have not been evaluated sufficiently with respect to a prediction of their manufacturability.
  • Each cell design that is created, utilizing the Design Kit, is represented using a computer readable format, such as GDSII.
  • GDSII computer readable format
  • LEF is an example of a library view that describes the characteristics required by a router, and includes footprint and port location information.
  • a typical library contains on the order of 500 cells. However, within the assembly of the library cells, there are multiple layout representations for a given logic function. These "variants" provide different performance characteristics that can be chosen and optimized for a specific application. For example, high performance, high power, with low density, or low performance, low power, with high density options for the same logical function, are typically available in the variant versions containing in the library. However, since no library views contain manufacturability attributes, the variants created by the existing art do not provide choices regarding specific manufacturability related factors. Also, existing commercial software applications using the typical library views are not able to extract or use manufacturability characteristics for any design element in the library.
  • a high-level hardware description of the functionality of the IC is mapped into basic binary operators and logic arrays (logic decomposition) to produce a representation referred to as uncommitted logic.
  • logic decomposition logic decomposition
  • the uncommitted logic is mapped into a specific logic connectivity diagram, often referred to as a gate-level netlist.
  • a block place and route step creates a layout at the block level, consisting of the selected standard cells, and connections in the routing levels to connect all of the elements.
  • the layout is represented in various formats, e.g., GDSII.
  • a final verification step ensures that all the design constraints are met.
  • two or more of the steps between the high-level hardware description and the block level layout are executed simultaneously by one software application. Design flows with this type of approach are often denoted as "physical synthesis" flows.
  • the selection of the library design elements is determined by specific design constraints that are limited to the optimization of metrics, such as speed and power, and area considerations. No substantial manufacturability metric is addressed; however, some area based manufacturability models are used to indirectly estimate the chip costs.
  • Library design elements are analyzed for manufacturability to be used in designing an IC chip to be manufactured using a particular manufacturing process.
  • the library design elements from a library are obtained.
  • Manufacturability attributes of the library design elements are determined for the particular manufacturing process, where manufacturability attributes include yield-related attributes.
  • Library views with manufacturability attributes for the library design elements are then generated, which are utilized by an electronic design automation (EDA) tool.
  • EDA electronic design automation
  • FIG. 1 is an exemplary design flow
  • FIG. 2 is an exemplary process to determine manufacturability attributes for library design elements
  • FIG. 3 depicts an exemplary learning curve
  • FIG. 4 depicts an exemplary process to generate library views of library design elements with manufacturability attributes
  • FIG. 5 depicts an exemplary process to generate variant design elements
  • FIG. 6 depicts an exemplary design flow
  • FIG. 7 depicts another exemplary design flow.
  • a library of design elements is typically used to design IC chips.
  • the library includes all the required views of the library design elements, including performance related attributes of the library design elements.
  • conventional libraries do not provide library views with manufacturability attributes, which include yield-related attributes, which can, for example, predict the number of good dies per wafer (GDW).
  • manufacturability also includes various IC characteristics, such as defects, printability, reliability, and the like. Manufacturability ultimately determines the profitability of a design.
  • library design elements are analyzed to determine manufacturability attributes of the library design elements.
  • Library views are then generated for the library elements to include manufacturability attributes in addition to performance attributes. These library views with manufacturability attributes can be used in a design flow to design ICs with increased manufacturability for a given process.
  • FIG. 1 an exemplary design flow 100 is depicted.
  • library design elements are obtained.
  • manufacturability attributes which includes yield- related attributes, are determined for the library design elements.
  • variants of the library design elements are generated, where the variants have different manufacturability attributes than the library design elements.
  • library views which are in a computer readable format, of the manufacturability attributes of the library design elements and variants are generated.
  • a manufacturability estimate of the layout is generated.
  • optimum design elements are selected for an IC design.
  • test chips are designed for a specific fabrication facility and/or manufacturing process, taking into account the existing design rules, and the given target manufacturability models.
  • the test chips include a representation of the layout features contained within the existing library design elements.
  • the data extracted from the test chips include the random yield and systematic yield factors of the existing manufacturing process.
  • an exemplary process 200 is depicted to determine manufacturability attributes for library design elements.
  • mask sets for test chips are generated.
  • the mask sets are used in a manufacturing process that is to be used to manufacture the IC.
  • test chips are manufactured using the mask sets in the manufacturing process.
  • the manufactured test chips are analyzed using an analytical tool to determine the manufacturability attributes of the manufacturing process, and the manufacturability attributes of the library design elements.
  • the manufacturability attributes determined from the test chips are then utilized to calibrate various simulator software tools, such as YRS, Optissimo, and the like.
  • the results of the simulations of the manufacturability of the library design elements include a number of manufacturability attributes, including limited yield (LY) of the layout, manufacturing risk factors (MRF), a quantitative description of the process window, and the relationship between LY and MRF.
  • LY limited yield
  • MRF manufacturing risk factors
  • the results of the manufacturing simulations are summarized in library views, which can be utilized by an electronic design automation (EDA) tool.
  • EDA electronic design automation
  • the manufacturability attributes of the manufacturing process are estimated for various future points of process maturity.
  • the manufacturability of a given design element is then simulated for various time frames, which corresponds to different process maturity projections, and are also represented in the library views for the corresponding time frames and given library design element.
  • Fig. 3 depicts an exemplary learning curve 302. As depicted in Fig. 3, over a period of time, the volume of ICs produced in a manufacturing process increases. Thus, at a lower volume, a lower yield is obtained at a point 304 in learning curve 302 that corresponds to an earlier period of time than point 306.
  • a model that describes the relationship between the manufacturability of the routing used to interconnect the library design elements, and the nature and logic connectivity of the library design elements is defined for a given manufacturing process and design methodology. This relationship is contained in a model, which is also included in the library views.
  • the library views are contained in a computer readable matrix that tabulates that various manufacturability attributes for a given collection of library design elements for various time frames and includes various interconnect manufacturability models.
  • an exemplary process 400 is depicted to generate library views of library design elements with manufacturability attributes.
  • a manufacturing process that is to be used to manufacture an IC design is characterized.
  • test chips are manufactured using the manufacturing process.
  • the manufacturing process is characterized using the test chips to produce design rules, design kits, and SPICE models, respectively.
  • library vendors produce a library of design elements for the manufacturing process using the design rules, design kits, and SPICE models, which are characterized in 414.
  • a timing view describes the performance characteristics of the cell in the library as a function of cell load and input voltage slope, . which is built by performing a number of SPICE simulations.
  • a layout abstract view describes the characteristics required by a router, and includes footprint and port location information.
  • a functional view describes the binary logic function associated with the cell.
  • Other views are used to describe power consumption, signal integrity, etc. attributes of a cell. Views are generally specific to an EDA vendor's tool - i.e., a design tool reads in a cell view in order to determine the properties of the library element that are relevant for the operation performed by the tool.
  • the cell layout view is also described in a compute readable format, such as, for example, GDSII.
  • test chips are used to determine a range of manufacturability parameters, many of which are expressed in various forms of yield-related data. For example, in 420, random and systematic yields are determined based on the data acquired from the test chips. In addition, other manufacturability features, such as printability metrics, process margins, and reliability features, are also extracted through the analysis of the test chip data. In 422, a simulator software tool, such as yield ramp simulator (YRS), Optissimo, and the like, is calibrated using yield-related and other manufacturability data.
  • YRS yield ramp simulator
  • Optissimo Optissimo
  • historical yield ramp data of various layout features is used by YRS to calibrate the time dependence of such features as a function of a given manufacturability volume.
  • a manufacturability simulator is used to analyze each design element in the library to describe its manufacturability attributes.
  • the results of the simulations include limited yield of the layout (LY), manufacturability risk factors (MRF) to describe a process window for the layout in a relative quantitative manner, both LY and MRF vs. time, and a relationship (e.g., a weighing factor) between LY and MRFs.
  • LY layout
  • MRF manufacturability risk factors
  • library views of the library design elements with manufacturability attributes are generated. II. Generating Variants
  • variants of the library design elements can be created that allow for the enhancement of the manufacturability of the library design elements, usually at a minimal expense of other design parameters, such as area, performance, or power.
  • These variants are functionally equivalent to the original library design elements, but provide specific design alternatives that can enhance the manufacturability properties of the library design elements through effective compromises, e.g., area and/or performance factors.
  • an exemplary process 500 is depicted to generate variant design elements (variants).
  • design rules, design kits, and SPICE models are produced for a manufacturing process.
  • a library is generated with library views of cells in a computer readable format, such as GSDII.
  • a typical library may contain about 100 basic logic functions, and for each of these basic functions there are a number of driving capability variants, bringing the total cell count to approximately 500.
  • a layout is altered to change the manufacturability attribute of the layout.
  • the manufacturability attributes of the design elements are characterized by evaluation through manufacturability simulations that trade off allowable design constraints, e.g., power, area, for increased manufacturability, within certain prescribed limitations.
  • library views of the variants are generated.
  • the variants are characterized to produce the library views that are required by the design tools and flows.
  • manufacturing attributes of the variants are generated using manufacturability simulations.
  • library views of the variants with the manufacturing attributes are generated.
  • the variants are stored. For a more detailed description of generating variants, see U.S. Provisional Application Serial No. 60/437,922, titled YIELD IMPROVEMENT, filed on January 2, 2003, which is incorporated herein by reference in its entirety.
  • an exemplary design flow 600 is depicted.
  • a library of design elements is obtained.
  • a high level specification of desired functionality of the circuit is obtained.
  • the specification also includes design constraints/rules, such as performance, power, and area.
  • a description of the design is produced based on the specification of desired functionality and the library of design elements.
  • the description is a netlist, which is a format that contains a list of the standard cells and other building blocks, and defines the connectivity between all the elements.
  • library views of the blocks such as analog, memory, I/O, etc., are generated.
  • a layout is created at a block level, which includes selected library design elements, and connections in the routing levels and then all the library design elements are connected.
  • all the blocks are placed and connected to create a chip layout, using, in part, the library views of the blocks.
  • the chip layout is verified to confirm that all the design constraints are met and the design rules are not violated.
  • a description of the design is imported.
  • the description can be a netlist that describes a block or chip design at a structural level, in other words by specifying it in terms of a list of interconnected basic components, a Register Transfer Level description of desired block or chip functionality, or a layout of an existing block or chip.
  • the manufacturability of the design is analyzed based on the library views of the library design elements using a manufacturability analyzer.
  • a manufacturability estimate for the design is generated.
  • the manufacturability estimate can be a function of the manufacturing time frame, and broken down by desired design blocks.
  • manufacturability views are generated for design blocks in 614, if such views have not as yet been created.
  • the manufacturability estimate in 622 provides a user with the capability to understand the manufacturability characteristics of a given IC or IP block. Additionally, in one exemplary embodiment, the manufacturability estimate can be used to project the time dependence of the manufacturability of a design.
  • the characteristics of a virtual learning curve can be inputted into a simulator tool, such as YRS.
  • a simulator tool such as YRS.
  • the historical data formatted by a YRS tool can be used to project the yield vs. time for the specific layout features of an IC design.
  • Such information can provide an additional criterion for the selection of variants, allowing for a more accurate cost/profitability projection of the design over the product life.
  • such a design system allows for a prediction and optimization of the yield of the entire IC design over time, given a level of the process maturity, through the identification of the lowest yielding design elements.
  • the characteristics in terms of manufacturability of the library cells also change and thus the optimal mapping of a block of a chip in terms of library cells can be dynamically adjusted.
  • an exemplary design flow 700 is depicted to select optimum design elements.
  • a design is optimized based on manufacturability estimates and variants of the design elements from 516.
  • the design can be optimized by altering the selection-function of a synthesis tool to select cells or blocks based on the manufacturability attributes as well as other design constraints.
  • an existing netlist of a design can be parsed to substitute variants while maintaining the requisite functionality and respecting other design constraints.
  • the revised design is analyzed to determine if the revised design complies with design constraints. If a constraint is violated, then a design is incrementally compiled to meet the constraint or an alternative next lower yielding variant with the same functionality is substituted. As depicted in Fig. 7, this process is repeated until the constraints are met. When the constraints are met, a revised design description is generated, such as a revised netlist.

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
PCT/US2003/029758 2003-09-16 2003-09-16 Integrated circuit design to optimize manufacturability WO2005036422A1 (en)

Priority Applications (6)

Application Number Priority Date Filing Date Title
CNB038270803A CN100474311C (zh) 2003-09-16 2003-09-16 优化可制造性的集成电路设计
US10/572,151 US20060253810A1 (en) 2003-09-16 2003-09-16 Integrated circuit design to optimize manufacturability
JP2005509541A JP2007529100A (ja) 2003-09-16 2003-09-16 製造性能を最適化するための集積回路設計
PCT/US2003/029758 WO2005036422A1 (en) 2003-09-16 2003-09-16 Integrated circuit design to optimize manufacturability
DE10394299T DE10394299T5 (de) 2003-09-16 2003-09-16 Design eines integrierten Schaltkreises zur Optimierung der Herstellbarkeit
AU2003272617A AU2003272617A1 (en) 2003-09-16 2003-09-16 Integrated circuit design to optimize manufacturability

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2003/029758 WO2005036422A1 (en) 2003-09-16 2003-09-16 Integrated circuit design to optimize manufacturability

Publications (1)

Publication Number Publication Date
WO2005036422A1 true WO2005036422A1 (en) 2005-04-21

Family

ID=34434210

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2003/029758 WO2005036422A1 (en) 2003-09-16 2003-09-16 Integrated circuit design to optimize manufacturability

Country Status (5)

Country Link
JP (1) JP2007529100A (ja)
CN (1) CN100474311C (ja)
AU (1) AU2003272617A1 (ja)
DE (1) DE10394299T5 (ja)
WO (1) WO2005036422A1 (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7487474B2 (en) 2003-01-02 2009-02-03 Pdf Solutions, Inc. Designing an integrated circuit to improve yield using a variant design element
WO2009135226A2 (en) * 2008-04-29 2009-11-05 Qualcomm Incorporated Clock gating system and method
KR101252698B1 (ko) 2009-04-29 2013-04-09 퀄컴 인코포레이티드 클록 게이팅 시스템 및 방법
US11748552B2 (en) 2018-09-28 2023-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit design using fuzzy machine learning
US12050853B2 (en) 2023-07-21 2024-07-30 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit design using fuzzy machine learning

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8677292B2 (en) * 2009-04-22 2014-03-18 Taiwan Semiconductor Manufacturing Company, Ltd. Cell-context aware integrated circuit design
CN102542116B (zh) * 2012-01-06 2014-12-17 深圳市汉普电子技术开发有限公司 Dfm分析自动化的方法及装置
JP6370148B2 (ja) * 2014-07-30 2018-08-08 株式会社ディスコ 保持治具生成装置

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5539652A (en) * 1995-02-07 1996-07-23 Hewlett-Packard Company Method for manufacturing test simulation in electronic circuit design
US5666288A (en) * 1995-04-21 1997-09-09 Motorola, Inc. Method and apparatus for designing an integrated circuit
US5754826A (en) * 1995-08-04 1998-05-19 Synopsys, Inc. CAD and simulation system for targeting IC designs to multiple fabrication processes
US6324671B1 (en) * 1997-02-26 2001-11-27 Advanced Micro Devices, Inc. Using a reduced cell library for preliminary synthesis to evaluate design

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0981623A (ja) * 1995-09-19 1997-03-28 Fujitsu Ltd Cadシステム及びlsi設計方法
JP2002076086A (ja) * 2000-08-29 2002-03-15 Hitachi Ltd 電子デバイスの歩留り予測システム

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5539652A (en) * 1995-02-07 1996-07-23 Hewlett-Packard Company Method for manufacturing test simulation in electronic circuit design
US5666288A (en) * 1995-04-21 1997-09-09 Motorola, Inc. Method and apparatus for designing an integrated circuit
US5754826A (en) * 1995-08-04 1998-05-19 Synopsys, Inc. CAD and simulation system for targeting IC designs to multiple fabrication processes
US6324671B1 (en) * 1997-02-26 2001-11-27 Advanced Micro Devices, Inc. Using a reduced cell library for preliminary synthesis to evaluate design

Non-Patent Citations (4)

* Cited by examiner, † Cited by third party
Title
CHANG K.Y.: "Technology issues of library porting in multi-process environment", SIXTH ANNUAL IEEE INTERNATIONAL, September 1993 (1993-09-01) - October 1993 (1993-10-01), pages 320 - 325, XP010144141 *
CHEW M.: "A new methodology for concurrent technology development and cell library optimization", IEEE VLSI DESIGN, January 1999 (1999-01-01), pages 18 - 24, XP010319953 *
HEINEKEN H.T.: "Manufacturability analysis of standard cell libraries", PROCEEDINGS OF THE IEEE, May 1998 (1998-05-01), pages 321 - 324, XP010294004 *
HUNTER A.: "Combining advanced process technology and design for systems level integration", PROCEEDINGS. IEEE 2000, March 2000 (2000-03-01), pages 245 - 250, XP010378000 *

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7487474B2 (en) 2003-01-02 2009-02-03 Pdf Solutions, Inc. Designing an integrated circuit to improve yield using a variant design element
WO2009135226A2 (en) * 2008-04-29 2009-11-05 Qualcomm Incorporated Clock gating system and method
WO2009135226A3 (en) * 2008-04-29 2010-09-10 Qualcomm Incorporated Clock gating system and method
US7902878B2 (en) 2008-04-29 2011-03-08 Qualcomm Incorporated Clock gating system and method
EP2620833A1 (en) * 2008-04-29 2013-07-31 Qualcomm Incorporated Clock gating system and method
KR101252698B1 (ko) 2009-04-29 2013-04-09 퀄컴 인코포레이티드 클록 게이팅 시스템 및 방법
US11748552B2 (en) 2018-09-28 2023-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit design using fuzzy machine learning
US12050853B2 (en) 2023-07-21 2024-07-30 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit design using fuzzy machine learning

Also Published As

Publication number Publication date
CN1839389A (zh) 2006-09-27
DE10394299T5 (de) 2006-08-10
CN100474311C (zh) 2009-04-01
AU2003272617A1 (en) 2005-04-27
JP2007529100A (ja) 2007-10-18

Similar Documents

Publication Publication Date Title
US20060253810A1 (en) Integrated circuit design to optimize manufacturability
US8307317B2 (en) Statistical on-chip variation timing analysis
US8234617B2 (en) Method and system for re-using digital assertions in a mixed signal design
US6272668B1 (en) Method for cell swapping to improve pre-layout to post-layout timing
US8005660B2 (en) Hierarchical stochastic analysis process optimization for integrated circuit design and manufacture
US7469394B1 (en) Timing variation aware compilation
US20080127020A1 (en) System and method for automatic elimination of voltage drop, also known as IR drop, violations of a mask layout block, maintaining the process design rules correctness
JP2006512767A (ja) 歩留まり改善
US20140040850A1 (en) Manufacturability
US20080148197A1 (en) Parametric-based semiconductor design
US8103990B2 (en) Characterising circuit cell performance variability in response to perturbations in manufacturing process parameters
US20020073388A1 (en) Methodology to improve the performance of integrated circuits by exploiting systematic process non-uniformity
US8359553B1 (en) Various methods and apparatuses for effective yield enhancement of good chip dies having memories per wafer
US8261215B2 (en) Method and system for performing cell modeling and selection
WO2005036422A1 (en) Integrated circuit design to optimize manufacturability
US11816413B2 (en) Systems and methods for context aware circuit design
US7886238B1 (en) Visual yield analysis of intergrated circuit layouts
US6023567A (en) Method and apparatus for verifying timing rules for an integrated circuit design
US6668356B2 (en) Method for designing circuits with sections having different supply voltages
US8745567B1 (en) Efficient apparatus and method for analysis of RTL structures that cause physical congestion
US20050138587A1 (en) Analysis of congestion attributed to component placement in an integrated circuit topology floor-plan
US20090164184A1 (en) Method and System for Implementing a Complex System or Process
WO2009002301A1 (en) System and method for automatic elimination of voltage drop
KR20060116798A (ko) 제조가능성을 최적화하기 위한 집적 회로 디자인
US7546562B1 (en) Physical integrated circuit design with uncertain design conditions

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 03827080.3

Country of ref document: CN

AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 103942998

Country of ref document: DE

WWE Wipo information: entry into national phase

Ref document number: 2006253810

Country of ref document: US

Ref document number: 2005509541

Country of ref document: JP

Ref document number: 10572151

Country of ref document: US

Ref document number: 1020067005227

Country of ref document: KR

122 Ep: pct application non-entry in european phase
WWP Wipo information: published in national office

Ref document number: 10572151

Country of ref document: US