JP2007251141A5 - - Google Patents

Download PDF

Info

Publication number
JP2007251141A5
JP2007251141A5 JP2007028808A JP2007028808A JP2007251141A5 JP 2007251141 A5 JP2007251141 A5 JP 2007251141A5 JP 2007028808 A JP2007028808 A JP 2007028808A JP 2007028808 A JP2007028808 A JP 2007028808A JP 2007251141 A5 JP2007251141 A5 JP 2007251141A5
Authority
JP
Japan
Prior art keywords
acid
anionic surfactant
polishing liquid
carbon atoms
weight
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2007028808A
Other languages
English (en)
Other versions
JP2007251141A (ja
Filing date
Publication date
Priority claimed from US11/349,863 external-priority patent/US7842192B2/en
Application filed filed Critical
Publication of JP2007251141A publication Critical patent/JP2007251141A/ja
Publication of JP2007251141A5 publication Critical patent/JP2007251141A5/ja
Pending legal-status Critical Current

Links

Description

本明細書の目的に関して、インターコネクト用非鉄金属の存在下で、バリヤ材料を優先的に除去するのに役立つとは、毎分あたりのオングストロームで表される限りで、インターコネクト用金属の除去速度より大きい速度でバリヤ材料を除去することを意味する。代表的には、研磨液は、ウェーハに対して垂直な、15kPa未満と測定される研磨パッド圧で測定した、少なくとも1:1という銅対窒化タンタルの選択比を有する。好ましくは、研磨液は、ウェーハに対して垂直な、15kPa未満と測定される研磨パッド圧で測定した、少なくとも1:1.5という銅対窒化タンタルの選択比を有する。最も好ましくは、研磨液は、少なくとも1:2という銅対窒化タンタルの選択比を有する。選択比を試験する具体的な例は、実施例1の、ポリウレタン製研磨パッドをはじめとする条件である。選択比のこの高いレベルは、チップ製造者が、過剰な絶縁材料またはインターコネクト材料を除去せずに、バリヤ材料を除去するのを許す。
本明細書の目的に関して、制限された絶縁体エロージョンは、研磨後に、絶縁体がその意図された目的、たとえば半導電性であること、材料を遮蔽するか、またはバリヤ材料で囲むことのために作用するのに充分な厚さを有するような、ケミカルメカニカルポリッシング法を意味する。加えて、該研磨液は、絶縁体またはCDO対窒化タンタルの柔軟性に富む選択比を与える。たとえば、該研磨液は、ウェーハに対して垂直な、15kPa未満と測定される研磨パッド圧で測定した、2:1ないし1:10という高いTEOSまたはCDO対窒化タンタルの選択比を有する。選択比を試験する具体的な例は、ポリウレタン製研磨パッドをはじめとする、実施例1の条件である。

Claims (10)

  1. 絶縁体のエロージョンが制限された少なくとも1種類のインターコネクト用非鉄金属の存在下で、バリヤ材料を除去するのに役立つ研磨液であって、酸化剤0〜20重量%と、該インターコネクト用非鉄金属の除去速度を低下させるためのインヒビター少なくとも0.001重量%と、
    Figure 2007251141

    [式中、R1、R2、R3およびR4は、基であり、R1は、炭素原子2〜25個の炭素鎖長を有する]
    によって形成される、カチオン性有機物含有アンモニウム塩1ppm〜4重量%と、炭素原子4〜25個を有するアニオン性界面活性剤であって、該カチオン性アンモニウム塩に該アニオン性界面活性剤を加えた総炭素原子数が6〜40個であるアニオン性界面活性剤1ppm〜4重量%と、砥粒0〜50重量%と、水残量とを含み、該溶液が7未満のpHを有する研磨液。
  2. アニオン性界面活性剤が、スルホン酸塩、硫酸塩、リン酸塩およびカルボン酸塩から選ばれる少なくとも1種類を含む、請求項1記載の研磨液。
  3. アニオン性界面活性剤が炭化水素またはフルオロカーボンである、請求項2記載の研磨液。
  4. アニオン性界面活性剤が、スルホコハク酸ラウリル、スルホン酸カプリル、硫酸デシル、硫酸カプリルおよびリン酸フルオロカーボンの少なくとも1種類から選ばれる、請求項3記載の研磨液。
  5. 絶縁体のエロージョンが制限された少なくとも1種類のインターコネクト用非鉄金属の存在下で、バリヤ材料を除去するのに役立つ研磨液であって、酸化剤0.001〜15重量%と、該インターコネクト用非鉄金属の除去速度を低下させるためのインヒビター少なくとも0.001重量%と、
    Figure 2007251141

    [式中、R1、R2、R3およびR4は、基であり、R1は、炭素原子2〜10個の炭素鎖長を有する]
    によって形成される、炭素原子5〜25個を有するカチオン性有機物含有アンモニウム塩1ppm〜4重量%と、炭素原子5〜20個を有するアニオン性界面活性剤であって、該カチオン性アンモニウム塩に該アニオン性界面活性剤を加えた総炭素原子数が10〜35個であるアニオン性界面活性剤1ppm〜4重量%と、砥粒0〜50重量%と、水残量とを含み、該溶液が5未満のpHを有する研磨液。
  6. アニオン性界面活性剤が、スルホン酸塩、硫酸塩、リン酸塩およびカルボン酸塩から選ばれる少なくとも1種類を含む、請求項5記載の研磨液。
  7. アニオン性界面活性剤が炭化水素またはフルオロカーボンである、請求項6記載の研磨液。
  8. アニオン性界面活性剤が、スルホコハク酸ラウリル、スルホン酸カプリル、硫酸デシル、硫酸カプリルおよびリン酸フルオロカーボンの少なくとも1種類から選ばれる、請求項7記載の研磨液。
  9. 溶液が、酢酸、アラニン、アスパラギン酸、アセト酢酸エチル、エチレンジアミン、トリメチレンジアミン、エチレンジアミン四酢酸(EDTA)、クエン酸、乳酸、リンゴ酸、マレイン酸、マロン酸、シュウ酸、トリエチレンテトラアミン、ジエチレントリアミン、グリシン、グリコール酸、グルタル酸、サリチル酸、ニトリロ三酢酸、エチレンジアミン、ヒドロキシエチレンジアミン三酢酸、ヒドロキシキノリン、酒石酸、ジチオカルバミン酸ジエチルナトリウム、コハク酸、スルホサリチル酸、トリグリコール酸、チオグリコール酸、3−ヒドロキシ酪酸、プロピオン酸、フタル酸、イソフタル酸、3−ヒドロキシサリチル酸、3,5−ジヒドロキシサリチル酸、没食子酸、グルコン酸、ピロカテコール、ピロガロール、タンニン酸、それらの塩およびそれらの混合物を含む群から選ばれる少なくとも1種類を含む錯化剤を含有する、請求項8記載の研磨液。
  10. 半導体基板を研磨する方法であって、半導体の基板を研磨液および研磨パッドで研磨する工程を含み;絶縁体のエロージョンが制限された少なくとも1種類のインターコネクト用非鉄金属の存在下で、バリヤ材料を除去するのに役立つ該研磨液が、酸化剤0〜20重量%と、該インターコネクト用非鉄金属の除去速度を低下させるためのインヒビター少なくとも0.001重量%と、
    Figure 2007251141

    [式中、R1、R2、R3およびR4は、基であり、R1は、炭素原子2〜25個の炭素鎖長を有する]
    によって形成される、カチオン性有機物含有アンモニウム塩1ppm〜4重量%と、炭素原子4〜25個を有するアニオン性界面活性剤であって、該カチオン性アンモニウム塩に該アニオン性界面活性剤を加えた総炭素原子数が6〜40個であるアニオン性界面活性剤1ppm〜4重量%と、砥粒0〜50重量%と、水残量とを含み、該溶液が7未満のpHを有する方法。
JP2007028808A 2006-02-08 2007-02-08 多成分障壁研磨液 Pending JP2007251141A (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/349,863 US7842192B2 (en) 2006-02-08 2006-02-08 Multi-component barrier polishing solution

Publications (2)

Publication Number Publication Date
JP2007251141A JP2007251141A (ja) 2007-09-27
JP2007251141A5 true JP2007251141A5 (ja) 2012-08-30

Family

ID=38282434

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007028808A Pending JP2007251141A (ja) 2006-02-08 2007-02-08 多成分障壁研磨液

Country Status (7)

Country Link
US (1) US7842192B2 (ja)
JP (1) JP2007251141A (ja)
KR (1) KR101362834B1 (ja)
CN (1) CN101016440B (ja)
DE (1) DE102007004881A1 (ja)
FR (1) FR2897065B1 (ja)
TW (1) TWI396731B (ja)

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8591763B2 (en) * 2006-03-23 2013-11-26 Cabot Microelectronics Corporation Halide anions for metal removal rate control
KR101032504B1 (ko) * 2006-06-30 2011-05-04 주식회사 엘지화학 Cmp 슬러리
US20080149591A1 (en) * 2006-12-21 2008-06-26 Junaid Ahmed Siddiqui Method and slurry for reducing corrosion on tungsten during chemical mechanical polishing
US20080149884A1 (en) * 2006-12-21 2008-06-26 Junaid Ahmed Siddiqui Method and slurry for tuning low-k versus copper removal rates during chemical mechanical polishing
US20080148652A1 (en) * 2006-12-21 2008-06-26 Junaid Ahmed Siddiqui Compositions for chemical mechanical planarization of copper
CN101457125B (zh) * 2007-12-14 2013-08-28 安集微电子(上海)有限公司 一种化学机械抛光液
KR100945871B1 (ko) * 2007-12-27 2010-03-05 주식회사 동부하이텍 듀얼 다마신 공정을 이용한 금속 배선 형성 방법
JP4423379B2 (ja) * 2008-03-25 2010-03-03 合同会社先端配線材料研究所 銅配線、半導体装置および銅配線の形成方法
US8540893B2 (en) * 2008-08-04 2013-09-24 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing composition and methods relating thereto
CN101665664B (zh) * 2008-09-05 2013-08-28 安集微电子(上海)有限公司 季铵盐型阳离子表面活性剂和一种化学机械抛光液的应用
JP2010067681A (ja) * 2008-09-09 2010-03-25 Fujifilm Corp 研磨液及び研磨方法
US8119529B2 (en) * 2009-04-29 2012-02-21 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Method for chemical mechanical polishing a substrate
CN101671527A (zh) * 2009-09-27 2010-03-17 大连三达奥克化学股份有限公司 高去除率、低损伤的铜化学机械抛光液及制备方法
CN102051128B (zh) * 2009-11-06 2015-10-07 安集微电子(上海)有限公司 一种化学机械抛光液
CN102093817A (zh) * 2009-12-11 2011-06-15 安集微电子(上海)有限公司 一种用于钽阻挡抛光的化学机械抛光液
CN102093816B (zh) * 2009-12-11 2017-02-22 安集微电子(上海)有限公司 一种化学机械抛光液
US8232208B2 (en) 2010-06-15 2012-07-31 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Stabilized chemical mechanical polishing composition and method of polishing a substrate
US8821751B2 (en) * 2010-06-24 2014-09-02 Air Products And Chemicals, Inc. Chemical mechanical planarization composition and method with low corrosiveness
US9040473B1 (en) 2010-07-21 2015-05-26 WD Media, LLC Low foam media cleaning detergent with nonionic surfactants
US8568610B2 (en) 2010-09-20 2013-10-29 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Stabilized, concentratable chemical mechanical polishing composition and method of polishing a substrate
US8513126B2 (en) 2010-09-22 2013-08-20 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Slurry composition having tunable dielectric polishing selectivity and method of polishing a substrate
KR101907229B1 (ko) * 2011-02-03 2018-10-11 니타 하스 인코포레이티드 연마용 조성물 및 그것을 이용한 연마 방법
JP2013074036A (ja) * 2011-09-27 2013-04-22 Toshiba Corp Cmp用スラリーおよび半導体装置の製造方法
US9029308B1 (en) 2012-03-28 2015-05-12 WD Media, LLC Low foam media cleaning detergent
US8545715B1 (en) 2012-10-09 2013-10-01 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing composition and method
US8859428B2 (en) * 2012-10-19 2014-10-14 Air Products And Chemicals, Inc. Chemical mechanical polishing (CMP) composition for shallow trench isolation (STI) applications and methods of making thereof
US9058976B2 (en) * 2012-11-06 2015-06-16 International Business Machines Corporation Cleaning composition and process for cleaning semiconductor devices and/or tooling during manufacturing thereof
CN104745086A (zh) * 2013-12-25 2015-07-01 安集微电子(上海)有限公司 一种用于阻挡层平坦化的化学机械抛光液及其使用方法
CN105802509B (zh) * 2014-12-29 2018-10-26 安集微电子(上海)有限公司 一种组合物在阻挡层抛光中的应用
CN105463466A (zh) * 2015-11-22 2016-04-06 全椒县志宏机电设备设计有限公司 一种用于不锈钢材质的机械设备的抛光液及其制备方法
CN106637222A (zh) * 2016-12-11 2017-05-10 戴琪 一种降低返锈率不锈钢抛光液的制备方法
JP7120846B2 (ja) * 2018-08-10 2022-08-17 株式会社フジミインコーポレーテッド 研磨用組成物及びその製造方法並びに研磨方法並びに基板の製造方法

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4372237B2 (ja) * 1997-12-24 2009-11-25 花王株式会社 磁気記録媒体用基板の研磨方法
JP2000008025A (ja) * 1998-06-22 2000-01-11 Cci Corp 研磨剤
WO2000024842A1 (en) * 1998-10-23 2000-05-04 Arch Specialty Chemicals, Inc. A chemical mechanical polishing slurry system having an activator solution
US6046112A (en) * 1998-12-14 2000-04-04 Taiwan Semiconductor Manufacturing Company Chemical mechanical polishing slurry
JP2000317826A (ja) * 1999-05-07 2000-11-21 Okamoto Machine Tool Works Ltd 基板の研磨終点検出方法および研磨終点検出装置
US6375693B1 (en) * 1999-05-07 2002-04-23 International Business Machines Corporation Chemical-mechanical planarization of barriers or liners for copper metallurgy
JP3353831B2 (ja) * 1999-10-22 2002-12-03 インターナショナル・ビジネス・マシーンズ・コーポレーション Cmpスラリー、研摩方法及びcmpツール
US6443811B1 (en) * 2000-06-20 2002-09-03 Infineon Technologies Ag Ceria slurry solution for improved defect control of silicon dioxide chemical-mechanical polishing
JP2002231666A (ja) * 2001-01-31 2002-08-16 Fujimi Inc 研磨用組成物およびそれを用いた研磨方法
EP1385915A1 (en) * 2001-04-12 2004-02-04 Rodel Holdings, Inc. Polishing composition having a surfactant
US20030168627A1 (en) 2002-02-22 2003-09-11 Singh Rajiv K. Slurry and method for chemical mechanical polishing of metal structures including refractory metal based barrier layers
US6866793B2 (en) 2002-09-26 2005-03-15 University Of Florida Research Foundation, Inc. High selectivity and high planarity dielectric polishing
JP2004172606A (ja) 2002-11-08 2004-06-17 Sumitomo Chem Co Ltd 金属研磨材組成物及び研磨方法
US7300603B2 (en) 2003-08-05 2007-11-27 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical planarization compositions for reducing erosion in semiconductor wafers
US7018560B2 (en) * 2003-08-05 2006-03-28 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Composition for polishing semiconductor layers
US7300480B2 (en) 2003-09-25 2007-11-27 Rohm And Haas Electronic Materials Cmp Holdings, Inc. High-rate barrier polishing composition
US7485162B2 (en) * 2003-09-30 2009-02-03 Fujimi Incorporated Polishing composition
US20050104048A1 (en) * 2003-11-13 2005-05-19 Thomas Terence M. Compositions and methods for polishing copper
US6964600B2 (en) 2003-11-21 2005-11-15 Praxair Technology, Inc. High selectivity colloidal silica slurry
JP2005179421A (ja) 2003-12-17 2005-07-07 Nissan Chem Ind Ltd 研磨用組成物
JP5036955B2 (ja) * 2003-12-19 2012-09-26 ニッタ・ハース株式会社 金属膜研磨組成物および金属膜の研磨方法
US6971945B2 (en) 2004-02-23 2005-12-06 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Multi-step polishing solution for chemical mechanical planarization
US7253111B2 (en) 2004-04-21 2007-08-07 Rohm And Haas Electronic Materials Cmp Holding, Inc. Barrier polishing solution

Similar Documents

Publication Publication Date Title
JP2007251141A5 (ja)
US20090130849A1 (en) Chemical mechanical polishing and wafer cleaning composition comprising amidoxime compounds and associated method for use
KR102160024B1 (ko) 코발트 제거를 위한 연마 슬러리
TWI553116B (zh) 洗淨組合物、洗淨方法及半導體裝置之製造方法
JP6023125B2 (ja) 化学的機械的研磨スラリー組成物およびそれを使用した銅のための方法およびシリコン貫通ビア適用
US20090137191A1 (en) Copper cmp polishing pad cleaning composition comprising of amidoxime compounds
JP6488740B2 (ja) 半導体デバイス用基板洗浄液及び半導体デバイス用基板の洗浄方法
JP6711437B2 (ja) 半導体デバイス用基板洗浄液及び半導体デバイス用基板の洗浄方法
JP7220142B2 (ja) チタン層またはチタン含有層のエッチング液組成物およびエッチング方法
TWI434929B (zh) 清潔半導體基材用的組成物與方法
WO2007050409A1 (en) Polishing fluids and methods for cmp
JP2009540575A5 (ja)
JP2019208062A (ja) 半導体デバイス用基板洗浄液及び半導体デバイス用基板の洗浄方法
JP6568198B2 (ja) Cmp後の洗浄組成物及びそれに関連する方法
TW200907048A (en) Alkaline agueoussolution used for washing or etching substrutes
TWI720248B (zh) 表面處理組合物與使用該組合物的表面處理方法以及半導體基板之製造方法
TW200902705A (en) Process for cleaning a semiconductor wafer using a cleaning solution
US9150758B2 (en) Polishing composition, polishing method using same, and method for producing semiconductor device
JPWO2018020878A1 (ja) 表面処理組成物およびこれを用いた表面処理方法
TWI656204B (zh) 研磨用組成物、該使用方法、及基板之製造方法
WO2019171790A1 (ja) 表面処理組成物、表面処理組成物の製造方法、表面処理方法および半導体基板の製造方法
WO2022221497A1 (en) Cleaning composition
TW201235428A (en) Metal-passivating CMP compositions and methods
KR101423108B1 (ko) 반도체 디바이스용 세정제 및 그것을 사용한 반도체 디바이스의 세정방법
JP2004182773A (ja) 疎水性基板洗浄用液体組成物