JP2007227614A - Information control method, information control system, program, recording medium, pattern tester, and board tester - Google Patents

Information control method, information control system, program, recording medium, pattern tester, and board tester Download PDF

Info

Publication number
JP2007227614A
JP2007227614A JP2006046575A JP2006046575A JP2007227614A JP 2007227614 A JP2007227614 A JP 2007227614A JP 2006046575 A JP2006046575 A JP 2006046575A JP 2006046575 A JP2006046575 A JP 2006046575A JP 2007227614 A JP2007227614 A JP 2007227614A
Authority
JP
Japan
Prior art keywords
inspection
information
pattern
substrate
processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2006046575A
Other languages
Japanese (ja)
Other versions
JP5152612B2 (en
Inventor
Shinichi Okita
晋一 沖田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nikon Corp
Original Assignee
Nikon Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nikon Corp filed Critical Nikon Corp
Priority to JP2006046575A priority Critical patent/JP5152612B2/en
Publication of JP2007227614A publication Critical patent/JP2007227614A/en
Application granted granted Critical
Publication of JP5152612B2 publication Critical patent/JP5152612B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Abstract

<P>PROBLEM TO BE SOLVED: To provide an information control method, information control system, program, recording medium, pattern tester, and substrate tester which improve the yield of the device production. <P>SOLUTION: In the event of an abnormity in a wafer test, an aligner examines the reticle on a corresponding part to an abnormal region to send the result to an analyzer. It takes correlation of the abnormal of a wafer to the abnormal part of the reticle to decide whether the reticle causes the abnormity of the wafer. If the abnormity of the wafer is caused by a foreign matter on the reticle, the matter is removed, or if caused by the defect of the reticle pattern, the reticle is replaced. Or, if needed, the abnormity criterion level of the reticle test is adjusted. <P>COPYRIGHT: (C)2007,JPO&INPIT

Description

本発明は、情報管理方法、情報管理システム、プログラム、記録媒体、パターン検査装置及び基板検査装置に係り、さらに詳しくは、例えば、半導体素子、液晶表示素子、CCD(Charge Coupled Device)等の撮像素子、薄膜磁気ヘッド等を製造するためのフォトリソグラフィ工程に関する情報を管理するための情報管理方法、情報管理システム、プログラム、記録媒体、パターン検査装置及び基板検査装置に関する。   The present invention relates to an information management method, an information management system, a program, a recording medium, a pattern inspection apparatus, and a substrate inspection apparatus. More specifically, for example, an image sensor such as a semiconductor element, a liquid crystal display element, and a CCD (Charge Coupled Device). The present invention relates to an information management method, an information management system, a program, a recording medium, a pattern inspection apparatus, and a substrate inspection apparatus for managing information related to a photolithography process for manufacturing a thin film magnetic head or the like.

従来より、デバイス製造処理においては、デバイスパターンが形成された基板のそのパターンの形成状態が検査器を用いて検査されている。この検査において基板上に形成されたデバイスパターンに欠陥などの異常が認められると、デバイス製造処理における一連の処理の中で、その異常の原因が特定され、その原因が取り除かれる。しかしながら、デバイスパターンの異常の原因が特定されるまでには試行錯誤的な作業が必要となり、その原因が取り除かれるまでにかなりの時間が必要となっていた。   Conventionally, in a device manufacturing process, the pattern formation state of a substrate on which a device pattern is formed is inspected using an inspector. If an abnormality such as a defect is recognized in the device pattern formed on the substrate in this inspection, the cause of the abnormality is identified and removed in a series of processes in the device manufacturing process. However, trial and error work is required until the cause of the device pattern abnormality is identified, and a considerable amount of time is required until the cause is removed.

また、このような検査においては、検査器の検出感度を上げると、ほとんどすべてのパターンの欠陥を検出することはできるものの、欠陥ではないが、若干のパターン線幅の細りなどで欠陥として検出されてしまった擬似的な欠陥(擬似欠陥)や、欠陥ではあるが実質的に歩留まりに影響しない微細な欠陥についても、多数検出されてしまうようになる。このような場合には、欠陥を検出した後に、擬似欠陥や歩留まりに影響しない程度の欠陥と本来検出すべき欠陥とを選別する作業が必要となり、欠陥の選別にかなりの時間が必要となっていた。   Also, in such inspection, if the detection sensitivity of the inspector is increased, defects in almost all patterns can be detected, but they are not defects, but they are detected as defects due to slight narrowing of the pattern line width. A large number of detected pseudo defects (pseudo defects) and fine defects that are defects but do not substantially affect the yield are detected. In such a case, after detecting the defect, it is necessary to select a defect that does not affect the pseudo-defect or the yield and a defect that should be detected, and it takes a considerable time to select the defect. It was.

一方、リソグラフィ技術においては、デバイスパターンの微細化の要求に応えるべく、超解像技術(Resolution Enhancement Technology)の一環として、光近接効果を考慮してパターンが設計されたOPC(Optical Proximity Correction)マスクや、光の位相差を利用して、像面上のパターンの高コンストラスト化をはかった位相シフトマスクが採用されるようになっている。OPCマスクや位相シフトマスクにおいては、パターンがより複雑化しており、このような複雑なパターンに対する欠陥検出感度の向上、欠陥検査時間の短縮が求められている。   On the other hand, in lithography technology, OPC (Optical Proximity Correction) masks that are designed with optical proximity effects in mind as part of super-resolution technology (Resolution Enhancement Technology) to meet the demand for device pattern miniaturization. In addition, a phase shift mask that uses a light phase difference to increase the pattern contrast on the image plane is adopted. In OPC masks and phase shift masks, patterns are becoming more complicated, and improvement in defect detection sensitivity for such complicated patterns and reduction in defect inspection time are required.

特許第3128876号公報Japanese Patent No. 3128876

本発明は、第1の観点からすると、パターンを検査するパターン検査装置と情報処理装置との間を情報伝達可能に接続し、前記パターンが転写された基板を検査する基板検査装置と前記情報処理装置との間を情報伝達可能に接続する接続工程と;前記情報処理装置を用いて、前記パターン検査装置の検査内容に関する情報と、前記基板検査装置の検査内容に関する情報とを収集する収集工程と;前記収集された情報を、前記情報処理装置を用いて管理する管理工程と;を含む情報管理方法である。   According to a first aspect of the present invention, there is provided a substrate inspection apparatus for inspecting a substrate on which a pattern is transferred, and the information processing apparatus, wherein a pattern inspection apparatus for inspecting a pattern and an information processing apparatus are connected so as to be able to transmit information A connection step for connecting information to the apparatus so that information can be transmitted; and a collection step for collecting information relating to inspection contents of the pattern inspection apparatus and information relating to inspection contents of the substrate inspection apparatus using the information processing apparatus; A management step of managing the collected information using the information processing apparatus.

本発明は、第2の観点からすると、基板上に転写されるパターンを検査するパターン検査装置と;前記パターンが転写された基板を検査する基板検査装置と;前記パターン検査装置及び前記基板検査装置と情報伝達可能に接続され、前記パターン検査装置の検査内容に関する情報と、前記基板検査装置の検査内容に関する情報とを収集し、前記収集された情報を管理する前記情報処理装置とを含む情報管理システムである。   From a second viewpoint, the present invention provides a pattern inspection apparatus that inspects a pattern transferred onto a substrate; a substrate inspection apparatus that inspects a substrate to which the pattern is transferred; and the pattern inspection apparatus and the substrate inspection apparatus. And information processing device that is connected to be able to communicate information, and that collects information relating to inspection contents of the pattern inspection apparatus and information relating to inspection contents of the substrate inspection apparatus, and manages the collected information System.

本発明は、第3の観点からすると、パターンを検査するパターン検査装置から検査内容に関する情報を収集する手順と、前記パターンが転写された基板を検査する基板検査装置から検査内容に関する情報を収集する手順と、前記パターン検査装置から収集された情報と前記基板検査装置から収集された情報とを管理する手順とを、コンピュータに実行させるプログラムである。   According to a third aspect of the present invention, a procedure for collecting information on inspection contents from a pattern inspection apparatus for inspecting a pattern, and information on inspection contents from a substrate inspection apparatus for inspecting a substrate on which the pattern is transferred are collected. A program for causing a computer to execute a procedure and a procedure for managing information collected from the pattern inspection apparatus and information collected from the substrate inspection apparatus.

これらによれば、歩留まりに直接影響する基板欠陥検査の検査内容に関する情報と、その欠陥を未然に検出しうるパターン欠陥検査の検査内容に関する情報とを収集し、それらを統合して管理するので、歩留まりに直接影響しうる欠陥を、いち早く検出するための環境を構築することができるようになる。この結果、デバイスの生産性が向上する。   According to these, because it collects information on the inspection content of the substrate defect inspection that directly affects the yield and information on the inspection content of the pattern defect inspection that can detect the defect in advance, they are integrated and managed, It becomes possible to construct an environment for quickly detecting defects that can directly affect the yield. As a result, device productivity is improved.

本発明は、第4の観点からすると、本発明のプログラムをコンピュータシステムで読み取り可能に記録する記録媒体である。かかる場合には、コンピュータに本発明のプログラムのいずれかを実行させることができ、歩留まりに直結する検査を効率良く行うことが可能となる。   From a fourth viewpoint, the present invention is a recording medium for recording the program of the present invention so as to be readable by a computer system. In such a case, it is possible to cause the computer to execute any of the programs of the present invention, and it is possible to efficiently perform an inspection directly related to the yield.

本発明は、第5の観点からすると、基板上に転写されるパターンを検査するパターン検査装置であって、前記パターンが転写された基板を検査する基板検査装置での検査内容に関する情報を受信する受信装置を備え、前記パターンの検査に関する情報と前記基板検査装置での検査内容に関する情報との相関に基づいて、前記基板の検査方法を最適化するパターン検査装置である。   According to a fifth aspect of the present invention, there is provided a pattern inspection apparatus for inspecting a pattern transferred onto a substrate, and receiving information relating to inspection contents in the substrate inspection apparatus for inspecting a substrate having the pattern transferred thereon. The pattern inspection apparatus includes a receiving device and optimizes the substrate inspection method based on the correlation between the information regarding the pattern inspection and the information regarding the inspection contents of the substrate inspection apparatus.

これによれば、パターンの検査と相関のある基板の検査が可能となり、歩留まりに直結する基板検査を実現することができる。   According to this, it is possible to inspect the substrate having a correlation with the pattern inspection, and it is possible to realize the substrate inspection directly related to the yield.

本発明は、第6の観点からすると、基板上に転写されるパターンを検査するパターン検査装置であって、前記パターンが転写された基板を検査する基板検査装置での検査内容に関する情報を受信する受信装置を備え、前記パターンの検査に関する情報と前記基板検査装置での検査内容に関する情報との相関に基づいて、前記基板の検査方法を最適化するパターン検査装置である。   According to a sixth aspect of the present invention, there is provided a pattern inspection apparatus for inspecting a pattern transferred onto a substrate, and receiving information relating to inspection contents in the substrate inspection apparatus for inspecting a substrate having the pattern transferred thereon. The pattern inspection apparatus includes a receiving device and optimizes the substrate inspection method based on the correlation between the information regarding the pattern inspection and the information regarding the inspection contents of the substrate inspection apparatus.

これによれば、基板の検査と相関のあるパターンの検査が可能となり、歩留まりに直結するパターン検査を実現することができる。   According to this, it is possible to inspect a pattern having a correlation with the inspection of the substrate, and it is possible to realize a pattern inspection that is directly related to the yield.

以下、本発明の一実施形態を図1〜図10に基づいて説明する。   Hereinafter, an embodiment of the present invention will be described with reference to FIGS.

図1には、本発明の一実施形態に係るデバイス製造処理システムの概略構成が示されている。図1に示されるように、デバイス製造処理システム1000は、半導体ウエハを処理し、マイクロデバイスを製造するためにデバイス製造工場内に構築されたシステムである。図1に示されるように、このデバイス製造処理システム1000は、露光装置100と、その露光装置100に隣接して配置されたトラック200と、管理コントローラ160と、解析装置500と、ホストシステム600と、デバイス製造処理装置群900とを備えている。   FIG. 1 shows a schematic configuration of a device manufacturing processing system according to an embodiment of the present invention. As shown in FIG. 1, a device manufacturing processing system 1000 is a system constructed in a device manufacturing factory for processing semiconductor wafers and manufacturing micro devices. As shown in FIG. 1, the device manufacturing processing system 1000 includes an exposure apparatus 100, a track 200 disposed adjacent to the exposure apparatus 100, a management controller 160, an analysis apparatus 500, and a host system 600. And a device manufacturing processing apparatus group 900.

露光装置100は、デバイスパターンを、フォトレジストが塗布されたウエハに転写する装置である。露光装置100は、露光用照明光を射出する照明系、その照明光により照明されるデバイスパターン等が形成されたレチクルを保持するステージ、露光用照明光により照明されたデバイスパターン等を投影する両側テレセントリックな投影光学系、露光対象となるウエハを保持するステージ(いずれも不図示)及びこれらを統括制御する制御系等を備えている。   The exposure apparatus 100 is an apparatus that transfers a device pattern to a wafer coated with a photoresist. The exposure apparatus 100 includes an illumination system that emits exposure illumination light, a stage that holds a reticle on which a device pattern illuminated by the illumination light is formed, and both sides that project a device pattern illuminated by the exposure illumination light. A telecentric projection optical system, a stage (not shown) for holding a wafer to be exposed, and a control system for overall control of these stages are provided.

照明系からの照明光は、レチクルステージに保持されたレチクルの一部に照射される。この照射領域を照明領域とする。レチクル上には、回路パターン等を含むデバイスパターンが形成されている。照明領域を介した照明光は、投影光学系を介して、ステージに保持されたウエハの被露光面(ウエハ面)の一部に入射し、そこに照明領域のデバイスパターンの投影像が形成される。このウエハ上の領域を露光領域とする。ウエハ面には、フォトレジストが塗布されており、露光領域に対応する部分に投影像のパターンが転写されるようになる。   Illumination light from the illumination system is applied to a part of the reticle held on the reticle stage. This irradiation area is defined as an illumination area. A device pattern including a circuit pattern and the like is formed on the reticle. The illumination light passing through the illumination area is incident on a part of the exposed surface (wafer surface) of the wafer held on the stage via the projection optical system, and a projection image of the device pattern in the illumination area is formed there. The This area on the wafer is defined as an exposure area. Photoresist is applied to the wafer surface, and the pattern of the projected image is transferred to a portion corresponding to the exposure area.

ここで、投影光学系の光軸と平行な座標軸をZ軸とするXYZ座標系を考える。ウエハを保持するステージは、XY平面を移動可能であるとともに、ウエハ面を、Z軸方向のシフト、θx(X軸回りの回転軸)方向、θy(Y軸回りの回転軸)方向に調整することが可能である。また、レチクルを保持するステージは、ウエハを保持するステージに同期してXY面内を移動することが可能である。   Here, consider an XYZ coordinate system in which the coordinate axis parallel to the optical axis of the projection optical system is the Z axis. The stage holding the wafer can move on the XY plane and adjust the wafer surface in the Z-axis direction shift, θx (rotation axis about the X axis) direction, and θy (rotation axis about the Y axis) direction. It is possible. The stage holding the reticle can move in the XY plane in synchronization with the stage holding the wafer.

この両ステージの投影光学系の投影倍率に応じた同期走査により、レチクル上のデバイスパターンが、照明領域を通過するのに同期して、ウエハ面が、露光領域を通過するようになる。これにより、レチクル上の全デバイスパターンが、ウエハ面上の一部の領域(ショット領域)に転写されるようになる。露光装置100は、露光用照明光に対し、上述した両ステージの相対同期走査と、ウエハを保持するステージのステッピングを繰り返すことにより、レチクル上のデバイスパターンをウエハ上の複数のショット領域に転写している。すなわち、露光装置100は、走査露光(ステップ・アンド・スキャン)方式の露光装置である。   By synchronous scanning in accordance with the projection magnifications of the projection optical systems of both stages, the wafer surface passes through the exposure area in synchronization with the device pattern on the reticle passing through the illumination area. As a result, the entire device pattern on the reticle is transferred to a partial area (shot area) on the wafer surface. The exposure apparatus 100 transfers the device pattern on the reticle to a plurality of shot areas on the wafer by repeating the above-described relative synchronous scanning of both stages and stepping of the stage holding the wafer with respect to the exposure illumination light. ing. That is, the exposure apparatus 100 is a scanning exposure (step-and-scan) type exposure apparatus.

露光装置100の制御系は、照明光の強度(露光量)を制御する露光量制御系と、両ステージの同期制御や、投影光学系の焦点深度内にウエハの面を一致させるオートフォーカス/レベリング制御(以下、単に、フォーカス制御という)などを行うステージ制御系と、投影光学系の可動レンズ素子を制御するレンズ制御系とを備えている。   The control system of the exposure apparatus 100 includes an exposure amount control system that controls the intensity (exposure amount) of illumination light, synchronous control of both stages, and autofocus / leveling that matches the wafer surface within the depth of focus of the projection optical system. A stage control system that performs control (hereinafter simply referred to as focus control) and the like, and a lens control system that controls a movable lens element of the projection optical system are provided.

露光量制御系は、露光量を検出可能な各種露光量センサの検出値に基づいて、露光量をその目標値に一致させるように制御するフィードバック制御を行っている。   The exposure amount control system performs feedback control for controlling the exposure amount to match the target value based on detection values of various exposure amount sensors capable of detecting the exposure amount.

以下では、ステージ制御系のうち、両ステージの同期制御を行う制御系を同期制御系とし、ステージ位置(ウエハ面)のZ位置やX軸回り、Y軸回りの回転量を制御する制御系を、フォーカス制御系として説明する。ステージ制御系は、XYZ座標系の下で、ステージの位置を計測する干渉計の計測値に基づいてフィードバック制御を行って、両ステージの位置制御及び速度制御を実現している。露光装置100には、ウエハ面のフォーカス/レベリングずれを複数検出点にて検出する多点AF(オートフォーカス)センサが設けられている。ステージ制御系は、この多点AFセンサの複数検出点のうち、例えば9個の検出点(9チャンネル)でウエハ面高さを検出し、露光領域に対応するウエハ面を、投影光学系の像面に一致させるようなフィードバック制御を行うことにより、フォーカス制御を実現している。   In the following, among the stage control systems, a control system that performs synchronous control of both stages is referred to as a synchronous control system, and a control system that controls the rotation of the stage position (wafer surface) around the Z position, the X axis, and the Y axis. The focus control system will be described. The stage control system performs position control and speed control of both stages by performing feedback control based on the measurement value of the interferometer that measures the position of the stage under the XYZ coordinate system. The exposure apparatus 100 is provided with a multipoint AF (autofocus) sensor that detects a focus / leveling shift on the wafer surface at a plurality of detection points. The stage control system detects the wafer surface height from, for example, nine detection points (9 channels) among the plurality of detection points of the multipoint AF sensor, and displays the wafer surface corresponding to the exposure area on the image of the projection optical system. Focus control is realized by performing feedback control that matches the surface.

投影光学系は、複数枚、例えば10〜20枚程度の屈折光学素子(レンズ素子)を含む光学系である。これらのレンズ素子のうち、物体面側(レチクル側)の例えば5枚のレンズ素子は、制御系によって外部から駆動可能な可動レンズとなっている。これらのレンズ素子は、不図示の二重構造のレンズホルダをそれぞれ介して鏡筒に保持されている。これらレンズ素子は、内側レンズホルダにそれぞれ保持され、これらの内側のレンズホルダが不図示の駆動素子、例えばピエゾ素子などにより重力方向に3点で外側レンズホルダに対して支持される。そして、これらの駆動素子に対する印加電圧を独立して調整することにより、レンズ素子各々が、X軸、Y軸、Z軸(光軸)方向にシフト駆動であり、各軸回りの回転方向(θx、θy、θz)に回転駆動可能、すなわち6自由度に駆動可能な構成となっている。   The projection optical system is an optical system including a plurality of, for example, about 10 to 20 refractive optical elements (lens elements). Among these lens elements, for example, five lens elements on the object plane side (reticle side) are movable lenses that can be driven from the outside by a control system. These lens elements are held in the lens barrel via respective double structure lens holders (not shown). These lens elements are respectively held by the inner lens holders, and these inner lens holders are supported with respect to the outer lens holder at three points in the direction of gravity by a driving element (not shown) such as a piezo element. Then, by independently adjusting the voltages applied to these drive elements, each lens element is driven to shift in the X-axis, Y-axis, and Z-axis (optical axis) directions, and the rotational directions (θx around each axis). , Θy, θz) can be rotationally driven, that is, can be driven with six degrees of freedom.

その他のレンズ素子は、通常のレンズホルダを介して鏡筒に保持されている。なお、可動レンズ素子は、何個設けられていてもよい。レンズ制御系は、大気圧、露光装置100のチャンバ内の温度、露光量、投影光学系のレンズの温度をモニタし、そのモニタ結果に基づいて投影光学系の倍率変動量と、フォーカス変動量を算出し、その変動量に基づいて、投影光学系内部の気圧の調整と、レンズ間隔の調整により、ベストフォーカス位置と、倍率とが、目標値に追従するように制御している。   Other lens elements are held by the lens barrel via a normal lens holder. Note that any number of movable lens elements may be provided. The lens control system monitors the atmospheric pressure, the temperature in the chamber of the exposure apparatus 100, the exposure amount, and the temperature of the lens of the projection optical system. Based on the monitoring result, the magnification variation amount and the focus variation amount of the projection optical system are calculated. Based on the calculated amount of fluctuation, the best focus position and the magnification are controlled to follow the target value by adjusting the atmospheric pressure inside the projection optical system and adjusting the lens interval.

[制御系パラメータ]
露光装置100では、上記各制御系の動作を規定するファクタが幾つかパラメータ化されており、それらの値を、適切な範囲内で自由に設定することができるようになっている。制御系パラメータは、その設定値を変更する際に、プロセスを一旦停止して装置調整が必要となる調整系パラメータと、装置調整を必要としない非調整系パラメータとに大別される。
[Control system parameters]
In the exposure apparatus 100, several factors defining the operation of each control system are parameterized, and these values can be freely set within an appropriate range. Control system parameters are roughly classified into adjustment system parameters that require device adjustment by temporarily stopping the process when changing the set values, and non-adjustment system parameters that do not require device adjustment.

調整系パラメータの代表例について幾つか説明する。まず、露光量制御系関連では、露光量を検出する露光量センサ(不図示)の調整パラメータや、ウエハ面上の照明光の強度を計測する照度計測センサ(不図示)の調整パラメータなどがある。また、同期制御系関連では、ステージの位置測定用の干渉計からのレーザービームを反射するために両ステージ上に設けられた移動鏡曲がり補正用の補正関数の係数値などのパラメータや、フィードバック制御の位置ループゲイン、速度ループゲイン、積分時定数などがある。   Some representative examples of the adjustment system parameters will be described. First, in relation to the exposure amount control system, there are an adjustment parameter of an exposure amount sensor (not shown) for detecting the exposure amount, an adjustment parameter of an illuminance measurement sensor (not shown) for measuring the intensity of illumination light on the wafer surface, and the like. . In addition, for synchronous control systems, parameters such as coefficient values of correction functions for moving mirror bending correction provided on both stages to reflect the laser beam from the interferometer for stage position measurement, and feedback control Position loop gain, velocity loop gain, integration time constant, etc.

また、フォーカス制御系関連では、露光時のウエハ面と投影光学系による最良結像面とを一致させる際のフォーカス制御のオフセット調整値であるフォーカスオフセット、露光時のウエハ面と投影光学系の最良結像面とを一致させるためのレベリング調整パラメータ、多点AFセンサの個々の検出点のセンサである位置検出素子(PSD)のリニアリティ、センサ間オフセット、各センサの検出再現性、チャンネル間オフセット、ウエハ上へのAFビーム照射位置(すなわち検出点)、その他AF面補正などに関連するパラメータなどがある。また、レンズ制御系関連では、フォーカスオフセットや、内部のレンズ間隔の調整パラメータがある。これら調整系パラメータの値は、いずれも装置のキャリブレーションや試運転によって調整する必要がある。   As for the focus control system, focus offset, which is an offset adjustment value for focus control when aligning the wafer surface at the time of exposure with the best imaging surface by the projection optical system, and the best of the wafer surface at the time of exposure and the projection optical system. Leveling adjustment parameters for matching the image plane, the linearity of the position detection element (PSD) that is the sensor of each detection point of the multipoint AF sensor, the offset between sensors, the detection reproducibility of each sensor, the offset between channels, There are AF beam irradiation position (ie, detection point) on the wafer, other parameters related to AF surface correction, and the like. In addition, regarding lens control systems, there are focus offset and internal lens interval adjustment parameters. All of these adjustment system parameter values need to be adjusted by calibration or trial operation of the apparatus.

次に、非調整系パラメータの代表例について幾つか説明する。まず、露光量制御系関連では、例えば、照明系におけるNDフィルタの選択に関するパラメータや、露光量目標値がある。また、同期制御系関連では、例えば、走査(スキャン)速度などがある。また、フォーカス制御系関連では、例えば、多点AFセンサにおける9チャンネル分のフォーカスセンサの選択状態、後述するフォーカス段差補正マップ関連のパラメータ、フォーカスオフセットの微調整量、ウエハ外縁のエッジショットにおけるスキャン方向などがある。また、レンズ制御系関連では、投影光学系内部の気圧調整パラメータなどがある。これらのパラメータの設定値は、いずれも装置のキャリブレーションを行わずに値を変更することが可能なパラメータであり、露光レシピによって指定されているものが多い。なお、NDフィルタについては、あるウエハに対する露光開始時に、露光量目標値を適当に(例えば最小に)設定した状態で1回だけ行われる平均パワーチェックの結果により選択される。また、このNDフィルタの選択によっては、スキャン速度もある程度微調整される。   Next, some representative examples of the non-adjustment system parameters will be described. First, in relation to the exposure amount control system, for example, there are parameters related to selection of ND filters in the illumination system and exposure amount target values. Further, as for the synchronization control system, for example, there is a scanning speed. In the focus control system, for example, the focus sensor selection state for nine channels in the multi-point AF sensor, a focus step correction map-related parameter described later, the fine adjustment amount of the focus offset, and the scan direction in the edge shot of the wafer outer edge and so on. As for the lens control system, there are atmospheric pressure adjustment parameters inside the projection optical system. These parameter setting values are parameters that can be changed without calibrating the apparatus, and are often specified by the exposure recipe. Note that the ND filter is selected based on the result of an average power check that is performed only once with an exposure amount target value set appropriately (for example, at a minimum) at the start of exposure on a certain wafer. Depending on the selection of the ND filter, the scan speed is also finely adjusted to some extent.

ウエハ上に転写形成されるデバイスパターンの線幅や転写位置は、露光量、同期精度、フォーカス、レンズの各制御誤差により設計値からずれる。そこで、露光装置100では、露光量制御系から得られる露光量誤差に関連する制御量の時系列データ(露光量トレースデータ)、同期制御系から得られる同期精度誤差に関連する制御量の時系列データ(同期精度トレースデータ)、フォーカス制御系から得られるフォーカス誤差に関連する制御量の時系列データ(フォーカストレースデータ)、投影光学系のレンズ制御系から得られるレンズ制御誤差に関連する制御量の時系列データ(レンズトレースデータ)をロギングし、それらのデータをパターン線幅等の解析評価に利用している。   The line width and transfer position of the device pattern transferred and formed on the wafer are deviated from the design values due to exposure control, synchronization accuracy, focus, and lens control errors. Therefore, in the exposure apparatus 100, time-series data of control amounts (exposure amount trace data) related to the exposure amount error obtained from the exposure amount control system, and time series of control amounts related to the synchronization accuracy error obtained from the synchronization control system. Data (synchronization accuracy trace data), time-series data of control amount related to focus error obtained from the focus control system (focus trace data), control amount related to lens control error obtained from the lens control system of the projection optical system Time series data (lens trace data) is logged, and these data are used for analysis and evaluation of pattern line width and the like.

また、露光装置100では、既にウエハ上のデバイスパターンが転写されている場合には、そのデバイスパターンに、レチクルのパターンを重ね合わせ露光するため、ウエハを位置合わせする必要がある。この処理をウエハアライメントという。露光装置100では、このウエハアライメントの処理内容に関するデータもロギングしており、それらのデータをデバイスパターンの重ね合わせ精度等の解析評価に利用している。   Further, in the exposure apparatus 100, when the device pattern on the wafer has already been transferred, it is necessary to align the wafer in order to expose the reticle pattern on the device pattern. This process is called wafer alignment. The exposure apparatus 100 also logs data relating to the processing contents of the wafer alignment, and uses these data for analysis and evaluation such as device pattern overlay accuracy.

さらに、投影光学系には、波面収差が存在する。この波面収差により、投影光学系を通過する平行光束の波面は理想的な波面からずれるようになる。この波面のずれは、物面上の1点から生じた光の像面上の結像位置の位置ずれなどを引き起こす。露光装置100では、可動レンズ素子を駆動して、この波面収差を調整するための機能も設けられている。   Furthermore, wavefront aberration exists in the projection optical system. Due to this wavefront aberration, the wavefront of the parallel light flux passing through the projection optical system deviates from the ideal wavefront. This deviation of the wavefront causes a positional deviation of the imaging position on the image plane of light generated from one point on the object surface. The exposure apparatus 100 is also provided with a function for adjusting the wavefront aberration by driving the movable lens element.

投影光学系の波面収差は、ザイデル収差やツェルニケ多項式で表現することができる。中でも、ツェルニケ多項式は、高次成分の波面収差の表現に好適である。ツェルニケ多項式は、次式で示されるように、投影光学系の瞳座標(ρ,θ)に関する実多項式である。   The wavefront aberration of the projection optical system can be expressed by Seidel aberration or Zernike polynomials. Among them, the Zernike polynomial is suitable for expressing wavefront aberration of higher order components. The Zernike polynomial is a real polynomial related to the pupil coordinates (ρ, θ) of the projection optical system, as shown by the following equation.

Figure 2007227614
ツェルニケ多項式は、直交系であるから、各項の係数Ziを独立に決定することができる。iを適当な値で切ることはある種のフィルタリングを行うことに対応する。なお、一例として第1項〜第37項までのfi(fi(ρ,θ):動径ρ、角度θを独立変数とする動径多項式)を係数Ziとともに例示すると、次の表1のようになる。但し、表1中の第37項は、実際のツェルニケ多項式では、第49項に相当するが、本明細書では、i=37の項(第37項)として取り扱うものとする。すなわち、本発明において、ツェルニケ多項式の項の数は、特に限定されるものではない。
Figure 2007227614
Since the Zernike polynomial is an orthogonal system, the coefficient Z i of each term can be determined independently. Cutting i by an appropriate value corresponds to performing some kind of filtering. As an example, f i (f i (ρ, θ): radial polynomial having radial ρ, angle θ as an independent variable) from the first term to the 37th term together with the coefficient Z i is shown in the following table. It becomes like 1. However, the 37th term in Table 1 corresponds to the 49th term in the actual Zernike polynomial, but is treated as a term of i = 37 (the 37th term) in this specification. That is, in the present invention, the number of terms of the Zernike polynomial is not particularly limited.

Figure 2007227614
ツェルニケ多項式のそれぞれの項は光学収差に対応する。しかも低次の項(iの小さい項)は、ザイデル収差にほぼ対応する。例えば、ツェルニケ係数Z7、Z8(Z7、Z8は直交関係)は、3次のコマ収差に対応し、Z14、Z15は、5次のコマ収差に対応する。これらのコマ収差は、動径多項式が奇関数であるので、奇関数収差とも呼ばれている。ウエハ上に形成される像面では、パターンの横ずれ、焦点深度の低減、パターン非対称性の原因となる。また、ツェルニケ係数Z4は、フォーカスに対応し、Z9は、4次の球面収差に対応する。これらの収差は、動径多項式が偶関数であるので偶関数収差とも呼ばれている。
Figure 2007227614
Each term in the Zernike polynomial corresponds to an optical aberration. Moreover, the low-order terms (terms with a small i) substantially correspond to Seidel aberration. For example, Zernike coefficients Z 7 and Z 8 (Z 7 and Z 8 are orthogonal) correspond to third-order coma, and Z 14 and Z 15 correspond to fifth-order coma. These coma aberrations are also called odd function aberrations because the radial polynomial is an odd function. In the image plane formed on the wafer, it causes a lateral shift of the pattern, a reduction in the depth of focus, and a pattern asymmetry. The Zernike coefficient Z 4 corresponds to the focus, and Z 9 corresponds to the fourth-order spherical aberration. These aberrations are also called even function aberrations because the radial polynomial is an even function.

以上述べたように、このツェルニケ多項式を用いることにより、投影光学系の波面収差を求めることができる。ツェルニケ多項式は、像面上の任意の位置での収差量を位置座標とは独立して扱うことができるため、投影光学系の収差の評価に適しているといえる。制御系の制御により、可動レンズ素子を駆動すれば、投影光学系の波面収差、すなわちツェルニケ係数の第1項から第37項までの収差の大きさが変化する。第1項から第37項までの収差の大きさが変わると、ぞれぞれの項の収差に感度のある結像性能が変化する。この波面収差は、露光領域(像面)内の各地点で異なるため、露光領域内の結像性能の変化状態も、地点ごとに異なるようになる。レンズ素子は、露光領域内における結像特性が、できるだけ均一となるように、すなわち、露光領域IA内の波面収差ができるだけ均一となるように、駆動される必要がある。   As described above, the wavefront aberration of the projection optical system can be obtained by using this Zernike polynomial. The Zernike polynomial can be said to be suitable for evaluating the aberration of the projection optical system because the aberration amount at an arbitrary position on the image plane can be handled independently of the position coordinates. When the movable lens element is driven by the control of the control system, the magnitude of the wavefront aberration of the projection optical system, that is, the aberration from the first term to the 37th term of the Zernike coefficient changes. When the magnitudes of the aberrations from the first term to the 37th term change, the imaging performance sensitive to the aberration of each term changes. Since this wavefront aberration is different at each point in the exposure region (image surface), the change state of the imaging performance in the exposure region is also different for each point. The lens element needs to be driven so that the imaging characteristics in the exposure area are as uniform as possible, that is, the wavefront aberration in the exposure area IA is as uniform as possible.

なお、照明系は、制御系の制御の下で、露光用照明光の波長を微調整可能である。また、露光装置100には、不図示の照度ムラセンサも設けられている。   The illumination system can finely adjust the wavelength of the illumination light for exposure under the control of the control system. The exposure apparatus 100 is also provided with an uneven illuminance sensor (not shown).

制御系は、上述したように、露光装置100の各種構成要素を制御するコンピュータシステムである。制御系は、デバイス製造処理システム内に構築された通信ネットワークに接続され、その通信ネットワークを介して外部とのデータ送受信が可能となっている。   The control system is a computer system that controls various components of the exposure apparatus 100 as described above. The control system is connected to a communication network constructed in the device manufacturing processing system, and data can be transmitted / received to / from the outside via the communication network.

ところで、露光装置100においては、レチクルとしては、OPCマスクや位相シフトマスクを採用することができる。   Incidentally, in the exposure apparatus 100, an OPC mask or a phase shift mask can be adopted as the reticle.

<OPCマスク>
OPCマスクとは、光近接効果補正法(Optical Proximity Correction:OPC)を利用したマスクのことである。通常のマスクを単に微細化しても、微細パターンでの光量不足や隣接パターンからの光の影響により、露光パターン異常が発生する可能性がある。この現象を光近接効果という。これらの現象を防止するために、マスクパターンの角に小さい図形を付加したり、密集部と粗な箇所のパターンサイズを変化させる技法が、光近接効果補正法(Optical Proximity Correction:OPC)である。OPCマスクでは、例えば、パターンのエッジにジョグと呼ばれる段差を作り、ウエハ上の露光パターンの形状が設計パターンの形状に近くなるように、ジョグの長さや大きさを調整する。
<OPC mask>
The OPC mask is a mask using an optical proximity correction method (Optical Proximity Correction: OPC). Even if a normal mask is simply miniaturized, an exposure pattern abnormality may occur due to an insufficient light amount in a fine pattern or an influence of light from an adjacent pattern. This phenomenon is called the optical proximity effect. In order to prevent these phenomena, a technique of adding a small figure to the corner of the mask pattern or changing the pattern size of a dense part and a rough part is an optical proximity correction method (Optical Proximity Correction: OPC). . In the OPC mask, for example, a step called a jog is created at the edge of the pattern, and the length and size of the jog are adjusted so that the shape of the exposure pattern on the wafer is close to the shape of the design pattern.

<位相シフトマスク>
位相シフトマスクは、例えば、マスクパターンの透過部を隣接する透過部とは異なる物質とすることにより、透過光に180°の位相差を与えたマスクである。よって、パターン遮光部では、回折した180°位相の異なる透過光同士が打ち消し合い、光強度が小さくなり、その結果、像面上のパターンコントラストが向上する。位相シフトマスクとしては、例えば、レベンソン型(基板掘り込み式、両掘り込み式、シフタ式)、補助パターン型、リム型、ハーフ・トーン型、クロム・レス型などの様々なタイプがある。
<Phase shift mask>
The phase shift mask is, for example, a mask that gives a phase difference of 180 ° to transmitted light by using a transmissive portion of the mask pattern different from the adjacent transmissive portion. Therefore, in the pattern light-shielding portion, the diffracted transmitted lights having different phases by 180 ° cancel each other and the light intensity decreases, and as a result, the pattern contrast on the image plane is improved. As the phase shift mask, for example, there are various types such as a Levenson type (substrate digging type, double digging type, shifter type), auxiliary pattern type, rim type, half tone type, and chrome-less type.

OPCマスクや位相シフトマスクを採用することは、超解像技術の1つであり、これらのマスクを用いれば、ウエハ面上に転写形成されるパターンの微細化が実現される。しかしながら、これらのマスクを採用すると、そのマスクに形成された欠陥の内容も、多様化する。例えば、OPCマスクにおいて、顕著に発生する欠陥には、ピンホールや突起などの形状欠陥及び異物、パターンの位置ずれ・サイズずれなどがある。また、位相シフトマスクで発生する欠陥としては、上記欠陥(OPCマスクの欠陥)以外に、透過率や位相差ずれも考慮する必要がある。したがって、通常のマスクを用いる場合に比べ、OPCマスクや位相シフトマスクを用いた場合には、欠陥を検査する検査器には多様化するマスク欠陥に対応する検査能力が求められるようになる。   Employing an OPC mask or a phase shift mask is one of super-resolution techniques, and by using these masks, a pattern to be transferred and formed on the wafer surface can be miniaturized. However, when these masks are employed, the contents of defects formed in the masks are diversified. For example, in the OPC mask, defects that occur remarkably include shape defects such as pinholes and protrusions, foreign matter, and pattern misalignment and size misalignment. In addition to the above-described defects (defects of the OPC mask), it is necessary to consider transmittance and phase difference deviation as defects generated in the phase shift mask. Therefore, in comparison with the case of using a normal mask, when an OPC mask or a phase shift mask is used, an inspection device that inspects defects is required to have an inspection capability corresponding to diversifying mask defects.

また、露光装置100には、ウエハを保持するステージが2台設けられている。続けて処理されるウエハは、両ステージに交互にロードされて順次露光される。このようにすれば、一方のステージに保持されたウエハに対する露光を行っている最中に、他方のステージ上にウエハをロードし、アライメントなどを行っておくことができるので、1台のステージでウエハ交換→アライメント→露光を繰り返し行うよりもスループットが向上する。図1では、一方のステージに保持されたウエハに対し走査露光を行う部分を、処理部1として示しており、他方のステージに保持されたウエハに対し走査露光を行う部分を、処理部2として示している。   The exposure apparatus 100 is provided with two stages for holding a wafer. Subsequent processed wafers are alternately loaded on both stages and sequentially exposed. In this way, while performing exposure on a wafer held on one stage, it is possible to load the wafer onto the other stage and perform alignment or the like. Throughput is improved compared to repeated wafer exchange → alignment → exposure. In FIG. 1, a portion that performs scanning exposure on a wafer held on one stage is shown as a processing unit 1, and a portion that performs scanning exposure on a wafer held on the other stage is called a processing unit 2. Show.

[レチクル検査器]
露光装置100内には、露光に用いられるレチクルを、ステージに保持する前に検査するレチクル検査器130が設けられている。レチクル検査器130は、レチクルのデバイスパターン上に付着した異物の有無及びデバイスパターンの欠陥を検出する。まず、レチクル検査器130は、レチクルのデバイスパターンを、レーザでスキャンして、その反射光や散乱光を検出し、反射光又は散乱光の強度変化によって、デバイスパターン上の異物を検出する。また、レチクル検査器130は、照明光により照明されたデバイスパターンを撮像し、その撮像結果に基づいて、パターン欠陥を検出する。デバイスパターンの画像としては、パターンを透過した光に基づく撮像データと、パターンを反射した光に基づく撮像データとを取得することができるようになっている。ここで、レチクル検査器は、露光装置100の外に接続されていてもよい。
[Reticle inspection device]
In the exposure apparatus 100, a reticle inspector 130 for inspecting a reticle used for exposure before holding it on the stage is provided. The reticle inspector 130 detects the presence / absence of foreign matter adhering to the reticle device pattern and the defect of the device pattern. First, the reticle inspector 130 scans the device pattern of the reticle with a laser, detects the reflected light or scattered light, and detects a foreign substance on the device pattern by changing the intensity of the reflected light or scattered light. In addition, reticle inspection device 130 images a device pattern illuminated by illumination light, and detects a pattern defect based on the imaging result. As an image of a device pattern, it is possible to acquire imaging data based on light transmitted through the pattern and imaging data based on light reflected from the pattern. Here, the reticle inspector may be connected outside the exposure apparatus 100.

レチクル検査器130は、反射光や散乱光の検出結果や、デバイスパターンの撮像結果に基づいて、デバイスパターン上の異物や欠陥の有無の判断を行う(すなわち異常を検出する)情報処理装置を備えている。この情報処理装置では、上記異常検出のほか、異常が検出された箇所の位置の特定、異常の種類(異物か欠陥か)、異常部分の大きさ、異常の発生数などを検出する。これらの検出結果や、反射光や散乱光の検出信号やパターンの撮像信号などに相当する計測生データは、レチクル検査器130内の不図示の記憶装置に格納される。この情報処理装置は、外部の通信ネットワークと接続されており、外部の装置とデータ(上記計測生データを含む)の送受信が可能となっている。   The reticle inspector 130 includes an information processing apparatus that determines the presence or absence of a foreign matter or a defect on a device pattern (that is, detects an abnormality) based on the detection result of reflected light or scattered light or the imaging result of the device pattern. ing. In addition to the above-described abnormality detection, this information processing apparatus detects the position of the location where the abnormality is detected, the type of abnormality (foreign matter or defect), the size of the abnormal part, the number of occurrences of abnormality, and the like. These detection results, raw measurement data corresponding to detection signals of reflected light and scattered light, imaging signals of patterns, and the like are stored in a storage device (not shown) in the reticle inspector 130. This information processing apparatus is connected to an external communication network, and can transmit and receive data (including the measurement raw data) with an external apparatus.

[トラック]
トラック200は、露光装置100を囲むチャンバ(不図示)に接するように配置されている。トラック200は、内部に備える搬送ラインにより、主として露光装置100に対するウエハの搬入・搬出を行っている。
[truck]
The track 200 is disposed in contact with a chamber (not shown) surrounding the exposure apparatus 100. The track 200 mainly carries in and out the wafers with respect to the exposure apparatus 100 by a transfer line provided inside.

[コータ・デベロッパ]
トラック200内には、レジスト塗布及び現像を行うコータ・デベロッパ(C/D)110が設けられている。C/D110は、ウエハ上に対しフォトレジストの塗布及び現像を行う。C/D110は、これらの処理状態を観測し、その観測データをログデータとして記録することができるようになっている。観測可能な処理状態としては、例えば、レジスト塗布膜厚均一性、現像モジュール処理、PEB(Post-Exposure-Bake)の温度均一性(ホットプレート温度均一性)、ウエハ加熱履歴管理(PEB処理後のオーバベークを回避、クーリングプレート)の各状態がある。C/D110も、その装置パラメータの設定により、その処理状態をある程度調整することができるようになっている。このような装置パラメータには、例えば、ウエハ上のレジストの塗布むらに関連するパラメータ、例えば、設定温度、ウエハの回転速度、レジストの滴下量や滴下間隔などの装置パラメータがある。
[Coater / Developer]
In the track 200, a coater / developer (C / D) 110 for applying and developing a resist is provided. The C / D 110 applies and develops a photoresist on the wafer. The C / D 110 can observe these processing states and record the observation data as log data. Examples of observable processing states include resist coating film thickness uniformity, development module processing, PEB (Post-Exposure-Bake) temperature uniformity (hot plate temperature uniformity), wafer heating history management (after PEB processing) There are various states of avoiding over-baking and cooling plate). The processing state of the C / D 110 can also be adjusted to some extent by setting the device parameters. Such apparatus parameters include, for example, parameters related to uneven application of resist on the wafer, for example, apparatus parameters such as set temperature, wafer rotation speed, resist drop amount and drop interval.

C/D110は、露光装置100や、ウエハ検査器120とは、独立して動作可能である。C/D110は、トラック200の搬送ラインに沿って配置されている。したがって、この搬送ラインによって、露光装置100とC/D110との間でウエハの搬送が可能となる。また、C/D110は、デバイス製造処理システム1000内の通信ネットワークと接続されており、外部とのデータ送受信が可能となっている。C/D110は、例えば、そのプロセスに関する情報(上記トレースデータなどの情報)を出力可能である。   The C / D 110 can operate independently of the exposure apparatus 100 and the wafer inspector 120. The C / D 110 is disposed along the transport line of the track 200. Therefore, the wafer can be transferred between the exposure apparatus 100 and the C / D 110 by this transfer line. Further, the C / D 110 is connected to a communication network in the device manufacturing processing system 1000, and can send and receive data to and from the outside. For example, the C / D 110 can output information on the process (information such as the trace data).

[ウエハ検査器]
トラック200内には、露光装置100でのウエハの露光前後(すなわち、事前、事後)において、そのウエハに対する様々な測定検査を行うことが可能な複合的なウエハ検査器120が設けられている。ウエハ検査器120は、露光装置100やC/D110とは、独立して動作可能である。ウエハ検査器120は、露光前に測定を行う事前測定検査処理と、露光後に測定を行う事後測定検査処理とを行う。
[Wafer inspection machine]
In the track 200, a composite wafer inspection device 120 capable of performing various measurement inspections on the wafer before and after the exposure of the wafer by the exposure apparatus 100 (that is, before and after) is provided. Wafer inspection device 120 can operate independently of exposure apparatus 100 and C / D 110. The wafer inspection device 120 performs a pre-measurement inspection process for performing measurement before exposure and a post-measurement inspection process for performing measurement after exposure.

事前測定検査処理では、ウエハが露光装置100に搬送される前に、ウエハ上の異物の検査、ウエハ上のレジスト膜検査や、露光装置100における露光条件を最適化するための測定を行う。事前測定検査処理の測定対象としては、露光前のウエハの面形状がある。ウエハ検査器120は、事前測定検査の結果を、システム内の通信ネットワークを介して外部にデータ出力することができるようになっている。   In the pre-measurement inspection process, before the wafer is transferred to the exposure apparatus 100, inspection for foreign matter on the wafer, inspection of the resist film on the wafer, and measurement for optimizing the exposure conditions in the exposure apparatus 100 are performed. The measurement target of the pre-measurement / inspection process includes the surface shape of the wafer before exposure. The wafer inspector 120 can output the results of the pre-measurement inspection to the outside via a communication network in the system.

一方、ウエハ検査器120の事後測定検査器は、露光装置100で転写されC/D110で現像された露光後(事後)のウエハ上のレジストパターン等の線幅や重ね合わせ誤差、投影光学系の波面収差、照明ムラの測定を行い、ウエハ膜検査、ウエハ欠陥・異物検査などを行う。ここで、ウエハ膜検査とは、ウエハ上に形成された膜の膜厚、膜厚ムラ、膜不良、異物、スクラッチなどの検査を含む。   On the other hand, the post-measurement / inspection device of the wafer inspection device 120 has a line width such as a resist pattern on the wafer after exposure (post-event) transferred by the exposure apparatus 100 and developed by the C / D 110, an overlay error, and a projection optical system. Wavefront aberration and illumination unevenness are measured, and wafer film inspection, wafer defect / foreign particle inspection, etc. are performed. Here, the wafer film inspection includes inspection of the film thickness, film thickness unevenness, film defect, foreign matter, scratch, etc. of the film formed on the wafer.

また、ウエハ検査器120では、露光装置100の投影光学系の収差計測のための露光されたテストウエハ上の収差計測用マークの相対位置ずれ量なども計測することができるようになっている。   The wafer inspection device 120 can also measure the relative positional deviation amount of the aberration measurement mark on the exposed test wafer for measuring the aberration of the projection optical system of the exposure apparatus 100.

また、ウエハ検査器120についても、その測定状態(例えば、測定のためのウエハの位置あわせ時の残差成分などの位置合わせ等の測定誤差などに影響するようなデータ)をログデータとして記録することができるようになっている。このログデータについても、通信ネットワークを介して外部に出力可能となっている。また、ウエハ検査器120も、その装置パラメータを許容範囲内で設定することにより、その測定状態をある程度調整することができるようになっている。このような装置パラメータには、例えば、計測の前提となるウエハの位置合わせ関連のパラメータや、センサのフォーカス状態に関するパラメータ、計測対象となるウエハの選定や、計測ショットの選択に関するパラメータなどがある。   Also, the measurement state of the wafer inspector 120 (for example, data that affects measurement errors such as alignment such as residual components when aligning the wafer for measurement) is recorded as log data. Be able to. This log data can also be output to the outside via a communication network. Further, the wafer inspection device 120 can also adjust its measurement state to some extent by setting the apparatus parameters within an allowable range. Such apparatus parameters include, for example, parameters related to wafer alignment, which are preconditions for measurement, parameters relating to the focus state of the sensor, selection of wafers to be measured, and parameters relating to selection of measurement shots.

なお、このC/D110及びウエハ検査器120においても処理部1、2が設けられており、処理時間の短縮が実現されている。   Note that the processing units 1 and 2 are also provided in the C / D 110 and the wafer inspector 120 so that the processing time can be shortened.

ウエハ検査器120は、トラック200の搬送ラインに沿って配置されている。したがって、この搬送ラインによって、露光装置100と、C/D110と、ウエハ検査器120との間でウエハの搬送が可能となる。すなわち、露光装置100と、トラック110と、ウエハ検査器120とは、相互にインライン接続されている。ここで、インライン接続とは、装置間及び各装置内の処理ユニット間を、ロボットアームやスライダ等のウエハを自動搬送する搬送装置を介して接続することを意味する。このインライン接続により、露光装置100とC/D110とウエハ検査器120との間でのウエハの受け渡し時間を格段に短くすることができる。   Wafer inspection device 120 is arranged along the transfer line of track 200. Therefore, this transfer line enables wafer transfer between the exposure apparatus 100, the C / D 110, and the wafer inspection device 120. That is, the exposure apparatus 100, the track 110, and the wafer inspector 120 are connected in-line with each other. Here, the in-line connection means that the apparatuses and the processing units in each apparatus are connected via a transfer device that automatically transfers a wafer such as a robot arm or a slider. By this in-line connection, the wafer transfer time among the exposure apparatus 100, the C / D 110, and the wafer inspection device 120 can be remarkably shortened.

インライン接続された露光装置100とトラック110と測定器120とは、これを一体として、1つの基板処理装置(100、110、120)とみなすこともできる。基板処理装置(100、110、120)は、ウエハに対して、フォトレジスト等の感光剤を塗布する塗布工程と、感光剤が塗布されたウエハ上にレチクルのパターンの像を投影露光する露光工程と、露光工程が終了したウエハを現像する現像工程と、レチクルやウエハの測定検査工程等を行う。これらの工程については後述する。   The exposure apparatus 100, the track 110, and the measuring instrument 120 that are connected in-line can be regarded as one substrate processing apparatus (100, 110, 120) as a whole. A substrate processing apparatus (100, 110, 120) is a coating process for coating a wafer with a photosensitive agent such as a photoresist, and an exposure process for projecting and exposing an image of a reticle pattern on the wafer coated with the photosensitive agent. Then, a developing process for developing the wafer after the exposure process and a measurement / inspection process for the reticle and wafer are performed. These steps will be described later.

デバイス製造処理システム1000では、露光装置100と、トラック110と、ウエハ検査器120とが(すなわち基板処理装置(100、110、120)が)、複数台設けられている。各基板処理装置(100、110、120)、デバイス製造処理装置群900は、温度及び湿度が管理されたクリーンルーム内に設置されている。また、各装置の間では、所定の通信ネットワーク(例えばLAN:Local Area Network)を介して、データ通信を行うことができるようになっている。この通信ネットワークは、顧客の工場、事業所あるいは会社に対して設けられたいわゆるイントラネットと呼ばれる通信ネットワークである。   In the device manufacturing processing system 1000, a plurality of exposure apparatuses 100, tracks 110, and wafer inspection devices 120 (that is, substrate processing apparatuses (100, 110, 120)) are provided. Each substrate processing apparatus (100, 110, 120) and device manufacturing processing apparatus group 900 is installed in a clean room in which temperature and humidity are controlled. In addition, data communication can be performed between devices via a predetermined communication network (for example, LAN: Local Area Network). This communication network is a so-called intranet communication network provided for a customer's factory, business office or company.

基板処理装置(100、110、120)においては、ウエハは複数枚(例えば20枚)を1単位(ロットという)として処理される。デバイス製造処理システム1000においては、ウエハは1ロットを基本単位として処理され製品化されている。したがって、デバイス製造処理システム1000におけるウエハプロセスをロット処理ともいう。   In the substrate processing apparatus (100, 110, 120), a plurality of wafers (for example, 20 wafers) are processed as one unit (referred to as a lot). In the device manufacturing processing system 1000, wafers are processed and processed as a basic unit of one lot. Therefore, the wafer process in the device manufacturing processing system 1000 is also referred to as lot processing.

なお、このデバイス製造処理システム1000では、ウエハ検査器120は、トラック200内に置かれ、露光装置100やC/D110とインライン接続されているが、ウエハ検査器120を、トラック200外に配置し、露光装置100やC/D110とはオフラインに構成してもよい。   In this device manufacturing processing system 1000, the wafer inspection device 120 is placed in the track 200 and connected in-line with the exposure apparatus 100 and the C / D 110. However, the wafer inspection device 120 is disposed outside the track 200. The exposure apparatus 100 and the C / D 110 may be configured offline.

上述した、ウエハ検査器120における情報処理装置を実現するハードウエアとしては、例えばパーソナルコンピュータを採用することができる。この場合、この情報処理装置のCPU(不図示)で実行されるプログラムの実行により実現される。解析プログラムは、CD−ROMなどのメディア(情報記録媒体)により供給され、PCにインストールされた状態で実行される。   As hardware for realizing the information processing apparatus in the wafer inspection device 120 described above, for example, a personal computer can be employed. In this case, it is realized by executing a program executed by a CPU (not shown) of the information processing apparatus. The analysis program is supplied by a medium (information recording medium) such as a CD-ROM and is executed in a state where it is installed in the PC.

[解析装置]
解析装置500は、露光装置100、トラック200とは独立して動作する装置である。解析装置500は、デバイス製造処理システム1000内の通信ネットワークと接続されており、外部とデータ送受信が可能となっている。解析装置500は、この通信ネットワークを介して各種装置から各種データ(例えばその装置の処理内容)を収集し、ウエハに対するプロセスに関するデータの解析を行う。このような解析装置500を実現するハードウエアとしては、例えばパーソナルコンピュータを採用することができる。この場合、解析処理は、解析装置500のCPU(不図示)で実行される解析プログラムの実行により実現される。この解析プログラムは、CD−ROMなどのメディア(情報記録媒体)により供給され、PCにインストールされた状態で実行される。
[Analyzer]
The analysis apparatus 500 is an apparatus that operates independently of the exposure apparatus 100 and the track 200. The analysis apparatus 500 is connected to a communication network in the device manufacturing processing system 1000, and can send and receive data to and from the outside. The analysis apparatus 500 collects various data (for example, processing contents of the apparatus) from various apparatuses via the communication network, and analyzes data related to processes on the wafer. As hardware for realizing such an analysis apparatus 500, for example, a personal computer can be employed. In this case, the analysis process is realized by executing an analysis program executed by a CPU (not shown) of the analysis apparatus 500. This analysis program is supplied by a medium (information recording medium) such as a CD-ROM and is executed in a state installed in a PC.

解析装置500は、露光装置100のログデータや、ウエハ検査器120の事前測定結果データなどに基づいて、基板処理装置(100、110、120)の処理条件の最適化を行う。ここで、最適化される処理条件は、比較結果に応じて異なったものとなり、露光装置100の上記制御系パラメータや、投影光学系のレンズ素子の駆動量などの露光装置100の各種パラメータや、C/D110、ウエハ検査器120の処理内容など多岐に渡る。解析装置500は、パラメータの最適化を行う場合には、内部の記憶装置に格納されている、線幅を推定するためのCDテーブル群、波面収差変化表、結像性能変化表などの各種データベースを参照する。これらのデータベースについては後述する。解析装置500のデータベースには、マンマシンインターフェイスを介して入力された結像性能誤差許容値も予め登録されている。   The analysis apparatus 500 optimizes the processing conditions of the substrate processing apparatus (100, 110, 120) based on log data of the exposure apparatus 100, pre-measurement result data of the wafer inspector 120, and the like. Here, the processing conditions to be optimized differ depending on the comparison result. Various parameters of the exposure apparatus 100 such as the control system parameters of the exposure apparatus 100, the driving amount of the lens element of the projection optical system, There are a variety of processing contents such as C / D 110 and wafer inspection device 120. When the parameter is optimized, the analysis device 500 stores various databases such as a CD table group for estimating the line width, a wavefront aberration change table, and an imaging performance change table stored in an internal storage device. Refer to These databases will be described later. In the database of the analysis apparatus 500, an imaging performance error allowable value input via the man-machine interface is also registered in advance.

CDテーブル群は、パターンの線幅と、露光量、同期精度、フォーカス、レンズの各制御誤差との関係を示すテーブル群である。図2には、このCDテーブル群552の一例が模式的に示されている。図2に示されるように、このテーブル群は、インデックステーブル51と、幾つかのテーブル群52とから成る。インデックステーブル51には、露光量の制御誤差(露光量誤差)の代表値として−0.1〜0.1mJ/cm2のうちの5つの代表値が指定されており、同期精度の制御誤差(同期精度誤差)の代表値として0.00〜0.03μmのうちの4つの代表値が指定されている。インデックステーブル51では、露光量誤差としては所定期間内の移動平均が採用され、同期精度誤差としては所定期間内の移動標準偏差が採用されている。同期精度誤差(移動標準偏差)は、通常、√((同期精度XMSD2+(同期精度YMSD2+(同期精度θMSD×像高)2)で表されるが、パターンの形状、方向などに応じて、上記XYθのうち不要な項を除いても良い。いずれも線幅への影響度が高い統計値が採用されている。ここで、所定期間とは、ウエハを保持するステージとレチクルを保持するステージの相対走査によりスリット状の露光領域が、ウエハ上のある地点に到達してから抜けるまでの期間である。 The CD table group is a table group showing the relationship between the pattern line width and the exposure amount, synchronization accuracy, focus, and lens control errors. FIG. 2 schematically shows an example of the CD table group 552. As shown in FIG. 2, this table group includes an index table 51 and several table groups 52. In the index table 51, five representative values out of −0.1 to 0.1 mJ / cm 2 are designated as representative values of the exposure amount control error (exposure amount error). Four representative values of 0.00 to 0.03 μm are designated as representative values of (synchronization accuracy error). In the index table 51, a moving average within a predetermined period is adopted as the exposure amount error, and a moving standard deviation within the predetermined period is adopted as the synchronization accuracy error. The synchronization accuracy error (moving standard deviation) is usually represented by √ ((synchronization accuracy X MSD ) 2 + (synchronization accuracy Y MSD ) 2 + (synchronization accuracy θ MSD × image height) 2 ). Depending on the direction, etc., unnecessary terms of XYθ may be removed. In both cases, statistical values having a high influence on the line width are adopted. Here, the predetermined period is a period from when the slit-shaped exposure region reaches a certain point on the wafer until it is removed by relative scanning of the stage holding the wafer and the stage holding the reticle.

インデックステーブル51の各セルには、各代表値の組合せに対応するテーブル群のテーブル名(T11〜T54)のいずれかが登録されている。複数のテーブル群52には、それぞれフォーカスの制御誤差の統計値としてのZ平均オフセットZMEAN、Z移動標準偏差ZMSDと、線幅値との関係を示すテーブルが用意されている。ここで、ZMEANとは、上記所定期間(露光スリット通過期間)内のフォーカスの制御誤差の移動平均値であり、ZMSDとは、上記所定期間内のフォーカスの制御誤差の移動標準偏差である。より厳密には、Z平均オフセットZMEAN、Z移動標準偏差ZMSDは、露光スリットがそのパターンの部分を通過する間の、ウエハ面のデバイストポグラフィを基準とするフォーカス目標位置からのウエハ面のZ方向及び傾斜方向のずれ、すなわちそれらの方向の総合的なフォーカス制御誤差の移動平均及び移動標準偏差である。なお、同じZMEAN、ZMSDであってもそのときの線幅値(CD値)は、像高(走査方向に直交する座標軸方向)ごとに異なるため、テーブル群では、像高の幾つかの代表値(f0〜fM)ごとにテーブルが用意されている。 Each cell of the index table 51, any of the table name of the table group that corresponds to the combination of the representative value (T 11 ~T 54) is registered. In the plurality of table groups 52, tables each showing a relationship between a Z average offset Z MEAN and a Z movement standard deviation Z MSD as statistical values of focus control errors and line width values are prepared. Here, Z MEAN is a moving average value of the focus control error within the predetermined period (exposure slit passing period), and Z MSD is a moving standard deviation of the focus control error within the predetermined period. . More precisely, the Z average offset Z MEAN and the Z movement standard deviation Z MSD are the Z of the wafer surface from the focus target position based on the device topography of the wafer surface while the exposure slit passes through the portion of the pattern. This is the deviation of the direction and the inclination direction, that is, the moving average and moving standard deviation of the total focus control error in those directions. Even in the same Z MEAN and Z MSD , the line width value (CD value) at that time differs for each image height (coordinate axis direction orthogonal to the scanning direction). A table is prepared for each representative value (f 0 to f M ).

解析装置500は、露光装置100から取得される露光量トレースデータ、同期精度トレースデータ、フォーカストレースデータ、レンズトレースデータに基づいて、ウエハ上のある地点(サンプル地点)でのそれぞれの制御誤差の統計値を算出する。そして、解析装置500は、インデックステーブル51を参照し、露光量誤差及び同期精度誤差に基づいて、それらの値に近い代表値に対応するテーブル群を、テーブル群T11〜T54の中から選択する。例えば、露光量誤差が−0.07で、同期精度誤差が0.005であったとすると、その値の近傍の代表値の組合せに対応するセルに登録された4つのテーブル群T11、T12、T21、T22が選択されるようになる。 Based on the exposure amount trace data, the synchronization accuracy trace data, the focus trace data, and the lens trace data acquired from the exposure apparatus 100, the analysis apparatus 500 calculates the statistics of each control error at a certain point (sample point) on the wafer. Calculate the value. Then, the analysis apparatus 500 refers to the index table 51 and selects a table group corresponding to representative values close to those values from the table groups T 11 to T 54 based on the exposure amount error and the synchronization accuracy error. To do. For example, assuming that the exposure error is −0.07 and the synchronization accuracy error is 0.005, four table groups T 11 and T 12 registered in the cell corresponding to the combination of representative values in the vicinity of that value. , T 21 , T 22 are selected.

4つのテーブル群が選択された場合のCD値の算出方法について説明する。前提として、選択されたテーブル群に対応する露光量誤差の代表値のうち、小さい方を露光量誤差最小値と呼び、大きい方を露光量誤差最大値と呼ぶ。また、選択されたテーブル群に対応する同期精度誤差の代表値のうち、小さい方を同期精度誤差最良値と呼び、大きい方を同期精度誤差最悪値と呼ぶ。解析装置500は、選択された4つのテーブル群の中から、パターンのショット内X座標に対応する像高fk(k=0〜M)のテーブルを参照し、以下に示される4つのテーブルを読み出す。ここで、k=0は像高0、すなわち光軸上であることを意味する。
(1)露光量誤差最小値と同期精度誤差最良値でのテーブル群の像高fkのテーブル1
(2)露光量誤差最小値と同期精度誤差最悪値でのテーブル群の像高fkのテーブル2
(3)露光量誤差最大値と同期精度誤差最良値でのテーブル群の像高fkのテーブル3
(4)露光量誤差最大値と同期精度誤差最悪値でのテーブル群の像高fkのテーブル4
A method for calculating the CD value when four table groups are selected will be described. As a premise, among the representative values of the exposure amount errors corresponding to the selected table group, the smaller one is called the exposure amount error minimum value, and the larger one is called the exposure amount error maximum value. Of the representative values of the synchronization accuracy error corresponding to the selected table group, the smaller one is called the synchronization accuracy error best value, and the larger one is called the synchronization accuracy error worst value. The analysis apparatus 500 refers to the table of the image height f k (k = 0 to M) corresponding to the in-shot X coordinate of the pattern from the selected four table groups, and selects the four tables shown below. read out. Here, k = 0 means that the image height is 0, that is, on the optical axis.
(1) Table 1 of the image height f k of the table group at the minimum exposure error and the best synchronization accuracy error
(2) Table 2 of the image height f k of the table group at the minimum exposure error and the worst synchronization accuracy error
(3) Table 3 of image height f k of the table group at the maximum exposure error and the best synchronization accuracy error
(4) Table 4 of image height f k of the table group at the maximum exposure error and the worst synchronization accuracy error

まず、解析装置500は、テーブル1、2を参照して、ZMEAN、ZMSDに対応するCD値を読み出す。なお、このZMEANとしては、レンズ制御誤差に基づくフォーカスの平均ずれの統計値が加味されたものが用いられ、このZMSDとしては、レンズ制御誤差に基づくフォーカスの標準偏差の統計値が加味されたものが用いられる。そして、同期精度誤差最悪値と同期精度誤差最良値との間を内分する同期精度誤差の、その内分比に基づく1次補間により、テーブル1、2から読み出されたCD値から、その同期精度誤差に対応するCD値を算出する。より具体的には、CDと同期精度とを各座標軸とする2次元面内における2つのテーブル1、2から読み出されたCD値、直線の切片と傾きを求め、同期精度誤差におけるその直線の値を、その同期精度誤差に対応するCD値として求める。同様に、テーブル3、4を参照して、ZMEAN、ZMSDに対応するCD値を読み出す。なお、この場合にも、このZMEANとしては、レンズ制御誤差に基づくフォーカスの平均ずれの統計値が加味されたものが用いられ、このZMSDとしては、レンズ制御誤差に基づくフォーカスの標準偏差の統計値が加味されたものが用いられる。そして、同期精度誤差最悪値と同期精度誤差最良値との間を内分する同期精度誤差の値のその内分比に基づく1次補間により、テーブル3、4から読み出されたCD値から、その同期精度誤差に対応するCD値を算出する。続いて、算出された2つのCD値を、露光量誤差最小値と露光量誤差最大値との間を内分する露光量誤差の値の、その内分比に基づく1次補間により、その露光量の制御誤差に対応するCD値を算出する。このCD値が、このサンプル地点におけるCD値となる。上記補間は、露光量誤差又は同期精度誤差のいずれか一方の値が代表値に等しく、4つのテーブルでなく2つのテーブルが選択された場合にも適用されるのは勿論である。 First, the analysis apparatus 500 reads the CD values corresponding to Z MEAN and Z MSD with reference to Tables 1 and 2. Note that this Z MEAN uses a statistical value of the average deviation of the focus based on the lens control error, and this Z MSD includes a statistical value of the standard deviation of the focus based on the lens control error. Is used. Then, from the CD values read from the tables 1 and 2 by the primary interpolation based on the internal division ratio of the synchronization accuracy error that internally divides between the worst value of the synchronization accuracy error and the best value of the synchronization accuracy error, A CD value corresponding to the synchronization accuracy error is calculated. More specifically, CD values, straight line intercepts and inclinations read from the two tables 1 and 2 in the two-dimensional plane with CD and synchronization accuracy as coordinate axes are obtained, and the straight line in the synchronization accuracy error is obtained. The value is obtained as a CD value corresponding to the synchronization accuracy error. Similarly, with reference to tables 3 and 4, CD values corresponding to Z MEAN and Z MSD are read out. In this case as well, this Z MEAN is used with a statistical value of the average deviation of the focus based on the lens control error, and this Z MSD is the standard deviation of the focus based on the lens control error. What added a statistical value is used. Then, from the CD values read from the tables 3 and 4 by primary interpolation based on the internal ratio of the synchronization accuracy error values that internally divide between the synchronization accuracy error worst value and the synchronization accuracy error best value, A CD value corresponding to the synchronization accuracy error is calculated. Subsequently, the calculated two CD values are subjected to exposure by linear interpolation based on the internal ratio of the exposure error value that internally divides between the minimum exposure error value and the maximum exposure error value. A CD value corresponding to the quantity control error is calculated. This CD value becomes the CD value at this sample point. Of course, the interpolation described above is also applied to the case where either the exposure amount error or the synchronization accuracy error is equal to the representative value and two tables are selected instead of the four tables.

ところで、このテーブルを用いた線幅の推定に先立って、テーブルにCD値を予め登録しておく必要がある。このCD値は、一連のプロセスの実行前に、露光装置100及びウエハ検査器120から得られる情報に基づいて登録される。まず、露光装置100に、所定の露光条件を設定した状態で走査露光を行ってテストウエハにテストパターンを転写させ、そのときの露光量トレースデータ、同期精度トレースデータ、フォーカストレースデータ、レンズトレースデータを取得させる。そして、テストパターンが転写されたテストウエハをC/D110に現像させ、ウエハ検査器120にテストパターンの線幅を測定させる。そして、各種トレースデータ及び設定されている露光条件に関するデータと、線幅の測定結果とを、解析装置500に転送させる。   By the way, prior to the estimation of the line width using this table, it is necessary to register the CD value in the table in advance. This CD value is registered based on information obtained from the exposure apparatus 100 and the wafer inspector 120 before the execution of a series of processes. First, the exposure apparatus 100 performs scanning exposure with predetermined exposure conditions set to transfer a test pattern onto a test wafer, and exposure amount trace data, synchronization accuracy trace data, focus trace data, lens trace data at that time To get. Then, the test wafer to which the test pattern is transferred is developed on the C / D 110, and the wafer inspector 120 measures the line width of the test pattern. Then, the various trace data, the data relating to the set exposure conditions, and the measurement result of the line width are transferred to the analysis apparatus 500.

解析装置500は、各種トレースデータに基づいて、線幅が測定されたテストパターンが転写されたサンプル点での露光量、同期精度、フォーカス、レンズの制御誤差の統計値を算出する。次に、解析装置500は、テーブルに設定されている各種制御誤差の代表値を基準とする所定の範囲(すなわちテーブル内のセル)毎に、測定結果をグループ分けする。そして、同じグループに属する線幅の測定結果の平均値を、そのセルのCD値としてテーブルに登録する。なお、登録されるCD値は、ウエハ検査器120の測定結果に基づくものでなく、SEMによる測定された値又はOCD法等により測定された値に基づくものであってもよいし、実際にテストウエハを用いず、テストパターンの空間像を計測する空間像センサを代わりに設置し、その空間像センサによって計測されるテストパターンの空間像から求められた空間像シミュレーションの算出値であってもよい。   The analysis apparatus 500 calculates statistical values of exposure amount, synchronization accuracy, focus, and lens control error at the sample point to which the test pattern whose line width is measured is transferred based on various trace data. Next, the analysis apparatus 500 groups the measurement results for each predetermined range (that is, cells in the table) based on the representative values of various control errors set in the table. Then, the average value of the line width measurement results belonging to the same group is registered in the table as the CD value of the cell. Note that the registered CD value is not based on the measurement result of the wafer inspector 120 but may be based on the value measured by the SEM or the value measured by the OCD method or the like. Instead of using a wafer, an aerial image sensor that measures the aerial image of the test pattern may be installed instead, and a calculated value of the aerial image simulation obtained from the aerial image of the test pattern measured by the aerial image sensor may be used. .

なお、露光量誤差、同期精度誤差、フォーカス誤差、レンズ誤差が全く同じであっても、CD値は、露光装置100の露光条件、転写されるパターンの設計条件によって異なるようになる。そのため、このテーブル群は、露光条件、パターン設計条件ごとに用意される。このように、テーブル群については、露光条件、パターン設計条件、露光量誤差、同期精度誤差、フォーカス誤差をキーとして、CD値の推定値を探索できるようにデータベース化しておく必要がある。なお、露光条件としては、露光波長、投影光学系NA、照明NA、照明σ、照明種類、焦点深度などがあり、パターンの設計条件としては、マスク線幅、ターゲット線幅(例えば130nm)、パターンピッチ、マスク種類(バイナリ、ハーフトーン、レベンソン)、パターン種類(孤立線やライン・アンド・スペース・パターン)などがある。これらの露光条件、パターン設計条件と、パターン線幅との関係や、テーブルにおける像高などの諸条件の設定方法については、例えば特開2001−338870号公報に詳細に開示されているので、詳細な説明を省略する。   Even if the exposure error, synchronization accuracy error, focus error, and lens error are exactly the same, the CD value varies depending on the exposure conditions of the exposure apparatus 100 and the design conditions of the pattern to be transferred. Therefore, this table group is prepared for each exposure condition and pattern design condition. As described above, the table group needs to be stored in a database so that an estimated value of the CD value can be searched using exposure conditions, pattern design conditions, exposure amount errors, synchronization accuracy errors, and focus errors as keys. The exposure conditions include exposure wavelength, projection optical system NA, illumination NA, illumination σ, illumination type, depth of focus, etc. The pattern design conditions include mask line width, target line width (for example, 130 nm), pattern There are pitch, mask type (binary, halftone, Levenson), pattern type (isolated line, line and space pattern), and so on. The relationship between these exposure conditions, pattern design conditions, pattern line width, and various conditions such as image height on the table are disclosed in detail in, for example, Japanese Patent Application Laid-Open No. 2001-338870. The detailed explanation is omitted.

このCDテーブル群は、解析装置500におけるパターン線幅に関連するパラメータの最適化にも用いられる。例えば、線幅が設計値に近づくような、露光量制御関連、同期制御関連、フォーカス制御関連、レンズ制御関連の制御系パラメータの組合せ、又は前提条件としての照明条件などを求める際に、CDテーブル群を参照する。   This CD table group is also used for optimization of parameters related to the pattern line width in the analysis apparatus 500. For example, the CD table is used when obtaining a combination of control parameters related to exposure amount control, synchronization control, focus control, lens control, or illumination conditions as a precondition that the line width approaches the design value. Reference group.

また、解析装置500は、投影光学系の波面収差を最適化することも可能である。解析装置500には、レンズ素子の駆動量に対する波面収差変化表と、波面収差に対する結像性能変化表とが登録されている。解析装置500は、波面収差に関する測定データに基づいて、投影光学系の波面収差、すなわちツェルニケ項の第1項〜第37項の大きさを算出する。解析装置500は、このツェルニケ項の大きさ(すなわち波面収差データ)と、レンズ素子駆動範囲と、レンズ素子の駆動量に対する波面収差変化表と、波面収差に対する結像性能変化表と、結像性能誤差許容値とを入力し、それらを用いて、ウエハ検査器120の測定結果から算出された波面収差データに基づいて、レンズ素子等の駆動位置や露光波長の調整値、ウエハを保持するステージの姿勢の調整値と、残留誤差と、駆動後の予測結像性能とを出力する。レンズ素子の駆動位置は、露光装置100に送られる。   The analysis apparatus 500 can also optimize the wavefront aberration of the projection optical system. The analysis device 500 registers a wavefront aberration change table with respect to the driving amount of the lens element and an imaging performance change table with respect to the wavefront aberration. The analysis apparatus 500 calculates the wavefront aberration of the projection optical system, that is, the magnitudes of the first to 37th terms of the Zernike term based on the measurement data relating to the wavefront aberration. The analysis device 500 includes a Zernike term size (that is, wavefront aberration data), a lens element driving range, a wavefront aberration change table with respect to the driving amount of the lens element, an imaging performance change table with respect to the wavefront aberration, and an imaging performance. The error tolerance value is input, and using them, based on the wavefront aberration data calculated from the measurement result of the wafer inspector 120, the adjustment value of the driving position of the lens element and the exposure wavelength, the stage holding the wafer, etc. The attitude adjustment value, residual error, and predicted imaging performance after driving are output. The driving position of the lens element is sent to the exposure apparatus 100.

露光装置100は、投影光学系の結像性能を制御する結像性能コントローラ(不図示)を介して、送られてきた駆動位置にレンズ素子を駆動し、投影光学系の波面収差等を調整したり、ウエハを保持するステージの姿勢制御のオフセット値を変更したり、露光用照明光の波長を微調整し、結像性能をその目標値に近づける。   The exposure apparatus 100 adjusts the wavefront aberration and the like of the projection optical system by driving the lens element to the sent drive position via an imaging performance controller (not shown) that controls the imaging performance of the projection optical system. Or changing the offset value of the attitude control of the stage holding the wafer, or finely adjusting the wavelength of the illumination light for exposure to bring the imaging performance close to the target value.

[波面収差変化表]
波面収差変化表は、レチクル上のパターンの投影像の形成状態に影響を与える調整パラメータの単位調整量の変化を、投影光学系の視野内(すなわち露光領域内)の複数の計測点それぞれに対応する結像性能、例えば上述したツェルニケ多項式の第1項〜第37項の係数の変動量との関係を示すデータを所定の規則に従って並べたデータ群から成る変化表である。波面収差変化表の要素は、投影光学系と実質的に等価なモデルを用いて、シミュレーションを行い、このシミュレーション結果として取得することができる。調整パラメータは、上述した通り、投影光学系内の5つの可動レンズの6自由度の駆動量、露光用照明光の波長、ウエハ面(すなわちウエハステージWST)のZ、θx、θyの駆動量である。
[Wavefront aberration change table]
The wavefront aberration change table corresponds to the change in the unit adjustment amount of the adjustment parameter that affects the formation state of the projected image of the pattern on the reticle, for each of multiple measurement points in the field of view of the projection optical system (that is, in the exposure area). 6 is a change table including a data group in which data indicating the relationship between the imaging performance, for example, the variation amount of the coefficient of the first to 37th terms of the Zernike polynomial described above is arranged according to a predetermined rule. The elements of the wavefront aberration change table can be obtained as a result of simulation using a model that is substantially equivalent to the projection optical system. As described above, the adjustment parameters are the driving amount of 6 degrees of freedom of the five movable lenses in the projection optical system, the wavelength of the illumination light for exposure, and the driving amounts of Z, θx, and θy of the wafer surface (that is, wafer stage WST). is there.

[結像性能感度表]
結像性能感度表は、それぞれ異なる露光条件、すなわち光学条件(露光波長、最大N.A.、使用N.A、照明N.A、照明系開口絞りの開口形状、照明σなど)、評価項目(マスクの種類、線幅、評価量、パターンの情報など)と、これらの光学条件と評価項目との組合せにより定まる複数の露光条件下でそれぞれ求めた、投影光学系の結像性能、例えば諸収差(あるいはその指標値)のツェルニケ多項式の各項、例えば第1項〜第37項の1λ当りの変化量から成る計算表、すなわちツェルニケ感度表を含むデータベースである。ツェルニケ感度表は、Zernike Sensitivityとも呼ばれる。そこで、複数の露光条件下におけるツェルニケ感度表から成るファイルは、「ZSファイル」とも呼ばれている。
[Imaging performance sensitivity table]
The imaging performance sensitivity table shows different exposure conditions, that is, optical conditions (exposure wavelength, maximum NA, use NA, illumination NA, aperture shape of illumination system aperture stop, illumination σ, etc.) and evaluation items. (Such as mask type, line width, evaluation amount, pattern information, etc.) and the imaging performance of the projection optical system, for example, various values determined under a plurality of exposure conditions determined by the combination of these optical conditions and evaluation items. This is a database including a calculation table composed of the change amounts per 1λ of the Zernike polynomials of aberration (or its index value), for example, the first term to the 37th term, that is, the Zernike sensitivity table. The Zernike sensitivity table is also called Zernike Sensitivity. Therefore, a file made up of Zernike sensitivity tables under a plurality of exposure conditions is also called a “ZS file”.

本実施形態では、ツェルニケ感度算出の対象となる結像性能として、各Zernike係数に対して線形な結像性能である次の12種類の線形収差、すなわち、X軸方向、Y軸方向のディストーション、4種類のコマ収差の指標値である線幅異常値、4種類の像面湾曲、2種類の球面収差と、各Zernike係数に対する非線形な結像性能である線幅CDとの13種類の結像性能が含まれている。ここで、各Zernike係数に対する非線形な結像性能としての線幅には、投影光学系によって像面上に形成されるラインパターンの像の線幅の他、縦方向に延びるラインパターンと、横方向に延びるラインパターンとの線幅差、孤立線と密集線との線幅差などがあるが、以下では、ラインパターンの像の線幅CDを代表的に採りあげて説明を行うものとする。   In the present embodiment, as the imaging performance for which Zernike sensitivity is calculated, the following 12 types of linear aberrations that are linear imaging performance with respect to each Zernike coefficient, that is, distortion in the X-axis direction and the Y-axis direction, 13 types of imaging with line width abnormal values as index values of 4 types of coma aberration, 4 types of curvature of field, 2 types of spherical aberration, and line width CD as nonlinear imaging performance for each Zernike coefficient Performance is included. Here, the line width as nonlinear imaging performance for each Zernike coefficient includes a line pattern extending in the vertical direction in addition to the line width of the image of the line pattern formed on the image plane by the projection optical system, and the horizontal direction. However, in the following description, the line width CD of the image of the line pattern will be taken up as a representative example.

解析装置500は、算出した波面収差データと結像性能変化表とに基づいて、現在の各結像性能を計算し、次に、レンズ素子、露光用照明光の波長、ウエハ面を仮に動かしたときの結像性能の変化を求める。そして、最も結像性能が良好となるであろう状態のレンズ素子の位置、露光用照明光の波長、ウエハ面位置とそのときの結像性能予測情報(レンズ素子等駆動量(調整パラメータ)、残留誤差、結像性能)を最適化結果として出力する。ここで、その結像性能は、レンズ素子駆動範囲と、結像性能誤差許容値とを考慮して算出される。   The analysis apparatus 500 calculates each current imaging performance based on the calculated wavefront aberration data and the imaging performance change table, and then temporarily moves the lens element, the wavelength of the illumination light for exposure, and the wafer surface. Find the change in imaging performance. And the position of the lens element in the state where the imaging performance will be most favorable, the wavelength of the illumination light for exposure, the wafer surface position and the imaging performance prediction information at that time (the driving amount of the lens element (adjustment parameter), (Residual error, imaging performance) are output as optimization results. Here, the imaging performance is calculated in consideration of the lens element driving range and the imaging performance error tolerance.

言い換えると、ここでは、結像性能に対する要求から、上記各種データベース情報に基づいて決定された波面収差に対する閾値を、実測された波面収差量が超えた場合に、求められる結像性能に、実際の結像性能が近づくように、調整パラメータが算出される。   In other words, here, the imaging performance required when the measured wavefront aberration amount exceeds the threshold value for wavefront aberration determined based on the above-mentioned various database information due to the demand for imaging performance. Adjustment parameters are calculated so that the imaging performance approaches.

なお、本実施形態では、レンズ素子の駆動量に対する波面収差変化表と、波面収差に対する結像性能変化表とは、解析装置500が有しているものとしたが、これらの情報を露光装置100が有しており、解析装置500は、投影光学系の調整パラメータを算出する必要が生じた場合に、露光装置100から取得するようにしてもよい。   In the present embodiment, the wavefront aberration change table with respect to the driving amount of the lens element and the imaging performance change table with respect to the wavefront aberration are included in the analysis apparatus 500. Therefore, the analysis apparatus 500 may acquire the adjustment parameter of the projection optical system from the exposure apparatus 100 when it becomes necessary to calculate the adjustment parameter.

[デバイス製造処理装置群]
図1に戻り、デバイス製造処理装置群900としては、CVD(Chemical Vapor Deposition:化学気相成長法)装置910と、エッチング装置920と、化学的機械的研磨を行いウエハを平坦化する処理を行うCMP(Chemical Mechanical Polishing:化学機械研磨)装置930と、酸化・イオン注入装置940とが設けられている。CVD装置910は、ウエハ上に薄膜を生成する装置であり、エッチング装置920は、現像されたウエハに対しエッチングを行う装置である。また、CMP装置930は、化学機械研磨によってウエハの表面を平坦化する研磨装置である。酸化・イオン注入装置940は、ウエハの表面に酸化膜を形成し、又はウエハ上の所定位置に不純物を注入するための装置である。また、CVD装置910、エッチング装置920、CMP装置930及び酸化・イオン注入装置940も、露光装置100などと同様に複数台設けられており、相互間でウエハを搬送可能とするための搬送経路が設けられている。デバイス製造処理装置群900には、この他にも、プロービング処理、リペア処理、ダイシング処理、パッケージング処理、ボンディング処理などを行う装置も含まれている。
[Device manufacturing processing equipment group]
Returning to FIG. 1, as the device manufacturing processing apparatus group 900, a CVD (Chemical Vapor Deposition) apparatus 910, an etching apparatus 920, and a process of planarizing a wafer by performing chemical mechanical polishing are performed. A CMP (Chemical Mechanical Polishing) apparatus 930 and an oxidation / ion implantation apparatus 940 are provided. The CVD apparatus 910 is an apparatus that generates a thin film on a wafer, and the etching apparatus 920 is an apparatus that performs etching on a developed wafer. The CMP apparatus 930 is a polishing apparatus that flattens the surface of the wafer by chemical mechanical polishing. The oxidation / ion implantation apparatus 940 is an apparatus for forming an oxide film on the surface of the wafer or implanting impurities into a predetermined position on the wafer. Also, a plurality of CVD apparatuses 910, etching apparatuses 920, CMP apparatuses 930, and oxidation / ion implantation apparatuses 940 are provided in the same manner as the exposure apparatus 100 and the like, and a transfer path for enabling transfer of wafers between them is provided. Is provided. In addition to this, the device manufacturing processing apparatus group 900 includes apparatuses that perform probing processing, repair processing, dicing processing, packaging processing, bonding processing, and the like.

[管理コントローラ]
管理コントローラ160は、露光装置100により実施される露光工程を集中的に管理するとともに、トラック200内のC/D110及びウエハ検査器120の管理及びそれらの連携動作の制御を行う。このようなコントローラとしては、例えば、パーソナルコンピュータを採用することができる。管理コントローラ160は、デバイス製造処理システム1000内の通信ネットワークを通じて、処理、動作の進捗状況を示す情報や、処理結果、測定・検査結果を示す情報を各装置から受信し、デバイス製造処理システム1000の製造ライン全体の状況を把握し、露光工程等が適切に行われるように、各装置の管理及び制御を行う。
[Management controller]
The management controller 160 centrally manages the exposure process performed by the exposure apparatus 100, and also manages the C / D 110 and the wafer inspector 120 in the track 200 and controls their cooperative operation. As such a controller, for example, a personal computer can be adopted. The management controller 160 receives information indicating the progress status of processing and operations, information indicating processing results, and measurement / inspection results from each apparatus through a communication network in the device manufacturing processing system 1000, and The status of the entire production line is grasped, and each apparatus is managed and controlled so that the exposure process and the like are performed appropriately.

[ホストシステム]
ホストシステム(以下、「ホスト」と呼ぶ)600は、デバイス製造処理システム1000全体を統括管理し、露光装置100、C/D110、ウエハ検査器120、デバイス製造処理装置群900を統括制御するメインホストコンピュータである。このホスト600についても、例えばパーソナルコンピュータなどを採用することができる。ホスト600と、他の装置との間は、有線又は無線の通信ネットワークを通じて接続されており、相互にデータ通信を行うことができるようになっている。このデータ通信により、ホスト600は、このシステムの統括制御を実現している。
[Host system]
A host system (hereinafter referred to as “host”) 600 manages the entire device manufacturing processing system 1000 and controls the exposure apparatus 100, C / D 110, wafer inspector 120, and device manufacturing processing apparatus group 900. It is a computer. For the host 600, for example, a personal computer can be employed. The host 600 and other devices are connected via a wired or wireless communication network so that data communication can be performed between them. By this data communication, the host 600 realizes overall control of this system.

[デバイス製造工程]
次に、デバイス製造処理システム1000における一連のプロセスの流れについて説明する。図3には、このプロセスのフローチャートが示されている。このデバイス製造処理システム1000の一連のプロセスは、ホスト600及び管理コントローラ160によってスケジューリングされ管理されている。上述したようにウエハはロット単位で処理されるが、実際には、ロット単位で、ウエハ毎に、図3に示される処理が例えばパイプライン的に繰り返されることになる。
[Device manufacturing process]
Next, a flow of a series of processes in the device manufacturing processing system 1000 will be described. FIG. 3 shows a flowchart of this process. A series of processes of the device manufacturing processing system 1000 is scheduled and managed by the host 600 and the management controller 160. As described above, wafers are processed in units of lots. Actually, however, the processing shown in FIG. 3 is repeated for each wafer in units of lots, for example, in a pipeline manner.

図3に示されるように、まず、ステップ201では、露光装置100に搬入されたレチクルの事前測定検査処理を行う。ここでは、内部のレチクル検査器130を用いて、レチクル上のパターンに対するレーザスキャンの結果と撮像データとに基づいて、レチクル上の異物の付着や欠陥を検出する。なお、このステップ201の処理については、後で詳述する。次のステップ203では、露光装置において、レチクルをステージにロードし、レチクルの位置合わせや、ベースライン(オフアクシスのアライメントセンサと、レチクルのパターン中心との距離)の計測などの準備処理を行う。   As shown in FIG. 3, first, in step 201, a pre-measurement / inspection process for the reticle carried into the exposure apparatus 100 is performed. Here, the internal reticle inspector 130 is used to detect adhesion or defects of foreign matter on the reticle based on the result of laser scanning of the pattern on the reticle and the imaging data. The process of step 201 will be described later in detail. In the next step 203, the exposure apparatus loads the reticle onto the stage, and performs preparatory processing such as reticle alignment and measurement of the baseline (distance between the off-axis alignment sensor and the reticle pattern center).

この後、上記ステップ201、203に平行して、ウエハに対する処理が行われる。まず、CVD装置910においてウエハ上に膜を生成し(ステップ205)、そのウエハをC/D110に搬送し、C/D110においてそのウエハ上にレジストを塗布する(ステップ207)。次に、ウエハを、ウエハ検査器120に搬送し、ウエハ検査器120において、ウエハ上に、既に形成された前層の複数のショット領域のうち、計測対象として選択されたショット領域(以下、計測ショットとする)について、ショットフラットネス(ショット領域のフォーカス段差)の測定、ウエハ上の異物の検査などの事前測定検査処理を行う(ステップ209)。この計測ショットの数及び配置は、任意のものとすることができるが、例えば、ウエハ外周部の8ショットとすることができる。ウエハ検査器120の測定結果(すなわち計測ショットのショットフラットネス)は、露光装置100及び解析装置500に送られる。この測定結果は、露光装置100における走査露光時のフォーカス制御に用いられる。   Thereafter, the wafer is processed in parallel with the above steps 201 and 203. First, a film is formed on the wafer in the CVD apparatus 910 (step 205), the wafer is transferred to the C / D 110, and a resist is applied on the wafer in the C / D 110 (step 207). Next, the wafer is transferred to the wafer inspection device 120, and the wafer inspection device 120 selects a shot region (hereinafter referred to as a measurement target) from among a plurality of shot regions of the previous layer already formed on the wafer. For the shot, pre-measurement inspection processing such as measurement of shot flatness (focus step in the shot area) and inspection of foreign matter on the wafer is performed (step 209). The number and arrangement of the measurement shots can be arbitrary, but can be, for example, eight shots on the outer periphery of the wafer. The measurement result of the wafer inspection device 120 (that is, the shot flatness of the measurement shot) is sent to the exposure apparatus 100 and the analysis apparatus 500. This measurement result is used for focus control during scanning exposure in the exposure apparatus 100.

続いて、ウエハを露光装置100に搬送し、露光装置100にてレチクル上の回路パターンをウエハ上に転写する(ステップ211)。このとき、露光装置100では、計測ショット露光中の上記露光量、同期精度、フォーカストレースデータをモニタリングし、内部のメモリにログデータとして記憶しておく。次に、ウエハをC/D110に搬送して、C/D110にて現像を行う(ステップ213)。その後、このレジスト像の線幅の、ウエハ検査器120での測定や、ウエハ上に転写された欠陥検査などの事後測定検査処理を行う(ステップ215)。   Subsequently, the wafer is transferred to the exposure apparatus 100, and the circuit pattern on the reticle is transferred onto the wafer by the exposure apparatus 100 (step 211). At this time, the exposure apparatus 100 monitors the exposure amount, synchronization accuracy, and focus trace data during measurement shot exposure, and stores them as log data in an internal memory. Next, the wafer is transferred to the C / D 110 and developed by the C / D 110 (step 213). Thereafter, post-measurement inspection processing such as measurement of the line width of the resist image by the wafer inspection device 120 and inspection of defects transferred onto the wafer is performed (step 215).

次に、解析装置500において、解析処理を行う(ステップ217)。この解析処理については後述する。ウエハは、ウエハ検査器120からエッチング装置920に搬送され、エッチング装置920においてエッチングを行い、不純物拡散、配線処理、CVD装置910にて成膜、CMP装置930にて平坦化、酸化・イオン注入装置940でのイオン注入などを必要に応じて行う(ステップ219)。そして、繰り返し工程が完了し、ウエハ上にすべてのパターンが形成されたか否かを、ホスト600において判断する(ステップ221)。この判断が否定されればステップ201に戻り、肯定されればステップ223に進む。このように、成膜・レジスト塗布〜エッチング等という一連のプロセスが工程数分繰り返し実行されることにより、ウエハ上に回路パターンが積層されていき、半導体デバイスが形成される。   Next, analysis processing is performed in the analysis apparatus 500 (step 217). This analysis process will be described later. The wafer is transferred from the wafer inspector 120 to the etching apparatus 920, etched in the etching apparatus 920, impurity diffusion, wiring processing, film formation in the CVD apparatus 910, planarization in the CMP apparatus 930, oxidation / ion implantation apparatus Ion implantation or the like at 940 is performed as necessary (step 219). Then, the host 600 determines whether the repetitive process is completed and all patterns are formed on the wafer (step 221). If this determination is denied, the process returns to step 201, and if affirmed, the process proceeds to step 223. As described above, a series of processes such as film formation, resist coating, etching, and the like are repeatedly executed for the number of steps, whereby circuit patterns are stacked on the wafer to form a semiconductor device.

繰り返し工程完了後、プロービング処理(ステップ223)、リペア処理(ステップ225)が、デバイス製造処理装置群900において実行される。このステップ223において、メモリ不良検出時は、ステップ225において、例えば、冗長回路へ置換する処理が行われる。解析装置500は、検出した線幅の異常が発生した箇所などの情報を、プロービング処理、リペア処理を行う装置に送るようにすることもできる。不図示の検査装置では、ウエハ上の線幅異常が発生した箇所については、チップ単位で、プロービング処理、リペア処理の処理対象から除外することができる。その後、ダイシング処理(ステップ227)、パッケージング処理、ボンディング処理(ステップ229)が実行され、最終的に製品チップが完成する。なお、ステップ215の事後測定検査処理は、ステップ219のエッチング後に行うようにしてもよい。この場合には、ウエハ上のエッチング像に対し線幅測定が行われるようになる。   After the repetition process is completed, the probing process (step 223) and the repair process (step 225) are executed in the device manufacturing processing apparatus group 900. In step 223, when a memory failure is detected, in step 225, for example, a replacement process with a redundant circuit is performed. The analysis apparatus 500 can also send information such as the location where the detected line width abnormality has occurred to an apparatus that performs probing processing and repair processing. In an inspection apparatus (not shown), a portion where a line width abnormality has occurred on a wafer can be excluded from processing targets for probing processing and repair processing in units of chips. Thereafter, a dicing process (step 227), a packaging process, and a bonding process (step 229) are executed to finally complete a product chip. Note that the post-measurement inspection process in step 215 may be performed after the etching in step 219. In this case, line width measurement is performed on the etching image on the wafer.

[最適化処理その1]
次に、デバイス製造処理システム1000における一連の最適化処理について詳細に説明する。図4には、この最適化処理におけるデータ通信の流れを示すデータフローが示されている。図4に示されるように、ステップ201(図3参照)におけるレチクル検査器130のレチクルの事前測定検査結果のデータは、解析装置500からの要求に応じて、又は自動的に、解析装置500に送られる。また、ステップ215(図3参照)におけるウエハ検査器120のウエハの事後測定検査結果のデータも、解析装置500からの要求に応じて、又は自動的に、解析装置500に送られる。解析装置500は、レチクルの事前測定検査結果と、ウエハの事後測定検査結果とを、不図示の記憶装置に格納するとともに、適当なタイミングで、ステップ217に進み、それらの検査結果を用いた解析処理を行う。この解析処理については詳述する。なお、この解析処理では、必要に応じて、露光装置100に対しデータ要求(処理状態のログデータ)を発して、そのログデータを取得し、取得したログデータに基づいて行われる場合もある。
[Optimization process 1]
Next, a series of optimization processes in the device manufacturing processing system 1000 will be described in detail. FIG. 4 shows a data flow showing the flow of data communication in this optimization processing. As shown in FIG. 4, the data of the reticle pre-measurement inspection result of the reticle inspector 130 in step 201 (see FIG. 3) is sent to the analysis device 500 in response to a request from the analysis device 500 or automatically. Sent. Further, the data of the post-measurement / inspection result of the wafer of the wafer inspection device 120 in step 215 (see FIG. 3) is also sent to the analysis apparatus 500 in response to a request from the analysis apparatus 500 or automatically. The analysis apparatus 500 stores the pre-measurement inspection result of the reticle and the post-measurement inspection result of the wafer in a storage device (not shown), and proceeds to step 217 at an appropriate timing to perform analysis using these inspection results. Process. This analysis process will be described in detail. Note that this analysis processing may be performed based on the acquired log data by issuing a data request (log data of the processing state) to the exposure apparatus 100 as necessary to acquire the log data.

解析装置500における解析結果は、露光装置100に送られる。露光装置100は、この解析結果に基づいて、装置パラメータ等の調整を行う。この調整処理についても後述する。   An analysis result in the analysis apparatus 500 is sent to the exposure apparatus 100. The exposure apparatus 100 adjusts apparatus parameters and the like based on the analysis result. This adjustment process will also be described later.

[解析処理]
解析装置500において、行われる解析処理(ステップ217)には、以下の2つがある。
(1)レチクル検査器130の検査条件を最適化するための解析処理
(2)露光装置100などの処理条件を最適化するための解析処理
[Analysis processing]
There are the following two analysis processes (step 217) performed in the analysis apparatus 500.
(1) Analysis processing for optimizing the inspection conditions of the reticle inspector 130 (2) Analysis processing for optimizing the processing conditions of the exposure apparatus 100 and the like

[解析処理その1]
まず、図5に示されるように、ステップ401において、レチクル検査結果をレチクル検査器130から取得する。この場合、レチクル検査結果としては、もし、レチクルの異常が検出されていれば、その異常が発生したレチクル上の位置、異常の種類(異物か欠陥か)、大きさ、数、検出信号などが含まれている。次のステップ403では、そのレチクル検査結果に基づいて、レチクルに異物や欠陥があるか否かを判断する。この判断が否定されればステップ419に進み、肯定されれば、ステップ405に進む。
[Analysis process 1]
First, as shown in FIG. 5, in step 401, a reticle inspection result is obtained from the reticle inspector 130. In this case, if a reticle abnormality is detected, the reticle inspection result includes the position on the reticle where the abnormality has occurred, the type of abnormality (foreign matter or defect), size, number, detection signal, etc. include. In the next step 403, based on the reticle inspection result, it is determined whether the reticle has foreign matter or a defect. If this determination is denied, the process proceeds to step 419, and if affirmed, the process proceeds to step 405.

ステップ405では、ウエハ検査結果データをウエハ検査器120から取得する。次のステップ407では、レチクル検査結果データとウエハ検査結果データとの相関度を算出する。次のステップ409では、算出された相関度が閾値を超えたか否かを判断する。ここで、判断が肯定されればステップ411に進み、否定されればステップ417に進む。   In step 405, wafer inspection result data is acquired from the wafer inspector 120. In the next step 407, the degree of correlation between the reticle inspection result data and the wafer inspection result data is calculated. In the next step 409, it is determined whether or not the calculated degree of correlation exceeds a threshold value. If the determination is affirmed, the process proceeds to step 411. If the determination is negative, the process proceeds to step 417.

ステップ411では、検査結果が異物であるか否かを判断する。この判断が否定されればステップ413に進み、レチクルに欠陥有りと判断し、レチクル交換要を通知内容として設定する。また、ステップ411で判断が肯定されればステップ415に進み、異物除去要を通知内容として設定する。   In step 411, it is determined whether the inspection result is a foreign object. If this determination is negative, the process proceeds to step 413, where it is determined that there is a defect in the reticle, and the need for reticle replacement is set as the notification content. If the determination in step 411 is affirmative, the process proceeds to step 415 to set the need for foreign matter removal as the notification content.

一方、ステップ409での判断が肯定された後に行われるステップ417では、レチクル検査器120の判定レベルの調整を行う。すなわち、レチクル検査結果で異常となり、かつ、ウエハ検査結果との相関性がない場合には、その異常検出レベルは、歩留まりに影響しない異常検出レベルとずれがあるものとして、通知内容としての異常検出用の閾値を高めに設定する。   On the other hand, in step 417 performed after the determination in step 409 is affirmed, the determination level of the reticle inspector 120 is adjusted. In other words, if the reticle inspection result is abnormal and there is no correlation with the wafer inspection result, the abnormality detection level is assumed to be different from the abnormality detection level that does not affect the yield, and abnormality detection is performed as notification content. Set a higher threshold for

ステップ413、415、417が実行された後は、ステップ419に進み、通知内容を、露光装置100(レチクル検査器130)に送る。   After Steps 413, 415, and 417 are executed, the process proceeds to Step 419, and the notification content is sent to the exposure apparatus 100 (reticle inspector 130).

図6には、この通知後の露光装置100(レチクル検査器130含む)の調整処理であるステップ303のサブルーチンが示されている。図6に示されるように、まず、ステップ451では、解析装置500からの通知内容を取得する。次のステップ453では、レチクル検査結果で異常が検出されていたか否かを判断する。この判断が否定されれば処理を終了するが、肯定された場合にはステップ455に進む。   FIG. 6 shows a subroutine of step 303 which is an adjustment process of the exposure apparatus 100 (including the reticle inspector 130) after this notification. As shown in FIG. 6, first, in step 451, the notification content from the analysis apparatus 500 is acquired. In the next step 453, it is determined whether or not an abnormality has been detected in the reticle inspection result. If this determination is denied, the process ends. If the determination is affirmed, the process proceeds to step 455.

ステップ455では、その相関度が閾値を上回っているか否かを判断する。この判断が肯定されればステップ457に進み、この判断が否定されればステップ463に進む。ステップ457では、レチクル検査結果の異常の原因が異物であったか否かを判断する。この判断が否定されればステップ459に進み、肯定されればステップ461に進む。ステップ459では、パターン欠陥と判定されたので、レチクルを、欠陥のないレチクルに交換し、ステップ461では異物有りと判定されたので、レチクルをクリーニングする。一方、ステップ463では、異常判定レベルを変更する。ステップ459、461、463終了後は、処理を終了する。   In step 455, it is determined whether or not the degree of correlation exceeds a threshold value. If this determination is positive, the process proceeds to step 457, and if this determination is negative, the process proceeds to step 463. In step 457, it is determined whether or not the cause of the abnormality in the reticle inspection result is a foreign object. If this determination is denied, the process proceeds to step 459, and if it is affirmed, the process proceeds to step 461. In step 459, since it is determined that there is a pattern defect, the reticle is replaced with a reticle having no defect. In step 461, it is determined that there is a foreign substance, and thus the reticle is cleaned. On the other hand, in step 463, the abnormality determination level is changed. After steps 459, 461, and 463 are completed, the process is terminated.

[解析処理その2]
次に、解析処理その2について説明する。図7には、解析処理その2のフローチャートが示されている。図7に示されるように、ステップ501では、ウエハ検査結果を取得する。この場合、ウエハ検査結果としては、もし、ウエハの異常が検出されていれば、その異常が発生したウエハ上の位置、異常の種類(異物か欠陥か)、大きさ、数、検出信号などが含まれている。次のステップ503では、ウエハ検査結果を参照し、ウエハ上に異物・欠陥が有るか否かを判断する。この判断が否定されればステップ541に進み、肯定されればステップ505に進む。ステップ505では、レチクル検査結果をレチクル検査器130から取得し、次のステップ507では、レチクル検査結果(レチクル異常)と、ウエハ検査結果(ウエハ欠陥)との相関度を算出し、ステップ509では、相関度が閾値以上であるか否かを算出する。ステップ509の判断が肯定されればステップ511に進み、否定されればステップ517に進む。
[Analysis process 2]
Next, analysis process 2 will be described. FIG. 7 shows a flowchart of the second analysis process. As shown in FIG. 7, in step 501, a wafer inspection result is acquired. In this case, as a result of wafer inspection, if a wafer abnormality is detected, the position on the wafer where the abnormality has occurred, the type of abnormality (foreign matter or defect), size, number, detection signal, etc. include. In the next step 503, the wafer inspection result is referred to and it is determined whether or not there is a foreign object / defect on the wafer. If this determination is denied, the process proceeds to step 541, and if affirmed, the process proceeds to step 505. In step 505, the reticle inspection result is acquired from the reticle inspector 130. In the next step 507, the degree of correlation between the reticle inspection result (reticle abnormality) and the wafer inspection result (wafer defect) is calculated. In step 509, It is calculated whether or not the degree of correlation is greater than or equal to a threshold value. If the determination in step 509 is affirmed, the process proceeds to step 511. If the determination is negative, the process proceeds to step 517.

ステップ511では、レチクル異常の要因が、異物であるか否かを判断する。この判断が否定されればステップ513に進み、肯定されればステップ515に進む。ステップ513ではレチクルに欠陥有りと判断してレチクル交換要を設定し、ステップ517では異物除去要を設定する。   In step 511, it is determined whether or not the reticle abnormality factor is a foreign substance. If this determination is denied, the process proceeds to step 513, and if affirmed, the process proceeds to step 515. In step 513, it is determined that there is a defect in the reticle, and reticle replacement necessity is set. In step 517, foreign substance removal necessity is set.

一方、ステップ517では、露光装置100からログデータを取得し、ステップ519では、そのログデータとウエハ検査結果との相関度を算出する。ここでは、異常が発生した位置での検出信号と、ログデータとの相関度を算出する。次のステップ521では、相関度が閾値を超えたか否かを判断する。この判断が肯定された場合にのみ、ステップ525に進み、露光装置の制御系パラメータを最適化する。例えば、CDテーブル群552を参照して、ウエハの異常の要因が解消されるように、ログデータから算出される異常個所に該当する露光量、同期誤差、フォーカス、レンズ制御誤差などの値と、そのときの推定線幅との関係に基づいて、各種制御系における制御系パラメータを最適化する。さらに、ウエハの異常がデバイスパターンの重ね合わせ異常であった場合には、ウエハアライメント関連の装置パラメータも最適化の対象となる。最適化されたパラメータは、解析結果として設定される。   On the other hand, in step 517, log data is acquired from the exposure apparatus 100, and in step 519, the degree of correlation between the log data and the wafer inspection result is calculated. Here, the degree of correlation between the detection signal at the position where the abnormality has occurred and the log data is calculated. In the next step 521, it is determined whether or not the degree of correlation exceeds a threshold value. Only when this determination is affirmative, the routine proceeds to step 525, where the control system parameters of the exposure apparatus are optimized. For example, referring to the CD table group 552, values such as an exposure amount, a synchronization error, a focus, a lens control error, and the like corresponding to an abnormal part calculated from log data so as to eliminate the cause of the abnormality of the wafer, Control system parameters in various control systems are optimized based on the relationship with the estimated line width at that time. Further, when the wafer abnormality is a device pattern overlay abnormality, the apparatus parameters related to the wafer alignment are also targeted for optimization. The optimized parameter is set as an analysis result.

次のステップ531では、C/D110や検査器120、130の処理内容に異常が見られるか否かを、それらから取得されたデータ等に基づいて判定する。この判断が肯定された場合にのみ、ステップ533に進み、C/D110、検査器120、139の調整要を設定する。   In the next step 531, it is determined on the basis of data acquired from them whether or not there is an abnormality in the processing contents of the C / D 110 and the inspectors 120 and 130. Only when this determination is affirmed, the process proceeds to step 533 to set the adjustment necessity of the C / D 110 and the inspectors 120 and 139.

ステップ535では、投影光学系の収差調整要か否かを設定する。この判断が肯定された場合にのみ、ステップ537に進んで、投影光学系の収差調整要を設定する。   In step 535, it is set whether or not the aberration adjustment of the projection optical system is necessary. Only when this determination is affirmative, the routine proceeds to step 537, where the aberration adjustment requirement of the projection optical system is set.

ステップ539では、レチクル最適化処理を行う。OPCや位相シフト技術によるレチクル最適化である。より具体的には、ウエハ検査結果から、設計情報とのパターンのずれが閾値以上である箇所を抜き出す。そして、ずれが大きい箇所について、OPC技術又は位相シフトマスク技術により、パターンの設計情報を変更する。そして、変更されたパターンの設計情報に合致したレチクルを用意する。   In step 539, reticle optimization processing is performed. This is reticle optimization using OPC and phase shift technology. More specifically, a portion where the pattern deviation from the design information is greater than or equal to a threshold is extracted from the wafer inspection result. Then, the pattern design information is changed by using the OPC technique or the phase shift mask technique for the portion where the deviation is large. Then, a reticle that matches the design information of the changed pattern is prepared.

図8(A)〜図8(E)には、OPC技術によるパターンの設計変更の一例が示されている。図8(A)の例では、ライン・アンド・スペース・パターンの一部のラインパターンの一部が細くなったりするような場合(すなわち寸法が変動する場合)に、そのラインパターンを太くするようにパターンが補正されている。これにより、ウエハ上の転写パターンは、設計パターンどおりとなる。   8A to 8E show an example of pattern design change by the OPC technique. In the example of FIG. 8A, when a part of the line pattern of the line and space pattern becomes thin (that is, when the dimension varies), the line pattern is made thick. The pattern has been corrected. Thereby, the transfer pattern on the wafer becomes the design pattern.

また、図8(B)の例では、正方形状のレチクルパターンがウエハ上で縦に長細くなってしまう場合に、レチクルパターンを横に長細くなるように補正することにより、ウエハ上のパターンが設計パターンどおりに正方形状に補正されている。   In the example of FIG. 8B, when a square reticle pattern is elongated vertically on the wafer, the pattern on the wafer is corrected by correcting the reticle pattern to be elongated horizontally. It is corrected to a square shape according to the design pattern.

また、図8(C)の例では、2つのラインパターンと、そのラインパターンに挟まれた矩形パターンとの組合せパターンの転写パターンにおいて、ラインパターンと矩形パターンとがつながってしまうような場合が示されている。この場合には、ラインパターンと、矩形パターンとの間が広くなるように、ラインパターンの一部を細くなるように補正することにより、ウエハ上のパターンが、設計どおりに形成される。   Further, the example of FIG. 8C shows a case where the line pattern and the rectangular pattern are connected in the transfer pattern of the combination pattern of the two line patterns and the rectangular pattern sandwiched between the line patterns. Has been. In this case, the pattern on the wafer is formed as designed by correcting the part of the line pattern so as to be narrow so that the space between the line pattern and the rectangular pattern is widened.

また、図8(D)では、ライン・アンド・スペース・パターン(L/Sパターン)のラインパターンの4角が丸まってしまうような場合に、レチクル上のラインパターンの4角を、矩形状に拡張している。また、図8(E)に示される例では、ラインパターンが全体的に小さくなってしまうような場合に、ラインパターンの4辺に、補助パターンが形成されている。   In FIG. 8D, when the four corners of the line pattern of the line and space pattern (L / S pattern) are rounded, the four corners of the line pattern on the reticle are rectangular. It has been expanded. In the example shown in FIG. 8E, auxiliary patterns are formed on the four sides of the line pattern when the line pattern becomes smaller as a whole.

一方、位相シフトマスクとしては、例えば、レベンソン型、補助パターン型、リム型、ハーフ・トーン型、クロム・レス型などの様々なタイプを適用することができる。   On the other hand, as the phase shift mask, various types such as a Levenson type, an auxiliary pattern type, a rim type, a half tone type, and a chrome-less type can be applied.

ステップ513、515、539終了後は、ステップ541に進み、各種装置、解析結果を通知し、処理を終了する。   After steps 513, 515, and 539 are completed, the process proceeds to step 541, where various devices and analysis results are notified, and the process ends.

図9には、この通知を受けた露光装置100の処理のフローチャートが示されている。図9に示されるように、ステップ551では、通知内容を取得し、ステップ553において、ウエハに異常があったか否かを判断する。この判断が否定されれば、そのまま処理を終了する。ステップ553の判断が肯定された場合には、ステップ555に進む。ここでは、相関が無いか否かが判断される。この判断が肯定されれば(相関が無ければ)、ステップ557において、異物が有るか否かが判断される。ここで、この判断が否定されれば、レチクルに欠陥有りと判断して、ステップ559においてレチクル交換が行われ、肯定されれば、ステップ561においてレチクルのクリーニングが実施される。   FIG. 9 shows a flowchart of processing of the exposure apparatus 100 that has received this notification. As shown in FIG. 9, in step 551, the notification content is acquired, and in step 553, it is determined whether or not there is an abnormality in the wafer. If this determination is negative, the process is terminated as it is. If the determination in step 553 is affirmed, the process proceeds to step 555. Here, it is determined whether there is no correlation. If this determination is affirmative (if there is no correlation), it is determined in step 557 whether there is a foreign object. If the determination is negative, it is determined that the reticle is defective, and reticle replacement is performed in step 559. If the determination is affirmative, reticle cleaning is performed in step 561.

一方、ステップ555で、判断が肯定された場合には、ステップ563に進み、最適化するパラメータが有るか否かを判断する。有る場合には、ステップ565に進み、最適化パラメータを設定し、無い場合には、ステップ567に進み、投影光学系の収差を調整するか否かを判断し、肯定された場合には、投影光学系の調整を行い、否定された場合には、処理を終了する。   On the other hand, if the determination in step 555 is affirmative, the process proceeds to step 563 to determine whether there is a parameter to be optimized. If yes, the process proceeds to step 565, and optimization parameters are set. If not, the process proceeds to step 567 to determine whether or not to adjust the aberration of the projection optical system. If the optical system is adjusted and the result is negative, the process ends.

なお、上述した解析装置500における解析処理は、他の装置での処理に対して、独立であるため、デバイス生産のスループットには、ほとんど影響を与えない。   Note that the analysis processing in the above-described analysis apparatus 500 is independent of the processing in other apparatuses, and therefore hardly affects the throughput of device production.

以上詳細に述べたように、本実施形態によれば、レチクル検査器130のレチクル欠陥検査データと、ウエハ検査器120のウエハ欠陥検査データとを収集し、収集されたデータを統合して管理するので、歩留まりに影響する欠陥を速やかに検出し、修正を行えるようなデータが導き出されるような環境を構築することができる。この結果、デバイス生産の歩留まりが向上する。   As described in detail above, according to the present embodiment, reticle defect inspection data of the reticle inspector 130 and wafer defect inspection data of the wafer inspector 120 are collected, and the collected data are integrated and managed. Therefore, it is possible to construct an environment in which defects that affect the yield can be quickly detected and data that can be corrected are derived. As a result, the yield of device production is improved.

また、本実施形態によれば、解析装置500は、レチクル検査器130の検査内容に関するデータと、ウエハ検査器120の検査内容に関するデータとの相関を、判定するステップ407、409を実行する。このようにすれば、ウエハの欠陥の原因がレチクルにあるのか否かを判別することが可能となる。   Further, according to the present embodiment, the analysis apparatus 500 executes steps 407 and 409 for determining the correlation between the data related to the inspection content of the reticle inspection device 130 and the data related to the inspection content of the wafer inspection device 120. In this way, it is possible to determine whether or not the reticle is the cause of the wafer defect.

また、本実施形態によれば、ウエハ検査器120のウエハの欠陥検査で異常が認められた場合にのみ、各種処理条件の最適化処理を実行する。このようにすれば、検査が正常である限り、最適化処理を行わずに済むため、解析装置500やシステム全体の処理負荷が軽減される。なお、ウエハ1枚おき、3枚おき、ロット先頭のみ、又は定期的に、各種処理条件の最適化を行うようにしてもよいことは勿論である。最適化処理を行う頻度は、増減することができる。例えば、ウエハの異常が検出された場合に、最適化処理を行う頻度を増やし、その後、ウエハの検査結果が安定すれば、最適化処理を行う頻度を減らすようにすればよい。   Further, according to the present embodiment, optimization processing of various processing conditions is executed only when an abnormality is recognized in the wafer inspection of the wafer inspection device 120. In this way, as long as the inspection is normal, it is not necessary to perform the optimization process, so the processing load on the analysis apparatus 500 and the entire system is reduced. Of course, various processing conditions may be optimized every other wafer, every third wafer, only at the head of the lot, or periodically. The frequency of performing the optimization process can be increased or decreased. For example, when a wafer abnormality is detected, the frequency of performing the optimization process is increased, and after that, if the wafer inspection result is stabilized, the frequency of the optimization process may be decreased.

なお、本実施形態では、このようなレチクル検査とウエハ検査との相関の判定を、ウエハごとに行ったが、これは、任意のタイミングで実行することができる。例えば、ロット内先頭のウエハのみ、3枚おき、5枚おき、又は、定期的に、各種処理条件の最適化処理を実行することができる。この結果、デバイス生産のスループットの低下が防止される。   In the present embodiment, the determination of the correlation between the reticle inspection and the wafer inspection is performed for each wafer, but this can be executed at an arbitrary timing. For example, optimization processing of various processing conditions can be executed only for the first wafer in a lot, every third wafer, every fifth wafer, or periodically. As a result, a decrease in device production throughput is prevented.

また、本実施形態によれば、レチクル検査とウエハ検査との間に相関が認められ、かつ、ウエハに異常が認められた場合に、レチクルパターンの状態を改善するためのステップ413、415を実行する。ステップ413では、レチクルの交換が行われ、ステップ415では、レチクル上のパターンのクリーニング(レチクル上の異物の除去)が行われる。これにより、レチクルの状態が改善され、露光精度が向上する。   Further, according to the present embodiment, when a correlation is recognized between the reticle inspection and the wafer inspection and an abnormality is recognized in the wafer, the steps 413 and 415 for improving the state of the reticle pattern are executed. To do. In step 413, the reticle is exchanged. In step 415, the pattern on the reticle is cleaned (removal of foreign matter on the reticle). Thereby, the state of the reticle is improved and the exposure accuracy is improved.

レチクルの検査とウエハの欠陥検査との間に相関が認められず、かつ、レチクル検査器130の検査又はウエハ検査器120の検査のいずれかで異常が認められた場合に、異常が検出されなかったもう一方のレチクル検査器130又はウエハ検査器120の検査条件を最適化する。このようにすれば、実際に歩留まりに直結する検査体勢が確立されるようになる。   If no correlation is found between the reticle inspection and the wafer defect inspection, and an abnormality is detected in either the reticle inspection device 130 inspection or the wafer inspection device 120 inspection, no abnormality is detected. The inspection conditions of the other reticle inspection device 130 or wafer inspection device 120 are optimized. In this way, an inspection posture that is actually directly linked to the yield is established.

また、本実施形態によれば、例えば最適化する検査条件は、異常を判別するための異常検出レベルとすることができる。この異常検出レベルが適切に設定されれば、擬似欠陥等の発生が抑制され、デバイス生産の歩留まりが向上する。   Further, according to the present embodiment, for example, the inspection condition to be optimized can be set to an abnormality detection level for determining abnormality. If this abnormality detection level is set appropriately, the occurrence of pseudo defects and the like is suppressed, and the yield of device production is improved.

また、本実施形態によれば、レチクル検査器130の検査結果で異常が認められ、かつ、ウエハ検査器120の検査結果で異常が認められていなかった場合に、レチクル検査器130の検査条件を最適化する。   In addition, according to the present embodiment, when an abnormality is found in the inspection result of the reticle inspection device 130 and no abnormality is found in the inspection result of the wafer inspection device 120, the inspection condition of the reticle inspection device 130 is changed. Optimize.

また、本実施形態によれば、ウエハにパターンを転写する露光装置100と解析装置500との間をデータ通信可能に接続する。次に、露光装置100によるウエハの処理状態に関するログデータを収集する。そして、ウエハの検査結果と、レチクルの検査結果との間に相関が認められなかった場合に、露光装置100の処理状態に関するログデータと、ウエハ検査器120の検査結果との相関を判定する。この相関の判定により、ウエハ欠陥の原因が露光装置100にあるか否かを判定することができるようになる。   Further, according to the present embodiment, the exposure apparatus 100 that transfers the pattern to the wafer and the analysis apparatus 500 are connected so that data communication is possible. Next, log data regarding the wafer processing state by the exposure apparatus 100 is collected. If no correlation is found between the wafer inspection result and the reticle inspection result, the correlation between the log data relating to the processing state of the exposure apparatus 100 and the inspection result of the wafer inspector 120 is determined. This correlation determination makes it possible to determine whether or not the exposure apparatus 100 is the cause of the wafer defect.

また、本実施形態によれば、ウエハの検査結果と、露光装置100の処理状態との相関が認められた場合に、露光装置100の処理内容を最適化する。このようにウエハの検査結果との相関に基づいて、露光装置100の処理内容が改善されるので、デバイス生産の歩留まりが向上する。   Further, according to the present embodiment, when the correlation between the wafer inspection result and the processing state of the exposure apparatus 100 is recognized, the processing content of the exposure apparatus 100 is optimized. As described above, since the processing content of the exposure apparatus 100 is improved based on the correlation with the wafer inspection result, the yield of device production is improved.

また、本実施形態によれば、レチクル検査結果と、ウエハ検査結果との間で相関が認められず、さらに、ウエハ検査結果と、露光装置100の処理状態との間でも相関が認められなかった場合には、レチクル自体を交換するようにしてもよい。ここでは、なお、複数のレチクルを用意しておき、複数の異なるレチクルの中から、最適なレチクルを選択するようにしてもよい。このような複数のレチクルは、OPC技術や、位相シフト技術を用いたレチクルとすることができる。   Further, according to the present embodiment, no correlation is observed between the reticle inspection result and the wafer inspection result, and no correlation is observed between the wafer inspection result and the processing state of the exposure apparatus 100. In some cases, the reticle itself may be exchanged. Here, a plurality of reticles may be prepared, and an optimum reticle may be selected from a plurality of different reticles. Such a plurality of reticles can be reticles using OPC technology or phase shift technology.

また、本実施形態によれば、レチクル検査器130やウエハ検査器120から収集されたデータに基づいて、解析装置500を用いて、一方の検査器120、130の検査内容に関するデータに基づいて、他方の検査器120、130の検査内容の検査条件を最適化することができる。   Further, according to the present embodiment, based on data collected from the reticle inspector 130 and the wafer inspector 120, using the analysis apparatus 500, based on data relating to the inspection contents of one of the inspectors 120 and 130, The inspection conditions of the inspection contents of the other inspectors 120 and 130 can be optimized.

また、このような最適化は、レチクル検査器130の検査結果とウエハ検査器120の検査結果とのいずれかで異常が認められた場合、又は、任意のタイミングで行うことができる。   Such optimization can be performed when an abnormality is recognized in either the inspection result of the reticle inspection device 130 or the inspection result of the wafer inspection device 120, or at an arbitrary timing.

また、この最適化処理においては、一方の検査器120、130の検査結果で異常が認められた場合に、その異常に対応する部分に対して検査が重点的に行われるように、他方の検査器120、130の検査条件を最適化することができる。   In addition, in this optimization process, when an abnormality is found in the inspection results of one of the inspectors 120 and 130, the other inspection is performed so that the inspection corresponding to the abnormality is focused on. The inspection conditions of the instruments 120 and 130 can be optimized.

また、本実施形態によれば、解析装置500は、レチクル検査器130及びウエハ検査器120との少なくとも一方で異常が検出された場合には、その異常に関するデータのみを収集する。このようにすれば、データ通信量を削減して、通信ネットワークのトラフィックの負荷を軽減することができる。   Further, according to the present embodiment, when an abnormality is detected in at least one of the reticle inspection device 130 and the wafer inspection device 120, the analysis apparatus 500 collects only data relating to the abnormality. In this way, it is possible to reduce the amount of data communication and reduce the traffic load on the communication network.

また、本実施形態に係るレチクル最適化に至るまでの解析処理は、言い換えると、レチクル検査器130の検査結果のデータと、露光装置100の処理内容に関するデータと、ウエハ検査器120の検査結果のデータとの相関関係を考慮して、パターンの設計データを作成する方法であるとすることができる。   In addition, the analysis processing up to the reticle optimization according to the present embodiment is, in other words, the inspection result data of the reticle inspector 130, the data related to the processing contents of the exposure apparatus 100, and the inspection result of the wafer inspector 120. It can be assumed that the pattern design data is created in consideration of the correlation with the data.

すなわち、レチクル検査器130の検査結果に関するデータとウエハ検査器の検査結果に関するデータとの相関関係とに基づいて、レチクル上のパターンの状態を改善し、露光装置100の処理内容に関するログデータと、ウエハ検査器120の検査結果に関するデータとの相関関係とに基づいて、露光装置100の制御パラメータ等を最適化した状態で、ウエハ検査器120の検査結果に関するデータに基づいて、レチクル上のパターンの設計データ(OPCマスクや位相シフトマスク)を作成する。これらのデータを総合的に勘案してレチクル上に形成するパターンの設計データを作成すれば、最適な状態の下で、デバイスを生産することが可能となる。   That is, based on the correlation between the data relating to the inspection result of the reticle inspector 130 and the data relating to the inspection result of the wafer inspector 130, the state of the pattern on the reticle is improved, and the log data relating to the processing content of the exposure apparatus 100; Based on the correlation with the data related to the inspection result of the wafer inspection device 120, the control parameters of the exposure apparatus 100 are optimized, and the pattern on the reticle is determined based on the data related to the inspection result of the wafer inspection device 120. Design data (OPC mask or phase shift mask) is created. If the design data of the pattern formed on the reticle is created by comprehensively taking these data into consideration, it becomes possible to produce a device under an optimum state.

また、本実施形態によれば、レチクル検査で異常が認められた場合、異常が認められた部分に関するデータ(異常が発生したレチクル内の位置、種類、大きさ、数、検出信号など)を、露光装置100からウエハ検査器120又は解析装置500に送信する。ウエハ検査器120又は解析装置500は、このデータに基づいて、ウエハの検査条件を最適化する。このようにすれば、最適な状態で、ウエハを検査することができるようになる。   Further, according to the present embodiment, when an abnormality is detected in the reticle inspection, data on the portion where the abnormality is recognized (position, type, size, number, detection signal, etc. in the reticle where the abnormality occurs) The data is transmitted from the exposure apparatus 100 to the wafer inspection device 120 or the analysis apparatus 500. The wafer inspection device 120 or the analysis apparatus 500 optimizes the wafer inspection conditions based on this data. In this way, the wafer can be inspected in an optimum state.

また、本実施形態によれば、インラインのウエハ検査器120で検出されたパターン欠陥(例えば、コーナの丸まり、設計寸法からのずれ)に関するデータに応じて、ウエハ、露光装置(露光装置での露光に用いられるレーザ光源の状態を含む)に測して、露光に用いるマスクを、その補正に適したマスク(OPC技術や、位相シフト技術などを適用したマスク)に変更する。これにより、高精度な露光を実現することができる。   Further, according to the present embodiment, the wafer and the exposure apparatus (exposure by the exposure apparatus) are performed in accordance with data regarding pattern defects (for example, rounded corners and deviation from design dimensions) detected by the in-line wafer inspection device 120. The mask used for exposure is changed to a mask suitable for the correction (a mask using an OPC technique, a phase shift technique, or the like). Thereby, highly accurate exposure can be realized.

また、本実施形態によれば、ウエハ検査器120又は解析装置500において、ロット間、ウエハ間、ショット間でのウエハ上の異常発生具合の傾向を分析する。このようにすれば、異常が発生する頻度が高い場所の検査頻度を増やしたり、異常が発生する確率が極めて少ない場所の検査頻度を減らしたりすることができる。   Further, according to the present embodiment, the wafer inspector 120 or the analysis apparatus 500 analyzes the tendency of the abnormality occurrence on the wafer between lots, between wafers, and between shots. In this way, it is possible to increase the inspection frequency at a place where the frequency of occurrence of abnormality is high, or to reduce the inspection frequency at a place where the probability of occurrence of abnormality is extremely low.

なお、異常が検出されたウエハを必ずしも廃棄する必要はなく、レジスト膜剥離などを行って、そのウエハを再利用するようにしてもよい。   Note that it is not always necessary to discard a wafer in which an abnormality has been detected, and the wafer may be reused by removing the resist film or the like.

本実施形態では、ウエハ検査器120を露光装置100等とインラインに接続するものとしたが、ウエハ検査器120は、露光装置100やトラック200とはインラインに接続されていないオフラインの測定検査器であってもよい。   In this embodiment, the wafer inspection device 120 is connected inline with the exposure apparatus 100 and the like. However, the wafer inspection device 120 is an off-line measurement inspection device that is not connected inline with the exposure apparatus 100 and the track 200. There may be.

さらに、特開平11−135400号公報や特開2000−164504号公報に開示されるように、ウエハを保持するウエハステージと基準マークが形成された基準部材や各種の光電センサを搭載した計測ステージとを備えた露光装置にも本発明を適用することができる。   Further, as disclosed in Japanese Patent Application Laid-Open No. 11-135400 and Japanese Patent Application Laid-Open No. 2000-164504, a wafer stage for holding a wafer, a reference member on which a reference mark is formed, and a measurement stage equipped with various photoelectric sensors; The present invention can also be applied to an exposure apparatus provided with the above.

なお、上記実施形態では、ステップ・アンド・スキャン方式やステップ・アンド・リピート方式の投影露光装置について説明したが、本発明は、これらの投影露光装置の他、プロキシミティ方式の露光装置など他の露光装置にも適用できることはいうまでもない。また、ショット領域とショット領域とを合成するステップ・アンド・スティッチ方式の縮小投影露光装置にも本発明を好適に適用することができる。これに代表されるように、各種装置についても、その種類には限定されない。   In the above-described embodiment, the step-and-scan type and step-and-repeat type projection exposure apparatus has been described. Needless to say, the present invention can also be applied to an exposure apparatus. The present invention can also be suitably applied to a step-and-stitch reduction projection exposure apparatus that combines a shot area and a shot area. As represented by this, the various apparatuses are not limited to those types.

また、例えば国際公開WO98/24115号、WO98/40791号に開示されるような、ウエハステージを2基備えたツインステージ型の露光装置にも適用できる。また、例えば国際公開WO99/49504号に開示される液浸法を用いる露光装置にも本発明を適用することができるのは勿論である。この場合、投影光学系とウエハとの間に局所的に液体を満たす露光装置を採用しているが、本発明は、特開平6−124873号公報、特開平10−303114号公報、米国特許第5,825,043号明細書などに開示されているような露光対象の基板の被露光面全体が液体中に浸かっている状態で露光を行う液浸露光装置にも適用可能である。   Further, for example, the present invention can be applied to a twin stage type exposure apparatus having two wafer stages as disclosed in International Publication Nos. WO98 / 24115 and WO98 / 40791. Of course, the present invention can also be applied to an exposure apparatus using a liquid immersion method disclosed in, for example, International Publication WO99 / 49504. In this case, an exposure apparatus that locally fills the liquid between the projection optical system and the wafer is employed, but the present invention is disclosed in JP-A-6-124873, JP-A-10-303114, and US Pat. The present invention is also applicable to an immersion exposure apparatus that performs exposure in a state where the entire exposed surface of a substrate to be exposed is immersed in a liquid as disclosed in the specification of US Pat. No. 5,825,043.

また、本発明は、半導体製造工程に限らず、液晶表示素子などを含むディスプレイの製造工程にも適用可能である。また、デバイスパターンをガラスプレート上に転写する工程、薄膜磁気ヘッドの製造工程、及び撮像素子(CCDなど)、マイクロマシン、有機EL、DNAチップなどの製造工程の他、すべてのデバイス製造工程における線幅管理に本発明を適用することができるのは勿論である。   The present invention is not limited to a semiconductor manufacturing process, and can be applied to a manufacturing process of a display including a liquid crystal display element. Line width in all device manufacturing processes, including the process of transferring the device pattern onto the glass plate, the manufacturing process of the thin film magnetic head, the manufacturing process of the imaging device (CCD, etc.), micromachine, organic EL, DNA chip, etc. Of course, the present invention can be applied to management.

また、上記実施形態では、解析装置500を、例えばPCとした。すなわち解析装置500における解析処理は、解析プログラムが、PCで実行されることにより実現されている。この解析プログラムは、上述したようにメディアを介してPCにインストール可能となっていてもよいし、インターネットなどを通じてPCにダウンロード可能となっていてもよい。また、解析装置500がハードウエアで構成されていても構わないのは勿論である。   In the above embodiment, the analysis apparatus 500 is a PC, for example. That is, the analysis processing in the analysis apparatus 500 is realized by executing an analysis program on a PC. As described above, this analysis program may be installable on the PC via a medium, or may be downloadable to the PC via the Internet or the like. Of course, the analysis apparatus 500 may be configured by hardware.

また、解析装置500は、露光装置100(レチクル検査器130含む)、C/D110、ウエハ測定検査器120とは、独立した装置としたが、いずれかが備えているようにしてもよい。   Further, although the analysis apparatus 500 is an apparatus independent of the exposure apparatus 100 (including the reticle inspector 130), the C / D 110, and the wafer measurement inspector 120, any of them may be provided.

以上説明したように、本発明の情報管理方法、情報管理システム、プログラム、記録媒体、パターン検査装置及び基板検査装置は、マイクロデバイスを製造するのに適している。   As described above, the information management method, information management system, program, recording medium, pattern inspection apparatus, and substrate inspection apparatus of the present invention are suitable for manufacturing a micro device.

本発明の一実施形態に係るデバイス製造処理システムの概略構成を示す図である。It is a figure which shows schematic structure of the device manufacturing processing system which concerns on one Embodiment of this invention. CDテーブル群の一例を示すフローチャートである。It is a flowchart which shows an example of CD table group. ウエハプロセスの流れを示すフローチャートである。It is a flowchart which shows the flow of a wafer process. 解析処理の流れを示すフローである。It is a flow which shows the flow of an analysis process. 解析装置における解析処理その1を示すフローチャートである。It is a flowchart which shows the analysis process 1 in an analyzer. 露光装置における調整処理その1を示すフローチャートである。It is a flowchart which shows the adjustment process 1 in exposure apparatus. 解析装置における解析処理その2を示すフローチャートである。It is a flowchart which shows the analysis process 2 in an analyzer. 図8(A)〜図8(E)は、OPCマスクの設計例を示すフローチャートである。FIG. 8A to FIG. 8E are flowcharts showing design examples of OPC masks. 露光装置における調整処理その2を示すフローチャートである。It is a flowchart which shows the adjustment process 2 in exposure apparatus.

符号の説明Explanation of symbols

51…インデックステーブル、52…テーブル群、100…露光装置、110…コータ・デベロッパ、120…ウエハ検査器、130…レチクル検査器、160…管理コントローラ、200…トラック、500…解析装置、552…CDテーブル群、600…ホストシステム、900…デバイス製造処理装置群、910…CVD装置、920…エッチング装置、930…CMP装置、940…酸化・イオン注入装置、1000…デバイス製造処理システム。 DESCRIPTION OF SYMBOLS 51 ... Index table 52 ... Table group 100 ... Exposure apparatus 110 ... Coater / developer 120 ... Wafer inspection device 130 ... Reticle inspection device 160 ... Management controller 200 ... Track 500 ... Analysis device 552 ... CD Table group, 600 ... Host system, 900 ... Device manufacturing processing apparatus group, 910 ... CVD apparatus, 920 ... Etching apparatus, 930 ... CMP apparatus, 940 ... Oxidation / ion implantation apparatus, 1000 ... Device manufacturing processing system.

Claims (33)

パターンを検査するパターン検査装置と情報処理装置との間を情報伝達可能に接続し、前記パターンが転写された基板を検査する基板検査装置と前記情報処理装置との間を情報伝達可能に接続する接続工程と;
前記情報処理装置を用いて、前記パターン検査装置の検査内容に関する情報と、前記基板検査装置の検査内容に関する情報とを収集する収集工程と;
前記収集された情報を、前記情報処理装置を用いて管理する管理工程と;を含む情報管理方法。
A pattern inspection apparatus for inspecting a pattern and an information processing apparatus are connected so as to be able to transmit information, and a substrate inspection apparatus for inspecting a substrate to which the pattern is transferred and the information processing apparatus are connected so as to be able to transmit information. Connection process;
A collection step of collecting information relating to inspection contents of the pattern inspection apparatus and information relating to inspection contents of the substrate inspection apparatus using the information processing apparatus;
A management step of managing the collected information using the information processing apparatus.
前記パターン検査装置の検査内容に関する情報と、前記基板検査装置の検査内容に関する情報との相関を、情報処理装置を用いて判定する検査間相関判定工程をさらに含むことを特徴とする請求項1に記載の情報管理方法。   2. The inter-inspection correlation determination step of determining, using an information processing device, a correlation between information related to inspection contents of the pattern inspection apparatus and information related to inspection contents of the substrate inspection apparatus. Information management method described. 前記パターン検査装置の検査結果と前記基板検査装置の検査結果とのいずれかで異常が認められた場合、又は、任意のタイミングで、前記検査間相関判定工程を行うことを特徴とする請求項2に記載の情報管理方法。   3. The inter-inspection correlation determination step is performed when an abnormality is recognized in any of the inspection result of the pattern inspection apparatus and the inspection result of the substrate inspection apparatus, or at an arbitrary timing. Information management method described in 1. 前記検査間相関判定工程において相関が認められ、かつ、前記基板検査装置の検査結果で異常が認められた場合に、前記パターンの状態を改善する改善工程をさらに含むことを特徴とする請求項2又は3に記載の情報管理方法。   3. The method according to claim 2, further comprising an improvement step of improving the state of the pattern when a correlation is recognized in the inter-inspection correlation determination step and an abnormality is found in the inspection result of the substrate inspection apparatus. Or the information management method of 3. 前記改善工程では、
前記パターンのクリーニング及び前記パターンの交換のいずれかを行うことを特徴とする請求項4に記載の情報管理方法。
In the improvement process,
The information management method according to claim 4, wherein either cleaning of the pattern or replacement of the pattern is performed.
前記検査間相関判定工程において相関が認められず、かつ、一方の検査装置で異常が認められた場合に、他方の検査装置の検査条件を最適化する最適化工程をさらに含むことを特徴とする請求項2又は3に記載の情報管理方法。   The method further includes an optimization step of optimizing the inspection condition of the other inspection device when no correlation is recognized in the correlation determination step between inspections and abnormality is recognized in one inspection device. The information management method according to claim 2 or 3. 前記検査条件は、異常を判別するための閾値であることを特徴とする請求項6に記載の情報管理方法。   The information management method according to claim 6, wherein the inspection condition is a threshold value for determining abnormality. 前記パターン検査装置の検査結果で異常が認められ、かつ、前記基板検査装置の検査結果で異常が認められていなかった場合に、前記パターン検査装置の検査条件を最適化する最適化工程をさらに含むことを特徴とする請求項2又は3に記載の情報管理方法。   When an abnormality is recognized in the inspection result of the pattern inspection apparatus, and an abnormality is not recognized in the inspection result of the substrate inspection apparatus, the method further includes an optimization step of optimizing the inspection conditions of the pattern inspection apparatus. The information management method according to claim 2 or 3, characterized by the above. 前記基板を加工する少なくとも1つの加工装置と前記情報処理装置との間を情報伝達可能に接続する接続工程と;
前記加工装置による前記基板の加工内容に関する情報を収集する収集工程と;
前記検査間相関判定工程で相関が認められなかった場合に、前記加工装置の加工内容に関する情報と、前記基板検査装置の検査内容に関する情報との相関を判定する加工検査間相関判定工程と;をさらに含むことを特徴とする請求項2又は3に記載の情報管理方法。
A connection step of connecting at least one processing apparatus for processing the substrate and the information processing apparatus so as to be able to transmit information;
A collecting step of collecting information on the processing content of the substrate by the processing apparatus;
An inter-inspection correlation determination step for determining a correlation between information about the processing content of the processing apparatus and information about the inspection content of the substrate inspection apparatus when no correlation is found in the inter-inspection correlation determination step; The information management method according to claim 2, further comprising:
前記加工検査間相関判定工程で相関が認められた場合に、その相関が認められた加工装置の加工内容を最適化する最適化工程をさらに含むことを特徴とする請求項9に記載の情報管理方法。   10. The information management according to claim 9, further comprising an optimization step of optimizing the processing content of the processing apparatus in which the correlation is recognized when the correlation is recognized in the correlation determination step between processing inspections. Method. 前記検査間相関判定工程で相関が認められず、かつ、前記加工検査間相関判定工程で相関が認められなかった場合に、前記パターンを変更する変更工程をさらに含むことを特徴とする請求項9に記載の情報管理方法。   10. The method further comprises a changing step of changing the pattern when no correlation is found in the inter-inspection correlation determining step and no correlation is found in the inter-working inspection correlation determining step. Information management method described in 1. 前記変更工程では、
複数の異なるパターンの中から、1つのパターンを選択することを特徴とする請求項11に記載の情報管理方法。
In the changing step,
12. The information management method according to claim 11, wherein one pattern is selected from a plurality of different patterns.
前記変更工程では、
前記パターンの形状及び光学特性の少なくとも一方を変更することを特徴とする請求項11に記載の情報管理方法。
In the changing step,
The information management method according to claim 11, wherein at least one of the shape and optical characteristics of the pattern is changed.
前記収集された情報に基づいて、前記情報処理装置を用いて、一方の検査装置の検査内容に関する情報に基づいて、他方の検査装置の検査内容の検査条件を最適化する最適化工程をさらに含むことを特徴とする請求項1に記載の情報管理方法。   Based on the collected information, the information processing device is used to further include an optimization step of optimizing the inspection condition of the inspection content of the other inspection device based on the information related to the inspection content of the other inspection device. The information management method according to claim 1, wherein: 前記パターン検査装置の検査結果と前記基板検査装置の検査結果とのいずれかで異常が認められた場合、又は、任意のタイミングで、前記最適化工程を行うことを特徴とする請求項14に記載の情報管理方法。   15. The optimization process is performed when an abnormality is recognized in any of an inspection result of the pattern inspection apparatus and an inspection result of the substrate inspection apparatus, or at an arbitrary timing. Information management method. 前記最適化工程では、
一方の検査装置の検査結果で異常が認められた場合に、その異常に対応する部分に対して検査が重点的に行われるように、他方の検査装置の検査条件を最適化することを特徴とする請求項14又は15に記載の情報管理方法。
In the optimization process,
It is characterized by optimizing the inspection condition of the other inspection device so that when an abnormality is found in the inspection result of one inspection device, the inspection corresponding to the abnormality is focused on the inspection. The information management method according to claim 14 or 15.
前記収集工程では、
前記パターン検査装置及び前記基板検査装置との少なくとも一方で異常が検出された場合には、その異常に関する情報のみを収集することを特徴とする請求項1〜16のいずれか一項に記載の情報管理方法。
In the collecting step,
The information according to any one of claims 1 to 16, wherein when an abnormality is detected in at least one of the pattern inspection apparatus and the substrate inspection apparatus, only information related to the abnormality is collected. Management method.
前記基板に前記パターンを転写する転写装置を含む少なくとも1つの加工装置と、前記情報処理装置との間を情報伝達可能に接続する接続工程と;
前記加工装置の処理内容に関する情報を収集する収集工程と;
前記パターン検査装置の検査内容に関する情報と、前記加工装置の処理内容に関する情報と、前記基板検査装置の検査内容に関する情報との相関関係を考慮して、パターンの設計情報を作成する設計工程と;をさらに含むことを特徴とする請求項1に記載の情報管理方法。
Connecting at least one processing device including a transfer device for transferring the pattern to the substrate and the information processing device so as to be able to transmit information;
A collecting step of collecting information on the processing content of the processing apparatus;
A design process for creating pattern design information in consideration of the correlation between the information regarding the inspection content of the pattern inspection apparatus, the information regarding the processing content of the processing apparatus, and the information regarding the inspection content of the substrate inspection apparatus; The information management method according to claim 1, further comprising:
前記パターン検査装置の検査内容に関する情報と前記基板検査装置の検査内容に関する情報との相関関係に基づいて、前記パターンの状態を改善し、
前記加工装置の処理内容に関する情報と、前記基板検査装置の検査内容に関する情報との相関関係に基づいて、前記加工装置の処理条件を最適化した状態で、
前記基板検査装置の検査内容に関する情報に基づいて、前記パターンの設計情報を作成することを特徴とする請求項18に記載の情報管理方法。
Based on the correlation between the information about the inspection content of the pattern inspection apparatus and the information about the inspection content of the substrate inspection apparatus, improve the state of the pattern,
Based on the correlation between the information related to the processing content of the processing apparatus and the information related to the inspection content of the substrate inspection apparatus, the processing conditions of the processing apparatus are optimized,
The information management method according to claim 18, wherein the design information of the pattern is created based on information relating to inspection contents of the substrate inspection apparatus.
前記パターンの設計情報は、前記パターンの形状及び光学特性の少なくとも一方に関する情報を含むことを特徴とする請求項18又は19に記載の情報管理方法。   The information management method according to claim 18 or 19, wherein the design information of the pattern includes information on at least one of the shape and optical characteristics of the pattern. 基板上に転写されるパターンを検査するパターン検査装置と;
前記パターンが転写された基板を検査する基板検査装置と;
前記パターン検査装置及び前記基板検査装置と情報伝達可能に接続され、前記パターン検査装置の検査内容に関する情報と、前記基板検査装置の検査内容に関する情報とを収集し、前記収集された情報を管理する前記情報処理装置とを備える情報管理システム。
A pattern inspection apparatus for inspecting a pattern transferred onto a substrate;
A substrate inspection apparatus for inspecting the substrate to which the pattern is transferred;
It is connected to the pattern inspection apparatus and the substrate inspection apparatus so as to be able to transmit information, and collects information on the inspection contents of the pattern inspection apparatus and information on the inspection contents of the substrate inspection apparatus, and manages the collected information An information management system comprising the information processing apparatus.
前記情報処理装置は、
さらに、前記パターン検査装置の検査内容に関する情報と、前記基板検査装置の検査内容に関する情報との相関を判定することを特徴とする請求項21に記載の情報管理システム。
The information processing apparatus includes:
The information management system according to claim 21, further comprising determining a correlation between information relating to inspection contents of the pattern inspection apparatus and information relating to inspection contents of the substrate inspection apparatus.
前記情報処理装置と情報伝達可能に接続され、前記基板を加工する少なくとも1つの加工装置をさらに備え、
前記情報処理装置は、前記加工装置による前記基板の加工内容に関する情報を収集し、
さらに、前記検査間相関判定工程で相関が認められなかった場合に、前記加工装置の加工内容に関する情報と前記基板検査装置の検査内容に関する情報との相関を判定することを特徴とする請求項22に記載の情報管理システム。
It further includes at least one processing device that is connected to the information processing device so as to be able to transmit information and processes the substrate,
The information processing device collects information on the processing content of the substrate by the processing device,
The correlation between the information related to the processing contents of the processing apparatus and the information related to the inspection contents of the substrate inspection apparatus is determined when no correlation is found in the inter-inspection correlation determination step. Information management system described in 1.
前記情報処理装置は、一方の検査装置の検査内容に関する情報とに基づいて、他方の検査装置の検査内容に検査条件を最適化することを特徴とする請求項21に記載の情報管理システム。   The information management system according to claim 21, wherein the information processing apparatus optimizes the inspection condition to the inspection content of the other inspection device based on the information related to the inspection content of the one inspection device. 前記情報処理装置と情報伝達可能に接続され、前記基板に前記パターンを転写する転写装置を含む少なくとも1つの加工装置をさらに備え、
前記情報処理装置は、前記加工装置の処理内容に関する情報を収集し、前記パターン検査装置の検査内容に関する情報と、前記加工装置の処理内容に関する情報と、前記基板検査装置の検査内容に関する情報との相関関係を考慮して、パターンの設計情報を作成することを特徴とする請求項21に記載の情報管理システム。
The apparatus further includes at least one processing device including a transfer device connected to the information processing device so as to be able to transmit information and transferring the pattern to the substrate,
The information processing apparatus collects information related to processing contents of the processing apparatus, and includes information related to inspection contents of the pattern inspection apparatus, information related to processing contents of the processing apparatus, and information related to inspection contents of the substrate inspection apparatus. The information management system according to claim 21, wherein the pattern design information is created in consideration of the correlation.
パターンを検査するパターン検査装置から検査内容に関する情報を収集する手順と、
前記パターンが転写された基板を検査する基板検査装置から検査内容に関する情報を収集する手順と、
前記パターン検査装置から収集された情報と前記基板検査装置から収集された情報とを管理する手順とを、コンピュータに実行させるプログラム。
A procedure for collecting information about inspection contents from a pattern inspection apparatus for inspecting a pattern;
A procedure for collecting information on inspection contents from a substrate inspection apparatus for inspecting the substrate on which the pattern is transferred;
A program for causing a computer to execute a procedure for managing information collected from the pattern inspection apparatus and information collected from the substrate inspection apparatus.
前記パターン検査装置から収集された情報と、前記基板検査装置から収集された情報との相関を判定する手順を、さらにコンピュータに実行させることを特徴とする請求項26に記載のプログラム。   27. The program according to claim 26, further causing a computer to execute a procedure for determining a correlation between information collected from the pattern inspection apparatus and information collected from the substrate inspection apparatus. 前記基板を加工する少なくとも1つの加工装置から加工内容に関する情報を収集する手順と、
前記パターン検査装置から収集された情報と前記基板検査装置から収集された情報との相関が認められなかった場合に、前記加工装置の加工内容に関する情報と前記基板検査装置の検査内容に関する情報との相関を判定する手順をさらにコンピュータに実行させることを特徴とする請求項27に記載のプログラム。
A procedure for collecting information on processing content from at least one processing apparatus for processing the substrate;
When the correlation between the information collected from the pattern inspection apparatus and the information collected from the substrate inspection apparatus is not recognized, the information about the processing contents of the processing apparatus and the information about the inspection contents of the substrate inspection apparatus 28. The program according to claim 27, further causing a computer to execute a procedure for determining correlation.
収集された一方の検査装置の検査内容に関する情報に基づいて、他方の検査装置の検査内容の検査条件を最適化する手順をさらにコンピュータに実行させることを特徴とする請求項26に記載のプログラム。   27. The program according to claim 26, further causing the computer to execute a procedure for optimizing the inspection condition of the inspection content of the other inspection device based on the collected information relating to the inspection content of the one inspection device. 前記基板に前記パターンを転写する転写装置を含む少なくとも1つの加工装置から当該加工装置の加工内容に関する情報を収集する手順と;
前記パターン検査装置の検査内容に関する情報と、前記加工装置の加工内容に関する情報と、前記基板検査装置の検査内容に関する情報との相関を求める手順と;
求められた前記相関に基づいて、パターンの設計情報を作成する手順と;をさらにコンピュータに実行させることを特徴とする請求項26に記載のプログラム。
A procedure for collecting information on the processing content of the processing device from at least one processing device including a transfer device for transferring the pattern to the substrate;
A procedure for obtaining a correlation between information relating to inspection contents of the pattern inspection apparatus, information relating to processing contents of the processing apparatus, and information relating to inspection contents of the substrate inspection apparatus;
27. The program according to claim 26, further causing a computer to execute a procedure for creating pattern design information based on the obtained correlation.
請求項26〜30のいずれか一項に記載のプログラムをコンピュータシステムで読み取り可能に記録する記録媒体。   A recording medium for recording the program according to any one of claims 26 to 30 so as to be readable by a computer system. 基板上に転写されるパターンを検査するパターン検査装置であって、
前記パターンが転写された基板を検査する基板検査装置での検査内容に関する情報を受信する受信装置を備え、
前記パターンの検査に関する情報と前記基板検査装置での検査内容に関する情報との相関に基づいて、前記基板の検査方法を最適化するパターン検査装置。
A pattern inspection apparatus for inspecting a pattern transferred onto a substrate,
A receiving device for receiving information related to inspection contents in a substrate inspection device for inspecting the substrate on which the pattern is transferred;
The pattern inspection apparatus which optimizes the said board | substrate inspection method based on the correlation with the information regarding the said test | inspection of the pattern, and the information regarding the test | inspection content in the said board | substrate inspection apparatus.
パターンが転写された基板を検査する基板検査装置であって、
前記パターンを検査するパターン検査装置での検査内容に関する情報を受信する受信装置を備え、
前記基板の検査に関する情報と前記パターン検査装置での検査内容に関する情報との相関に基づいて、前記基板の検査方法を最適化する基板検査装置。
A substrate inspection apparatus for inspecting a substrate onto which a pattern has been transferred,
A receiving device for receiving information on the inspection content in the pattern inspection device for inspecting the pattern;
A substrate inspection apparatus that optimizes a method for inspecting the substrate based on a correlation between information about inspection of the substrate and information about inspection contents of the pattern inspection apparatus.
JP2006046575A 2006-02-23 2006-02-23 Information management method, information management system, program, recording medium, pattern inspection apparatus, and substrate inspection apparatus Active JP5152612B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2006046575A JP5152612B2 (en) 2006-02-23 2006-02-23 Information management method, information management system, program, recording medium, pattern inspection apparatus, and substrate inspection apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2006046575A JP5152612B2 (en) 2006-02-23 2006-02-23 Information management method, information management system, program, recording medium, pattern inspection apparatus, and substrate inspection apparatus

Publications (2)

Publication Number Publication Date
JP2007227614A true JP2007227614A (en) 2007-09-06
JP5152612B2 JP5152612B2 (en) 2013-02-27

Family

ID=38549131

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006046575A Active JP5152612B2 (en) 2006-02-23 2006-02-23 Information management method, information management system, program, recording medium, pattern inspection apparatus, and substrate inspection apparatus

Country Status (1)

Country Link
JP (1) JP5152612B2 (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011176119A (en) * 2010-02-24 2011-09-08 Canon Inc Determining method for determining at least one of exposure condition and mask pattern, and program therefor
JP2012093235A (en) * 2010-10-27 2012-05-17 Nikon Corp Three-dimensional shape measurement device, three-dimensional shape measurement method, structure manufacturing method, and structure manufacturing system
JP2015026671A (en) * 2013-07-25 2015-02-05 大日本印刷株式会社 Defect analysis method, method of manufacturing irregular pattern structure and imprint system
CN109148330A (en) * 2017-06-28 2019-01-04 东京毅力科创株式会社 Annealing device, the management method of annealing device and storage medium
US10276459B2 (en) 2017-03-16 2019-04-30 Toshiba Memory Corporation Measurement method, measurement program, and measurement system
CN109148330B (en) * 2017-06-28 2024-05-03 东京毅力科创株式会社 Heat treatment apparatus, management method for heat treatment apparatus, and storage medium

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01239922A (en) * 1988-03-22 1989-09-25 Seiko Epson Corp Pattern defect inspecting device
WO1997035337A1 (en) * 1996-03-19 1997-09-25 Hitachi, Ltd. Process control system
JPH11102856A (en) * 1997-09-29 1999-04-13 Hitachi Ltd Manufacture of semiconductor integrated circuit device and manufacture of photomask
JP2003315284A (en) * 2002-04-24 2003-11-06 Mitsubishi Electric Corp Method of adjusting sensitivity of pattern inspection device
WO2005008747A2 (en) * 2003-07-03 2005-01-27 Kla-Tencor Technologies Methods and systems for inspection of wafers and reticles using designer intent data
JP2005285898A (en) * 2004-03-29 2005-10-13 Toppan Printing Co Ltd Pattern image determination method and pattern image determination apparatus using the same
JP2006330270A (en) * 2005-05-25 2006-12-07 Toshiba Corp Method for preparing mask data, method for manufacturing mask and method for manufacturing semiconductor device

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01239922A (en) * 1988-03-22 1989-09-25 Seiko Epson Corp Pattern defect inspecting device
WO1997035337A1 (en) * 1996-03-19 1997-09-25 Hitachi, Ltd. Process control system
JPH11102856A (en) * 1997-09-29 1999-04-13 Hitachi Ltd Manufacture of semiconductor integrated circuit device and manufacture of photomask
JP2003315284A (en) * 2002-04-24 2003-11-06 Mitsubishi Electric Corp Method of adjusting sensitivity of pattern inspection device
WO2005008747A2 (en) * 2003-07-03 2005-01-27 Kla-Tencor Technologies Methods and systems for inspection of wafers and reticles using designer intent data
JP2007536560A (en) * 2003-07-03 2007-12-13 ケーエルエー−テンカー テクノロジィース コーポレイション Wafer and reticle inspection methods and systems using designer intent data
JP2005285898A (en) * 2004-03-29 2005-10-13 Toppan Printing Co Ltd Pattern image determination method and pattern image determination apparatus using the same
JP2006330270A (en) * 2005-05-25 2006-12-07 Toshiba Corp Method for preparing mask data, method for manufacturing mask and method for manufacturing semiconductor device

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011176119A (en) * 2010-02-24 2011-09-08 Canon Inc Determining method for determining at least one of exposure condition and mask pattern, and program therefor
JP2012093235A (en) * 2010-10-27 2012-05-17 Nikon Corp Three-dimensional shape measurement device, three-dimensional shape measurement method, structure manufacturing method, and structure manufacturing system
JP2015026671A (en) * 2013-07-25 2015-02-05 大日本印刷株式会社 Defect analysis method, method of manufacturing irregular pattern structure and imprint system
US10276459B2 (en) 2017-03-16 2019-04-30 Toshiba Memory Corporation Measurement method, measurement program, and measurement system
CN109148330A (en) * 2017-06-28 2019-01-04 东京毅力科创株式会社 Annealing device, the management method of annealing device and storage medium
CN109148330B (en) * 2017-06-28 2024-05-03 东京毅力科创株式会社 Heat treatment apparatus, management method for heat treatment apparatus, and storage medium

Also Published As

Publication number Publication date
JP5152612B2 (en) 2013-02-27

Similar Documents

Publication Publication Date Title
JP5077770B2 (en) Device manufacturing method, device manufacturing system, and measurement / inspection apparatus
US7688436B2 (en) Measuring and/or inspecting method, measuring and/or inspecting apparatus, exposure method, device manufacturing method, and device manufacturing apparatus
US8566756B2 (en) Processing condition determining method and apparatus, display method and apparatus, processing apparatus, measurement apparatus and exposure apparatus, substrate processing system, and program and information recording medium
KR101555709B1 (en) Analyzing apparatus processing apparatus measuring instrument exposure apparatus substrate processing system analysis method and program
JP4861363B2 (en) Angle-resolved scatterometer and inspection method
WO2005083756A1 (en) Pre-measurement processing method, exposure system and substrate processing equipment
JP4947483B2 (en) Device manufacturing processing method, device manufacturing processing system, program, and storage medium
JP5152612B2 (en) Information management method, information management system, program, recording medium, pattern inspection apparatus, and substrate inspection apparatus
JP4873230B2 (en) Exposure method, exposure apparatus, measurement method, and measurement apparatus
JP4947269B2 (en) Measurement / inspection method, measurement / inspection apparatus, exposure apparatus, and device manufacturing processing apparatus
JP5252249B2 (en) Device manufacturing processing method
KR102323045B1 (en) Method for determining properties of structures, inspection apparatus and device manufacturing method
JP4793686B2 (en) Exposure method, device manufacturing processing method, device manufacturing processing system, and measurement / inspection apparatus
TWI820885B (en) Computer program comprising processor readable instructions
EP4191337A1 (en) A method of monitoring a lithographic process and associated apparatuses
US11886125B2 (en) Method for inferring a local uniformity metric
EP4040233A1 (en) A method of determining a measurement recipe and associated metrology methods and appratuses
EP3879342A1 (en) Method for inferring a local uniformity metric and associated appratuses
JP2013254849A (en) Pattern formation optimization method and system, exposure method and device, detector, and device manufacturing method
KR20240056509A (en) Method and related apparatus for monitoring lithography process
WO2023099174A1 (en) Metrology calibration method
CN116635991A (en) Method for monitoring a lithographic process and associated apparatus
NL2025112A (en) Method for inferring a local uniformity metric and associated appratuses

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090108

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110526

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110601

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110727

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20120601

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120822

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20120829

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20121109

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20121122

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20151214

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 5152612

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20151214

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250