JP2007215190A - Tcmisdn技術が適用された次世代ネットワークシステム及びその制御方法 - Google Patents

Tcmisdn技術が適用された次世代ネットワークシステム及びその制御方法 Download PDF

Info

Publication number
JP2007215190A
JP2007215190A JP2007029170A JP2007029170A JP2007215190A JP 2007215190 A JP2007215190 A JP 2007215190A JP 2007029170 A JP2007029170 A JP 2007029170A JP 2007029170 A JP2007029170 A JP 2007029170A JP 2007215190 A JP2007215190 A JP 2007215190A
Authority
JP
Japan
Prior art keywords
data
isdn
tcm
tcm isdn
clock
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
JP2007029170A
Other languages
English (en)
Inventor
Yong Ki Kim
容 基 金
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of JP2007215190A publication Critical patent/JP2007215190A/ja
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04JMULTIPLEX COMMUNICATION
    • H04J3/00Time-division multiplex systems
    • H04J3/02Details
    • H04J3/06Synchronising arrangements
    • H04J3/0635Clock or time synchronisation in a network
    • H04J3/0685Clock or time synchronisation in a node; Intranode synchronisation
    • AHUMAN NECESSITIES
    • A61MEDICAL OR VETERINARY SCIENCE; HYGIENE
    • A61BDIAGNOSIS; SURGERY; IDENTIFICATION
    • A61B5/00Measuring for diagnostic purposes; Identification of persons
    • A61B5/24Detecting, measuring or recording bioelectric or biomagnetic signals of the body or parts thereof
    • A61B5/316Modalities, i.e. specific diagnostic methods
    • A61B5/318Heart-related electrical modalities, e.g. electrocardiography [ECG]
    • AHUMAN NECESSITIES
    • A61MEDICAL OR VETERINARY SCIENCE; HYGIENE
    • A61BDIAGNOSIS; SURGERY; IDENTIFICATION
    • A61B5/00Measuring for diagnostic purposes; Identification of persons
    • A61B5/02Detecting, measuring or recording pulse, heart rate, blood pressure or blood flow; Combined pulse/heart-rate/blood pressure determination; Evaluating a cardiovascular condition not otherwise provided for, e.g. using combinations of techniques provided for in this group with electrocardiography or electroauscultation; Heart catheters for measuring blood pressure
    • A61B5/021Measuring pressure in heart or blood vessels
    • AHUMAN NECESSITIES
    • A61MEDICAL OR VETERINARY SCIENCE; HYGIENE
    • A61BDIAGNOSIS; SURGERY; IDENTIFICATION
    • A61B5/00Measuring for diagnostic purposes; Identification of persons
    • A61B5/05Detecting, measuring or recording for diagnosis by means of electric currents or magnetic fields; Measuring using microwaves or radio waves 
    • A61B5/053Measuring electrical impedance or conductance of a portion of the body
    • A61B5/0531Measuring skin impedance
    • AHUMAN NECESSITIES
    • A61MEDICAL OR VETERINARY SCIENCE; HYGIENE
    • A61BDIAGNOSIS; SURGERY; IDENTIFICATION
    • A61B5/00Measuring for diagnostic purposes; Identification of persons
    • A61B5/16Devices for psychotechnics; Testing reaction times ; Devices for evaluating the psychological state
    • A61B5/165Evaluating the state of mind, e.g. depression, anxiety
    • AHUMAN NECESSITIES
    • A61MEDICAL OR VETERINARY SCIENCE; HYGIENE
    • A61BDIAGNOSIS; SURGERY; IDENTIFICATION
    • A61B5/00Measuring for diagnostic purposes; Identification of persons
    • A61B5/24Detecting, measuring or recording bioelectric or biomagnetic signals of the body or parts thereof
    • A61B5/316Modalities, i.e. specific diagnostic methods
    • A61B5/369Electroencephalography [EEG]
    • A61B5/375Electroencephalography [EEG] using biofeedback
    • AHUMAN NECESSITIES
    • A61MEDICAL OR VETERINARY SCIENCE; HYGIENE
    • A61BDIAGNOSIS; SURGERY; IDENTIFICATION
    • A61B5/00Measuring for diagnostic purposes; Identification of persons
    • A61B5/74Details of notification to user or communication with user or patient ; user input means
    • A61B5/742Details of notification to user or communication with user or patient ; user input means using visual displays
    • A61B5/7445Display arrangements, e.g. multiple display units
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04QSELECTING
    • H04Q11/00Selecting arrangements for multiplex systems
    • H04Q11/04Selecting arrangements for multiplex systems for time-division multiplexing
    • H04Q11/0421Circuit arrangements therefor
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04JMULTIPLEX COMMUNICATION
    • H04J3/00Time-division multiplex systems
    • H04J3/02Details
    • H04J3/06Synchronising arrangements
    • H04J3/0635Clock or time synchronisation in a network
    • H04J3/0638Clock or time synchronisation among nodes; Internode synchronisation
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04QSELECTING
    • H04Q2213/00Indexing scheme relating to selecting arrangements in general and for multiplex systems
    • H04Q2213/13039Asymmetrical two-way transmission, e.g. ADSL, HDSL
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04QSELECTING
    • H04Q2213/00Indexing scheme relating to selecting arrangements in general and for multiplex systems
    • H04Q2213/13106Microprocessor, CPU
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04QSELECTING
    • H04Q2213/00Indexing scheme relating to selecting arrangements in general and for multiplex systems
    • H04Q2213/13209ISDN
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04QSELECTING
    • H04Q2213/00Indexing scheme relating to selecting arrangements in general and for multiplex systems
    • H04Q2213/13214Clock signals
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04QSELECTING
    • H04Q2213/00Indexing scheme relating to selecting arrangements in general and for multiplex systems
    • H04Q2213/13292Time division multiplexing, TDM
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04QSELECTING
    • H04Q2213/00Indexing scheme relating to selecting arrangements in general and for multiplex systems
    • H04Q2213/13298Local loop systems, access network
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04QSELECTING
    • H04Q2213/00Indexing scheme relating to selecting arrangements in general and for multiplex systems
    • H04Q2213/1332Logic circuits
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04QSELECTING
    • H04Q2213/00Indexing scheme relating to selecting arrangements in general and for multiplex systems
    • H04Q2213/13322Integrated circuits
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04QSELECTING
    • H04Q2213/00Indexing scheme relating to selecting arrangements in general and for multiplex systems
    • H04Q2213/1336Synchronisation

Landscapes

  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Public Health (AREA)
  • Pathology (AREA)
  • Veterinary Medicine (AREA)
  • Biophysics (AREA)
  • Animal Behavior & Ethology (AREA)
  • Physics & Mathematics (AREA)
  • Biomedical Technology (AREA)
  • Heart & Thoracic Surgery (AREA)
  • Medical Informatics (AREA)
  • Molecular Biology (AREA)
  • Surgery (AREA)
  • General Health & Medical Sciences (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Cardiology (AREA)
  • Psychiatry (AREA)
  • Psychology (AREA)
  • Signal Processing (AREA)
  • Dermatology (AREA)
  • Developmental Disabilities (AREA)
  • Physiology (AREA)
  • Child & Adolescent Psychology (AREA)
  • Social Psychology (AREA)
  • Hospice & Palliative Care (AREA)
  • Nuclear Medicine, Radiotherapy & Molecular Imaging (AREA)
  • Radiology & Medical Imaging (AREA)
  • Vascular Medicine (AREA)
  • Telephonic Communication Services (AREA)
  • Educational Technology (AREA)
  • Data Exchanges In Wide-Area Networks (AREA)

Abstract

【課題】TCM ISDNサービスのISDN加入者線路とxDSLサービスのxDSL専用線路とを統合することで発生するNEXT(Near End x-Talk)やFEXT(Far End x-Talk)ノイズを制御できる、TCM ISDN技術が適用された次世代ネットワークシステム等を提供する。
【解決手段】次世代ネットワークシステムは、ATM(Asynchronous Transfer Mode)網からの広帯域クロックを用いて、TCM ISDN及びxDSLで使われるTTR基準クロックを生成し出力する同期クロック生成部(100)と、PSTN(1)からのTCM ISDNデータを一時的に格納した後、TCM ISDNデータのフレームを整列し、TCM ISDNデータを同期クロック生成部からのTTR基準クロックに同期化してTCM ISDN端末機(4)に送信し、且つ、TCM ISDN端末機からのTCM ISDNデータを、前記TTR基準クロックに同期化して一時的に格納した後、PSTNに転送するTCM ISDN加入者処理部(100)と、を備える。
【選択図】 図1

Description

本発明は、次世代ネットワーク(Next Generation Network:以下、“NGN”という。)においてTCM(Time Compression Multiplex:以下、“TCM”という。)線路転送方式を使用するTCM ISDN(Integrated Service Digital Network:以下、“TCM ISDN”という。)デジタル加入者の音声及びデータサービス転送の技術に関する。
一般に、次世代ネットワーク通信システムとは、公衆交換電話網(Public Switched Telephone Network;以下、“PSTN”という。)を使用するISDNサービスと、ATM網を使用するADSLサービスと、を統合したシステムをいう。
ここで、ISDNサービスでは、PSTNと加入者端末機とが、交換局内のTCM ISDN加入者整合装置とE1トランク(V5.2)線路を介して接続される。TCMISDN加入者整合装置は、PSTNからTCM ISDNデータ(音声とデータ)を受信すると、該受信されたTCM ISDNデータをTCM ISDN端末機(例えば、電話機、PC(personal computer)、PDA(personal digital assistant)など)に伝達し、一方、TCM ISDN端末機からTCM ISDNデータ(音声とデータ)を受信すると、該受信されたTCM ISDNデータをPSTNに転送する。この際、PSTNサービスを用いて送受信されるTCM ISDNデータは、PSTNから提供される狭帯域クロック(8KHzまたは2.048MHz)を用いて送受信される。すなわち、TCMISDN加入者整合装置は、PSTNから提供される狭帯域クロックをそのまま使用すればよい。
そして、ADSLサービスでは、ATM網とxDSL端末機(例えば、PCやノート型コンピュータ)とが、アパート団地内の少なくとも一つ以上のxDSL(VDSL、ADSL、SHDSL)加入者サービスユニットを具備したMDSLAM(multi-Digital Subscriber Line Access Multiplexer)またはDSLAM(Digital Subscriber Line Access Multiplexer)と、xDSL専用線路とを介して接続される。MDSLAMは、ATM網からxDSLデータを受信すると、該受信されたxDSLデータをxDSL端末機に転送し、一方、xDSL端末機からxDSLデータを受信すると、該受信されたxDSLデータをATM網に転送する。この際、ADSLサービスを用いて送受信されるxDSLデータは、ATM網から提供される広帯域クロック(64KHz)を用いて送受信される。
前述したように、次世代ネットワークシステムは、ADSLサービスとISDNサービスとを統合したものであることから、ISDNのE1トランク(V5.2)線路と、ADSLのxDSL専用線路とを一つの線路に統合(Bundle)して使用する。
すなわち、ISDNのE1トランク(V5.2)線路とADSLのxDSL専用線路とを一つの統合(Bundle)線路として使用している従来の次世代ネットワークシステムでは、ADSLサービス及びISDNサービスを用いて送受信されるデータの同期が合わない場合に、デジタル加入者のNEXT(Near End x-Talk)やFEXT(Far End x-Talk)などのような相互干渉ノイズが発生する。
前述のような問題点を解決するために、TCM ISDN技術が使われている。ここで、TCM ISDN技術は、最近広く普及されたADSL(Asynchronous Digital Subscriber Line)線路技術のうち、ITU-TG.992.1 Annex-Cの“Specific requirements for an ADSL system operating in the same cable as TCM ISDN as defined in ITU-T Recommendation G.961 Appendix III”において標準化された技術である。
TCM ISDN技術は、xDSL専用線路とISDN加入者線路とを一つの統合ケーブル(Bundle cable)として使用するものであり、このため、データを特定のクロック(例えば、400Hz)に同期化させて送受信する。このようなTCM ISDN技術を従来の次世代ネットワークシステムに適用するためには、データの同期を合せるための同期システムが必要になる。
したがって、従来のTCM ISDN技術を適用した次世代ネットワークシステムは、交換局にDCS(digital clock supply)クロック提供装置を設け、PSTNから提供される狭帯域TTR基準クロックを受信した後に、該受信した狭帯域TTR基準クロック(400Hz)をTCM ISDN加入者整合装置及びMDSLAMに提供する。このDCSクロック提供装置は、64KHzの周期性周波数を備えたAMI信号を有し、かかるAMI信号をTCM ISDN加入者整合装置及びMDSLAMに伝送する。
しかしながら、従来、TCM ISDN技術を適用した次世代ネットワークシステムでは、TTR基準クロックを用いて同期化しなければならない交換局内のTCM ISDN加入者整合装置と、これと離隔されたMDSLAMとが、互いに遠距離に設置される。このため、距離または接続方法によって信号の伝達遅延が発生し、これにより、TCM ISDNのTTR(Transmit Timing Reference)信号の送信基準を示す400Hz+オフセット値の開始周波数位置に問題が発生する。すなわち、かかるオフセットの不正確性に起因して、ADSLとTCM ISDNとの統合ケーブルでは、FEXTやNEXTノイズによる送受信データのエラーを誘発させる。
また、DCSクロック提供装置の同期化ヒエラルキーによって、NTR(Network Timing Reference)の位相が交換網の位相と異なって動作することができる。
これらの400Hzの開始点は、交換網と連動された20個のNTRのうち特定の8KHzに同期化して開始されなければならないが、DCSクロック提供装置のPLLヒエラルキーによって、NTRの変更が発生する。
したがって、従来の技術だけでは、TCM ISDNとADSL加入者を同一のシステム内に収容することが不可能である。
これは、PSTNの狭帯域ネットワーク(Narrow band network)収容設備内のTCMISDN加入者装置と、ATM網の広帯域ネットワーク(Broad band network)収容装置であるMDSLAMとの間に、ISDN加入者線路とxDSL線路とを統合ケーブルとして構成した場合には、線路ノイズが発生し、サービスを提供することが出来なくなるからである。
一方、TCM ISDN加入者整合装置と、少なくとも一つ以上のxDSL(VDSL、ADSL、SHDSL)加入者サービスユニットを具備したMDSLAMとは、互いに遠距離に設置される。したがって、TCM ISDN加入者整合装置及びMDSLAMの各々にDCSクロック提供装置が設けられ、衛星システムから同じ同期クロックが各々受信されることによって、前述の問題点を解決することができる。
しかしながら、前述のように衛星システムが使用される場合には、次世代ネットワークシステムが衛星システムを追加的に必ず備えていなければならない、という問題点がある。
また、ATM網を用いて、TCM ISDNサービス用の線路と同一線路に統合/構成して、xDSL加入者にxDSL及びTCM ISDNのサービスを同時に提供するために、遠隔のATM網内に信号生成器を収容して、これにより400Hzの信号や、交換局内の交換装置とATM網のDSLAM装置内のxDSL加入者との間で発生するNEXTやFEXTノイズの除去のためのTTR(Transmit Timing Reference Clock)信号を生成し、該生成された信号らを、PSTNに同期化された信号として使用していた。すなわち、狭帯域ネットワーク(Narrow band network)網を使用したサービスとしては、TCM ISDN音声及びデータサービスのみが可能であった。
最近のNGN(次世代ネットワーク)の設備において、ATM網を用いたxDSL加入者のためのサービスとPSTNを用いたTCM ISDN加入者のためのサービスとを同時に提供するNGNの到来に伴って、上述した装置らは、xDSL加入者とTCM ISDN加入者とを同一システム内に収容してサービス提供する方式とは異なった形態で収容されている。このため、xDSLとAGW(Access GateWay)のTCM ISDNとを同時に収容する場合には、そのサービスが不可能であった。
韓国特許出願第2001−7004128号明細書
従って、本発明は、前述のような従来の問題点を解決するためになされたもので、その目的は、TCM ISDNサービスのISDN加入者線路とxDSLサービスのxDSL専用線路とを統合することによって発生するNEXT(Near End x-Talk)及びFEXT(Far End x-Talk)ノイズを制御できる、TCM ISDN技術が適用された次世代ネットワークシステム及びその制御方法を提供することにある。
上記目的を達成するために、本発明の第1の側面によるTCM ISDN技術が適用された次世代ネットワークシステムは、ATM(Asynchronous Transfer Mode)網から提供される広帯域クロックを用いて、TCM ISDN及びxDSLで使われるTTR(Transmit Timing Reference)基準クロックを生成し、各々出力する同期クロック生成部と、PSTN(Public Switched Telephone Network)から受信されたTCM ISDNデータを一時的に格納し、TCM ISDNデータのフレームを整列し、TCM ISDNデータを前記同期クロック生成部から提供されたTTR基準クロックに同期化して、該同期化されたTCM ISDNデータを加入者のTCM ISDN端末機に送信し、且つ、前記TCM ISDN端末機から受信されたTCM ISDNデータを前記同期クロック生成部を介して入力されたTTR基準クロックに同期化して、該同期化されたTCM ISDNデータを一時的に格納した後に、該格納されたTCM ISDNデータを前記PSTNに転送するTCM ISDN加入者処理部と、を備える。
同期クロック生成部は、ATM網から提供された広帯域クロックを用いてxDSLデータ同期クロック及びTTR基準クロックを生成して出力するクロック処理部と、かかるクロック処理部から供給されるxDSLデータ同期クロック及びTTR基準クロックと、発振器から供給される分周クロックと、を用いてTCM ISDNデータクロックを生成し、該生成されたクロックをTCM ISDN加入者処理部に供給する位相固定ループと、を備えることができる。
この際に、ATM網から受信される広帯域クロックは、64KHzのDCS(digital clock supply)クロックであり、位相固定ループから提供されるTCM ISDNデータクロックは、2048KHzとすることができる。
また、TCM ISDN加入者処理部は、PSTNを介して入力されたTCM ISDNデータを一時的に格納するための送信格納部と、TCM ISDN端末機から転送されるTCM ISDNデータを一時的に格納するための受信格納部と、PSTNからTCM ISDNデータを受信すると、該受信されたTCM ISDNデータを前記送信格納部に一時的に格納した後に、該格納されたTCM ISDNデータを同期クロック生成部から提供されたTTR基準クロックに同期化し、該同期化されたTCM ISDNデータを加入者のTCM ISDN端末機に転送し、且つ、TCM ISDN端末機からTCM ISDNデータを受信すると、該受信されたTCM ISDNデータを同期クロック生成部から提供されたTTRKIジューンクロックに同期化して、該同期化されたTCM ISDNデータを一時的に格納した後に、該格納されたTCM ISDNデータをPSTNに転送するフレーム整列部と、を備えることができる。
ここで、送信格納部は、128バイトの容量を有し、32バイト単位で3個の格納領域が設定された構成とすることができる。言い換えると、送信格納部の容量は、128Bytesのアドレスを使用する。そして、PSTNのISDNデータ(E1データ)は、8KHzの周期(125μsec)で2048Kbpsの転送速度を有するので、32byteの容量を有することによって、32バイト単位で格納される。
また、送信格納部は、入力されたデータを格納するためのISDN送信データ入力領域と、格納されたデータを出力するためのISDN送信データ出力領域とを備えることができ、さらには、ISDN送信データ入力領域に存在するデータをISDN送信データ出力領域に移動させるためのISDN送信データ移動領域を備えることができる。
また、受信格納部は、128バイトの容量を有し、32バイト単位で3個の格納領域が設定された構成とすることができる。受信格納部は、入力されたデータを格納するためのISDN受信データ入力領域と、格納されたデータを出力するためのISDN受信データ出力領域とを備えることができ、さらには、ISDN受信データ入力領域に存在するデータをISDN受信データ出力領域に移動させるためのISDN受信データ移動領域を備えることができる。
また、本発明の第2の側面によるTCM ISDN技術が適用された次世代ネットワークシステムの制御方法は、ATM網から提供された広帯域クロックを用いて、TTR基準クロック及びxDSLデータ同期クロックを生成し出力する段階と、PSTNからTCM ISDNデータを受信すると、該受信されたTCM ISDNデータを一時的に格納した後に、該格納されたTCM ISDNデータをTTR基準クロック及びxDSLデータ同期クロックに同期化して、該同期化されたTCM ISDNデータを加入者のTCM ISDN端末機に転送する段階と、を備える。
ここで、ATM網から提供された広帯域クロックを用いてTTR基準クロック及びxDSLデータ同期クロックを生成し出力する段階は、ATM網から広帯域クロックを受信する段階と、該受信された広帯域クロックを用いてxDSLデータ同期クロック及びTTR基準クロックを生成する段階と、該生成されたxDSLデータ同期クロック及びTTR基準クロックと、発振器から提供された分周クロックと、を用いてTCM ISDNデータクロックを生成して提供する段階と、を備えることができる。ここで、TCM ISDNデータクロックは、2048KHzのクロックである。
PSTNからTCMISDNデータを受信すると、該受信されたTCM ISDNデータを一時的に格納した後に、該格納されたTCM ISDNデータをTTR基準クロック及びxDSLデータ同期クロックに同期化して、該同期化されたTCM ISDNデータを加入者のTCM ISDN端末機に転送する段階は、PSTNから受信されたTCM ISDNデータをISDN送信データ入力領域に格納する段階と、ISDN送信データ出力領域に格納されたTCM ISDNデータをTTR基準クロックに同期化して、該同期化されたTCM ISDNデータをTCM ISDN端末機に転送する段階と、を備えた構成とすることができる。
PSTNからTCM ISDNデータを受信すると、該受信されたTCM ISDNデータを一時的に格納した後、該格納されたTCM ISDNデータをTTR基準クロック及びxDSLデータ同期クロックに同期化して、該同期化されたTCM ISDNデータを加入者のTCM ISDN端末機に転送する段階は、TCM ISDNデータをTTR基準クロックに同期化して転送した後に、各領域に格納されたTCM ISDNデータをシフトさせて、次の領域に移動させる構成とすることができる。
また、TCM ISDN端末機からTCM ISDNデータを受信した場合に、該受信されたTCM ISDNデータをTTR基準クロック及びxDSLデータ同期クロックに同期化して、該同期化されたTCM ISDNデータを一時的に格納した後に、該格納されたTCM ISDNデータをPSTNに転送する段階をさらに備えた構成とすることができる。
ここで、TCM ISDN端末機からTCM ISDNデータを受信した場合に、該受信されたTCM ISDNデータをTTR基準クロック及びxDSLデータ同期クロックに同期化して、該同期化されたTCM ISDNデータを一時的に格納した後に、該格納されたTCM ISDNデータをPSTNに転送する段階は、TCM ISDN端末機から受信されたTCM ISDNデータをTTR基準クロックに同期化して、該同期化されたTCM ISDNデータをISDN受信データ入力領域に格納する段階と、ISDN受信データ出力領域に格納されたTCM ISDNデータをPSTNに転送する段階と、を備えた構成とすることができる。
また、TCM ISDN端末機からTCM ISDNデータを受信した場合に、TCM ISDN加入者処理部が、該受信されたTCM ISDNデータをTTR基準クロック及びxDSLデータ同期クロックに同期化して、該同期化されたTCM ISDNデータを一時的に格納した後に、該格納されたTCM ISDNデータをPSTNに転送する段階は、TTR基準クロックに同期化して格納されたTCM ISDNデータをPSTNに転送した後に、各領域に格納されたTCM ISDNデータをシフトさせて、次の領域に移動させる構成とすることができる。
本発明に係るTCM ISDN技術が適用された次世代ネットワークシステム及びその制御方法によれば、TCM ISDNサービスとxDSLサービスとを同時に提供する際に、データサービス線路を統合することによって発生するノイズを除去させることによって、安定したシステムを提供することができる、という優れた効果を奏する。
以下、添付の図面を参照して、本発明によるTCM ISDN技術が適用された次世代ネットワークシステム及びその制御方法の好適な実施の形態について詳細に説明する。この際、以下に説明するシステム構成は、本発明の説明のために引用したシステムであって、本発明が下記のシステムに限定されないことが、この分野における通常の知識を有する者にとって自明になるだろう。
図1は、本発明によるTCM(Time Compression Multiplex)ISDN(Integrated Service Digital Network)技術が適用された次世代ネットワークシステムの構成を示す図である。図1に示すように、本実施形態によるTCM ISDN技術が適用された次世代ネットワークシステムは、同期クロック生成部100と、TCM ISDN加入者処理部200と、MDSLAM300と、ISDN加入者線路410とxDSL専用線路420とを一つの線路として統合した統合線路400と、を含む。ここで、TCM ISDN加入者処理部200は、PSTN(Public Switched Telephone Network)1から受信されるTCM ISDNデータを一時的に格納するものであり、ISDN加入者線路410を介してTCM ISDN加入者のTCM ISDN端末機4と接続される。また、MDSLAM300は、xDSL専用線路420を介してxDSL端末機5と接続される。
同期クロック生成部100は、ATM(Asynchronous Transfer Mode)網2を介して提供される広帯域クロック(64KHz)を用いて、TCM ISDN及びxDSLで使われるTTR(Transmit Timing Reference)基準クロック(400Hz)を生成し、該生成されたTTR基準クロックをTCM ISDN加入者処理部200及びMDSLAM300の各々に供給する。また、同期クロック生成部100は、クロック処理部110と、位相固定ループ120と、発信器130とを含む。
同期クロック生成部100のクロック処理部110は、ATM網2から提供される広帯域クロック(64KHz)を用いて、xDSLデータを同期化するためのxDSLデータ同期クロック(8KHz)と、TTR基準クロック(400Hz)と、をそれぞれ生成し、該生成された2つのクロックをMDSLAM300及び位相固定ループ120に供給すると同時に、TCM ISDN加入者処理部200にTTR基準クロック(400Hz)を供給する。
そして、同期クロック生成部100の位相固定ループ120は、クロック処理部110を介して受信されたxDSLデータ同期クロック(8KHz)及びTTR基準クロック(400Hz)と、発振器130から提供された分周クロック(16.384MHz)とを用いて、TCM ISDNデータクロック(2048KHz)及びTTR基準クロック(400Hz)を生成し、該生成されたTCM ISDNデータクロック(2048KHz)及びTTR基準クロック(400Hz)をTCMISDN加入者処理部200に提供する。
そして、TCM ISDN加入者処理部200は、PSTN1から受信されるTCM ISDNデータを一時的に格納した後、TCM ISDNデータのフレームを整列し、TCM ISDNデータを、同期クロック生成部100を介して提供されたTCM ISDNデータクロック(2048KHz)及びTTR基準クロック(400Hz)に同期化して、かかるTCM ISDNデータをTCM ISDN加入者に送受信する。また、これと同時に、TCM ISDN加入者処理部200は、TCM ISDN端末機4から受信されるTCM ISDNデータを、同期クロック生成部100から提供されたTCM ISDNデータクロック(2048KHz)及びTTR基準クロック(400Hz)に同期化して、該同期化されたTCM ISDNデータを、一時的に格納した後にPSTN1に転送する。このために、TCM ISDN加入者処理部200は、送信格納部210と、受信格納部220と、フレーム整列部230とを含む。そして、TCM ISDN加入者処理部200は、図示しないE1ラインインタフェース、TCM ISDNデジタルフロントエンドユニット(Digital Front End Unit)、及びアナログフロントエンドユニット(Analog Front End Unit)を含む。
また、TCM ISDN加入者処理部200は、PSTN1からは同期クロックを受信しないため、同期クロック生成部100を介して、ISDNデータ転送に必要なTCM ISDNデータ同期クロック(2048KHz)を受信する。
TCMISDN加入者処理部200の送信格納部210は、PSTN1を介して入力されたTCM ISDNデータを一時的に格納する。この実施形態では、送信格納部210は、128バイトの容量を有しており、32バイト単位で3個の格納領域が設定される。すなわち、図2Aに示すように、送信格納部210は、入力されたTCM ISDNデータを格納するためのISDN送信データ入力領域211と、格納されたTCM ISDNデータを出力するためのISDN送信データ出力領域213と、を含み、さらに、ISDN送信データ入力領域211に存在するTCM ISDNデータをISDN送信データ出力領域213に移動させるためのISDN送信データ移動領域212を含む。
そして、TCM ISDN加入者処理部200の受信格納部220は、TCM ISDN端末機4から受信されたTCM ISDNデータを一時的に格納する。この実施形態では、受信格納部220は、128バイトの容量を有しており、32バイト単位で3個の格納領域が設定される。すなわち、図2Bに示すように、受信格納部220は、入力されたTCM ISDNデータを格納するためのISDN受信データ入力領域221と、格納されたTCM ISDNデータを出力するためのISDN受信データ出力領域223と、を含み、さらに、ISDN受信データ入力領域221に存在するTCM ISDNデータをISDN受信データ出力領域223に移動させるためのISDN受信データ移動領域222を含む。
また、PSTN1からのTCM ISDNデータの受信時に、TCM ISDN加入者処理部200のフレーム整列部230は、該受信されたTCM ISDNデータを送信格納部210に一時的に格納した後に、該格納されたTCM ISDNデータを、同期クロック生成部100を介して提供されたTTR基準クロック(400Hz)に同期化して、該同期化されたTCM ISDNデータをTCM ISDN加入者(TCM ISDN端末機4)に転送する。一方、TCMISDN端末機4からのTCM ISDNデータの受信時には、フレーム整列部230は、そのTCM ISDNデータを、同期クロック生成部100を介して提供されたTTR基準クロック(400Hz)に同期化して、該同期化されたTCM ISDNデータを一時的に格納した後に、該格納されたTCM ISDNデータをPSTN1に転送する。典型的な実施の形態として、フレーム整列部230は、図示しないE1ラインインタフェースとTCM ISDNデジタルフロントエンドユニットとの間に装着される。
以下、前述した各構成の一般的な機能及び各々の詳細な動作についての詳細な説明は省略し、主に本発明に関連した動作について説明する。
まず、次世代ネットワークシステムは、PSTN1に接続し、かつ同期クロック生成部100から提供されるTTR基準クロック(400Hz)に同期化して、TCM ISDNデータの送受信を遂行するTCM ISDN加入者処理部200と、ATM網2に接続し、かつ同期クロック生成部100から提供されるTTR基準クロック(400Hz)に同期化して、xDSLデータの送受信を遂行するMDSLAM300と、ATM網2から提供される広帯域クロック(64KHz)を受信した後、TTR基準クロック(400Hz)及びxDSLデータ同期クロック(8KHz)をMDSLAM300に提供すると同時に、TCM ISDNデータを処理するためのTTR基準クロック(400Hz)をTCM ISDN加入者処理部200に提供する同期クロック生成部100と、を含む。すなわち、TCM ISDN技術を適用するために、この次世代ネットワークシステムでは、TTR基準クロック(400Hz)をTCM ISDN加入者処理部200及びMDSLAM300に提供する。
したがって、同期クロック生成部100のクロック処理部110は、ATM網2から提供された広帯域クロック(64KHz)を用いて xDSLデータ同期クロック(8KHz)及びTTR基準クロック(400Hz)を生成し、該生成された2つのクロックを同期クロック生成部100の位相固定ループ120に提供する。この際に、クロック処理部110は、TTR基準クロック(400Hz)をTCM ISDN加入者処理部200及びMDSLAM300に提供する。
次に、位相固定ループ120は、クロック処理部110から受信された xDSLデータ同期クロック(8KHz)及びTTR基準クロック(400Hz)と、発振器130から提供される分周クロック(16.384MHz)とを用いて、TCM ISDNデータクロック(2048KHz)及びxDSLデータ同期クロック(8KHz)を生成し、該生成された2つのクロックをTCM ISDN加入者処理部200に転送する。
すると、TCM ISDN加入者処理部200のフレーム整列部230は、位相固定ループ120からTCM ISDNデータクロック(2048KHz)及びxDSLデータ同期クロック(8KHz)を受信すると同時に、クロック処理部110を介してTTR基準クロック(400Hz)を受信する。
したがって、TCM ISDN加入者処理部200のフレーム整列部230は、PSTN1からTCM ISDN端末機4に転送されるTCM ISDNデータを受信すると、その受信されたTCM ISDNデータを送信格納部210に一時的に格納する。ここで、送信格納部210は、上述のように、ISDN送信データ入力領域211と、ISDN送信データ移動領域212と、ISDN送信データ出力領域213などのように3個の領域に区分されており、これら各領域は、32バイト単位で構成されている。
したがって、フレーム整列部230は、PSTN1から受信されたTCM ISDNデータを、32バイト単位でISDN送信データ入力領域211に格納する。
次に、フレーム整列部230は、ISDN送信データ入力領域211に格納されたTCM ISDNデータをシフトさせてISDN送信データ移動領域212に移動させると同時に、次の32バイトのTCM ISDNデータをISDN送信データ入力領域211に格納する。
その後、フレーム整列部230は、ISDN送信データ移動領域212に格納されたTCM ISDNデータをシフトさせて、ISDN送信データ出力領域213に移動させると同時に、ISDN送信データ入力領域211に格納されたTCM ISDNデータをシフトさせて、ISDN送信データ移動領域212に移動させる。
次に、フレーム整列部230は、ISDN送信データ入力領域211にTCM ISDNデータを格納する。
その後、フレーム整列部230は、ISDN送信データ出力領域213にTCM ISDNデータが格納されている場合には、該格納されているTCM ISDNデータをTCM ISDN端末機4に転送する。この際に、フレーム整列部230は、当該TCM ISDNデータを、位相固定ループ120から提供されるTCM ISDNデータクロック(2048KHz)を用いて転送し、かつ、クロック処理部110から提供されるTTR基準クロック(400Hz)に同期化して転送する。
その後、フレーム整列部230は、ISDN送信データ入力領域211及びISDN送信データ移動領域212に格納されたTCM ISDNデータを各々シフトさせて、次の領域、すなわちISDN送信データ移動領域212及びISDN送信データ出力領域213に移動させる。
また、フレーム整列部230は、TCM ISDN端末機4に転送すべきTCM ISDNデータを、ISDN送信データ入力領域211に格納する。
前述のように、フレーム整列部230は、PSTN1から受信されたTCM ISDNデータを、送信格納部210のISDN送信データ入力領域211にのみ格納し、出力時には、送信格納部210のISDN送信データ出力領域213に格納されたTCM ISDNデータだけを読み込み、該読み込まれたTCM ISDNデータをTTR基準クロックに同期させてTCM ISDN端末機4に転送することによって、TCM ISDNデータの衝突を避けることができ、加えて、TTR基準クロック(400Hz)に同期化して送受信を遂行することによって、TCM技術を実現することができる。
一方、TCM ISDN端末機4からTCM ISDNデータを受信する場合に、フレーム整列部230は、かかるTCM ISDNデータを32バイト単位で受信格納部220に格納する。ここで、受信格納部220は、上述のように、ISDN受信データ入力領域221と、ISDN受信データ移動領域222と、ISDN受信データ出力領域223などのように3個の領域に区分されており、これら各領域は、32バイト単位で構成されている。
したがって、フレーム整列部230は、当該TCM ISDNデータを、クロック処理部110から提供されたTTR基準クロック(400Hz)に同期化して、32バイト単位でISDN受信データ入力領域221に一時的に格納する。
その後、フレーム整列部230は、ISDN受信データ入力領域221に格納されたTCM ISDNデータをシフトさせて、ISDN受信データ移動領域222に移動させると同時に、次のTCM ISDNデータをISDN受信データ入力領域221に格納する。
さらに、フレーム整列部230は、ISDN受信データ移動領域222及びISDN受信データ入力領域221に各々格納されたTCM ISDNデータを、ISDN受信データ出力領域223及びISDN受信データ移動領域222に移動させた後に、ISDN受信データ入力領域221にTCM ISDNデータを格納する。
その後、フレーム整列部230は、ISDN受信データ出力領域223に格納されたTCM ISDNデータをPSTN1に転送する。
前述のように、フレーム整列部230は、TCM ISDN端末機4から受信されたTCM ISDNデータをTTR基準クロック(400Hz)に同期させて、該同期化されたTCM ISDNデータを受信格納部220のISDN受信データ入力領域221にのみ格納し、出力時には、受信格納部220のISDN受信データ出力領域223に格納されたTCM ISDNデータのみを読み込んでTCM ISDN端末機4に転送することによって、TCM ISDNデータの衝突を避けることができ、加えて、TCM ISDNデータをTTR基準クロック(400Hz)に同期化して送受信することによって、TCM技術を実現することができる。
次に、前述のような構成を有する本発明によるTCM ISDN技術が適用された次世代ネットワークシステムの制御方法について、図3以下を参照して説明する。
まず、同期クロック生成部100が、ATM網2から提供された広帯域クロック(64KHz)を用いて、TTR基準クロック(400Hz)及びxDSLデータ同期クロック(8KHz)を生成し、上述した各部に提供する(ステップS1)。
ここで、ATM網2から提供された広帯域クロック(64KHz)を用いてTTR基準クロック(400Hz)及びxDSLデータ同期クロック(8KHz)を生成する段階(ステップS1)の詳細について、図4を参照して説明する。
まず、同期クロック生成部100は、ATM網2から広帯域クロック(64KHz)を受信する(ステップS11)。
すると、同期クロック生成部100は、受信された広帯域クロック(64KHz)を用いて、xDSLデータ同期クロック(8KHz)及びTTR基準クロック(400Hz)を生成する(ステップS12)。
次に、同期クロック生成部100は、生成されたxDSLデータ同期クロック(8KHz)及びTTR基準クロック(400Hz)と、発振器130から提供された分周クロック(16.384MHz)とを用いて、TCM ISDNデータクロック(2048KHz)を生成し、該生成されたクロックをTCM ISDN加入者処理部200に提供する(ステップS13)。
その後、同期クロック生成部100を介してxDSLデータ同期クロック(8KHz)、TTR基準クロック(400Hz)、及びTCM ISDNデータクロック(2048KHz)を提供されたTCM ISDN加入者処理部200は、PSTN1からTCM ISDNデータを受信した場合に、該受信されたTCM ISDNデータを一時的に格納し、該格納されたTCM ISDNデータをTTR基準クロック(400Hz)及びxDSLデータ同期クロック(8KHz)に同期化し、該同期化されたTCM ISDNデータをTCM ISDN端末機3に転送する(図3のステップS2)。
以下、図3のステップS2、すなわちPSTN1から受信されたTCM ISDNデータを一時的に格納した後、TTR基準クロック(400Hz)及びxDSLデータ同期クロック(8KHz)に同期化して、TCM ISDN端末機4に転送する段階の詳細について、図5を参照して説明する。
まず、TCM ISDN加入者処理部200は、PSTN1から受信されたTCM ISDNデータをISDN送信データ入力領域211に格納する(ステップS21)。
次に、TCM ISDN加入者処理部200は、ISDN送信データ出力領域213に格納されたTCM ISDNデータをTTR基準クロック(400Hz)及びxDSLデータ同期クロック(8KHz)に同期化して、該同期化されたTCM ISDNデータをTCM ISDN端末機4に転送する(ステップS22)。
このようにTCM ISDNデータをTTR基準クロック(400Hz)及びxDSLデータ同期クロック(8KHz)に同期化して転送した後に、TCM ISDN加入者処理部200は、各領域に格納されたTCM ISDNデータをシフトさせて、次の領域に移動させる(ステップS23)。
他方、TCM ISDN端末機4からTCM ISDNデータを受信した場合には、TCM ISDN加入者処理部200は、該受信されたTCM ISDNデータをTTR基準クロック(400Hz)及びxDSLデータ同期クロック(8KHz)に同期化して一時的に格納した後に、該格納されたTCM ISDNデータをPSTN1に転送する(ステップS3)。
以下、ステップS3、すなわちTCM ISDN端末機4からのTCM ISDNデータ受信時に、該受信データをTTR基準クロック(400Hz)及びxDSLデータ同期クロック(8KHz)に同期化して一時的に格納した後、PSTN1に転送する段階(ステップS3)の詳細について、図6を参照して説明する。
まず、TCM ISDN加入者処理部200は、TCM ISDN端末機4から受信されたTCM ISDNデータをTTR基準クロック(400Hz)及びxDSLデータ同期クロック(8KHz)に同期化して、該同期化されたTCM ISDNデータをISDN受信データ入力領域221に格納する(ステップS31)。
次に、TCM ISDN加入者処理部200は、ISDN受信データ出力領域223に格納されたTCM ISDNデータをPSTN1に転送する(ステップS32)。
このように、TTR基準クロックに同期化して格納されたTCM ISDNデータをPSTN1に転送した後に、TCM ISDN加入者処理部200は、各領域に格納されたTCM ISDNデータをシフトさせて、次の領域に移動させる(ステップS33)。
この際に、TCM ISDN加入者処理部200は、前述のようなプロセシング過程により処理される。
この際、送/受信格納部(210/220)は、図2Cに示すように、WEA、ENA、RSTA、CLKA、ADD[#:0]、DIA[#:0]、及びDOA[#:0]と、WEB、ENB、RSTB、CLKB、ADDRB:0]、DIB[#:0]、及びDOB[#:0]の端子を有している。
以下、ISDN送信データ入力領域211またはISDN受信データ入力領域221にデータを格納するためのプロセシング過程を示す。
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
Library XilinxCoreLib;
ENTITY bmdpram_512 IS
port (
addra: IN std_logic_VECTOR(6 downto 0);
addrb: IN std_logic_VECTOR(6 downto 0);
clka: IN std_logic;
clkb: IN std_logic;
dina: IN std_logic_VECTOR(7 downto 0);
doutb: OUT std_logic_VECTOR(7 downto 0);
enb: IN std_logic;
wea: IN std_logic);
END bmdpram_512;
以下、ISDN送信データ出力領域213及びISDN受信データ出力領域223にデータを格納するプロセシング過程を示す。
process(Xrstb, DownRd_Clk, DownBSync)
begin
if(Xrstb = '0') then
xrxdpr_enb <= '0';
xrxdpr_rcnt(7 downto 0) <= "00000000";
xrxdpr_radr <= (others => '0');
xrxframe_addr <= "00";
elsif (DownRd_Clk'event and DownRd_Clk = '0') then
if (DownBSync = '0') then
xrxdpr_rcnt(7 downto 0) <= "00000001";
xrxframe_addr <= xframe_addr + "10";
xrxdpr_radr <= xrxframe_addr & "00010";
xrxdpr_enb <= '0';
elsif(xrxdpr_rcnt(2 downto 0) = "111") then
xrxdpr_radr <= xrxdpr_radr + '1';
xrxdpr_enb <= '1';
xrxdpr_rcnt <= xrxdpr_rcnt + '1';
else
xrxdpr_enb <= '0';
xrxdpr_rcnt <= xrxdpr_rcnt + '1';
end if;
end if;
end process;
以下、ISDN送信データ移動領域212及びISDN受信データ移動領域222にデータを格納するプロセシング過程を示す。
FPGARX_PtoS1: process(Xrstb, DownRd_Clk,xrxdpr_enb)
begin
if(Xrstb = '0') then
xreg2 <= "11111111";
elsif(DownRd_Clk'event and DownRd_Clk='1') then
if (xrxdpr_enb = '1') then
xreg2 <= xrxdpr_dout;
else
xreg2 <= xreg2(6 downto 0) & '1';
end if;
end if;
end process FPGARX_PtoS1;
DownData_Out <= xreg2(7);
end Behaviral_arch;
以上、本発明を具体的な実施の形態に則して説明したが、本発明が属する技術の分野における通常の知識を有する者であれば、特許請求の範囲により規定されるような本発明の精神及び範囲を逸脱することなく、様々な置換、変形及び変更が可能であることは容易に理解されるものである。したがって、本発明の範囲は、上述した実施形態及び添付された図面に限定されるものではない。
本発明によるTCM ISDN技術が適用された次世代ネットワークシステムの構成を示す機能ブロック図である。 図1のTCMISDN技術が適用された次世代ネットワークシステムにおける送信格納部の詳細構成を示す機能ブロック図である。 図1のTCMISDN技術が適用された次世代ネットワークシステムにおける受信格納部の詳細構成を示す機能ブロック図である。 図1のTCMISDN技術が適用された次世代ネットワークシステムにおける送信/受信格納部の構成を示す機能ブロック図である。 本発明によるTCM ISDN技術が適用された次世代ネットワークシステムの制御方法を示すフローチャートである。 図3のTCM ISDN技術が適用された次世代ネットワークシステムの制御方法において、同期クロック生成部が広帯域クロックを用いてTTR基準クロック及びxDSLデータ同期クロックを生成して提供する段階(S1)の詳細を示すフローチャートである。 図3のTCM ISDN技術が適用された次世代ネットワークシステムの制御方法において、TCM ISDN加入者処理部がPSTNから受信されたISDNデータを一時的に格納した後に、該格納されたISDNデータをTTR基準クロック及びxDSLデータ同期クロックに同期化して、該同期化されたISDNデータをTCM ISDN端末機に転送する段階(S2)の詳細を示すフローチャートである。 図3のTCM ISDN技術が適用された次世代ネットワークシステムの制御方法において、TCM ISDN加入者処理部がTCM ISDN端末機から受信されたISDNデータを、TTR基準クロック及びxDSLデータ同期クロックに同期化して一時的に格納した後、PSTNに転送する段階(S3)の詳細を示すフローチャートである。
符号の説明
1 PSTN
2 ATM網
3 交換局
4 TCM ISDN端末機
5 xDSL端末機
100 同期クロック生成部
110 クロック処理部
120 位相固定ループ
130 発振器
200 TCM ISDN加入者処理部
210 送信格納部
211 ISDN送信データ入力領域
212 ISDN送信データ移動領域
213 ISDN送信データ出力領域
220 受信格納部
221 ISDN受信データ入力領域
222 ISDN受信データ移動領域
223 ISDN受信データ出力領域
230 フレーム整列部

Claims (19)

  1. TCM(Time Compression Multiplex)ISDN(Integrated Service Digital Network)サービスとxDSL(x Digital Subscriber Line)サービスとを統合した次世代ネットワークシステムであって、
    ATM(Asynchronous Transfer Mode)網から提供される広帯域クロックを用いて、TCM ISDN及びxDSLで使われるTTR(Transmit Timing Reference)基準クロックを生成し、各々出力する同期クロック生成部と、
    PSTN(Public Switched Telephone Network)から受信されたTCM ISDNデータを一時的に格納し、TCM ISDNデータのフレームを整列し、TCM ISDNデータを前記同期クロック生成部から提供されたTTR基準クロックに同期化して、該同期化されたTCM ISDNデータを加入者のTCM ISDN端末機に送信し、且つ、前記TCM ISDN端末機から受信されたTCM ISDNデータを前記同期クロック生成部を介して入力されたTTR基準クロックに同期化して、該同期化されたTCM ISDNデータを一時的に格納した後に、該格納されたTCM ISDNデータを前記PSTNに転送するTCM ISDN加入者処理部と、
    を備えることを特徴とするTCM ISDN技術が適用された次世代ネットワークシステム。
  2. 前記同期クロック生成部は、
    前記ATM(Asynchronous Transfer Mode)網から提供された広帯域クロックを用いてxDSLデータ同期クロック及びTTR基準クロックを生成して出力するクロック処理部と、
    前記クロック処理部から提供されたxDSLデータ同期クロック及びTTR基準クロックと、発振器から提供された分周クロックと、を用いてTCM ISDNデータクロックを生成し、該生成されたクロックを前記TCM ISDN加入者処理部に提供する位相固定ループと、
    を備えることを特徴とする請求項1に記載のTCM ISDN技術が適用された次世代ネットワークシステム。
  3. 前記ATM網から提供される広帯域クロックは、64KHzのDCS(digital clock supply) クロックであることを特徴とする請求項1に記載のTCM ISDN技術が適用された次世代ネットワークシステム。
  4. 前記位相固定ループから提供されるTCM ISDNデータクロックは、2048KHzのクロックであることを特徴とする請求項2に記載のTCM ISDN技術が適用された次世代ネットワークシステム。
  5. 前記TCM ISDN加入者処理部は、
    PSTNを介して入力されたTCM ISDNデータを一時的に格納するための送信格納部と、
    TCM ISDN端末機から転送されるTCM ISDNデータを一時的に格納するための受信格納部と、
    前記PSTNからTCM ISDNデータを受信すると、該受信されたTCM ISDNデータを前記送信格納部に一時的に格納した後に、該格納されたTCM ISDNデータを前記同期クロック生成部から提供されたTTR基準クロックに同期化し、該同期化されたTCM ISDNデータを加入者のTCM ISDN端末機に転送し、且つ、前記TCM ISDN端末機からTCM ISDNデータを受信すると、該受信されたTCM ISDNデータを前記同期クロック生成部から提供されたTTRKIジューンクロックに同期化して、該同期化されたTCM ISDNデータを一時的に格納した後に、該格納されたTCM ISDNデータをPSTNに転送するフレーム整列部と、
    を備えることを特徴とする請求項1に記載のTCM ISDN技術が適用された次世代ネットワークシステム。
  6. 前記送信格納部は、
    入力されたデータを格納するためのISDN送信データ入力領域と、格納されたデータを出力するためのISDN送信データ出力領域と、
    を備えることを特徴とする請求項5に記載のTCM ISDN技術が適用された次世代ネットワークシステム。
  7. 前記送信格納部は、32バイト単位で3個の格納領域が設定されたことを特徴とする請求項5に記載のTCM ISDN技術が適用された次世代ネットワークシステム。
  8. 前記受信格納部は、128バイトの容量を有することを特徴とする請求項5に記載のTCM ISDN技術が適用された次世代ネットワークシステム。
  9. 前記受信格納部は、入力されたデータを格納するためのISDN受信データ入力領域と、格納されたデータを出力するためのISDN受信データ出力領域と、を備えることを特徴とする請求項8に記載のTCM ISDN技術が適用された次世代ネットワークシステム。
  10. 前記受信格納部は、前記ISDN受信データ入力領域に存在するデータをISDN受信データ出力領域に移動させるためのISDN受信データ移動領域をさらに備えることを特徴とする請求項9に記載のTCM ISDN技術が適用された次世代ネットワークシステム。
  11. 前記受信格納部は、32バイト単位で3個の格納領域が設定されることを特徴とする請求項10に記載のTCM ISDN技術が適用された次世代ネットワークシステム。
  12. TCM ISDN及びxDSLの処理を可能とするための次世代ネットワークシステムでのフレーム同期化方法であって、
    同期クロック生成部が、ATM網から提供された広帯域クロックを用いてTTR基準クロック及びxDSLデータ同期クロックを生成して出力する段階と、
    TCM ISDN加入者処理部が、PSTNからTCM ISDNデータを受信すると、該受信されたTCM ISDNデータを一時的に格納した後に、該格納されたTCM ISDNデータをTTR基準クロック及びxDSLデータ同期クロックに同期化して、該同期化されたTCM ISDNデータを加入者のTCM ISDN端末機に転送する段階と、
    を備えることを特徴とするTCM ISDN技術が適用された次世代ネットワークシステムの制御方法。
  13. 同期クロック生成部が前記ATM網から提供された広帯域クロックを用いてTTR基準クロック及びxDSLデータ同期クロックを生成して出力する段階は、
    同期クロック生成部が、前記ATM網から広帯域クロックを受信する段階と、
    同期クロック生成部が、受信された広帯域クロックを用いてxDSLデータ同期クロック及びTTR基準クロックを生成する段階と、
    同期クロック生成部が、生成されたxDSLデータ同期クロック及びTTR基準クロックと、発振器から提供された分周クロックと、を用いてTCM ISDNデータクロックを生成し、該生成されたTCM ISDNデータクロックをTCM ISDN加入者処理部に提供する段階と、
    を備えることを特徴とする請求項12に記載のTCM ISDN技術が適用された次世代ネットワークシステムの制御方法。
  14. 前記TCM ISDNデータクロックは、2048KHzのクロックであることを特徴とする請求項13に記載のTCM ISDN技術が適用された次世代ネットワークシステムの制御方法。
  15. 前記TCM ISDN加入者処理部が、PSTNからTCM ISDNデータを受信すると、該受信されたTCM ISDNデータを一時的に格納した後、該格納されたTCM ISDNデータをTTR基準クロック及びxDSLデータ同期クロックに同期化して、該同期化されたTCM ISDNデータを加入者のTCM ISDN端末機に転送する段階は、
    TCM ISDN加入者処理部が、前記PSTNから受信されたTCM ISDNデータをISDN送信データ入力領域に格納する段階と、
    TCM ISDN加入者処理部が、前記ISDN送信データ出力領域に格納されたTCM ISDNデータをTTR基準クロックに同期化して、該同期化されたTCM ISDNデータをTCM ISDN端末機に転送する段階と、
    を備えることを特徴とする請求項12に記載のTCM ISDN技術が適用された次世代ネットワークシステムの制御方法。
  16. 前記TCM ISDN加入者処理部が、PSTNからTCM ISDNデータを受信すると、該受信されたTCM ISDNデータを一時的に格納した後、該格納されたTCM ISDNデータをTTR基準クロック及びxDSLデータ同期クロックに同期化して、該同期化されたTCM ISDNデータを加入者のTCM ISDN端末機に転送する段階は、
    TCM ISDNデータをTTR基準クロックに同期化して転送した後に、各領域に格納されたTCM ISDNデータをシフトさせて、次の領域に移動させることを特徴とする請求項15に記載のTCM ISDN技術が適用された次世代ネットワークシステムの制御方法。
  17. 前記TCM ISDN端末機からTCM ISDNデータを受信した場合に、TCM ISDN加入者処理部が、該受信されたTCM ISDNデータをTTR基準クロック及びxDSLデータ同期クロックに同期化して、該同期化されたTCM ISDNデータを一時的に格納した後に、該格納されたTCM ISDNデータをPSTNに転送する段階をさらに備えることを特徴とする請求項12に記載のTCM ISDN技術が適用された次世代ネットワークシステムの制御方法。
  18. 前記TCM ISDN端末機からTCM ISDNデータを受信した場合に、TCM ISDN加入者処理部が、該受信されたTCM ISDNデータをTTR基準クロック及びxDSLデータ同期クロックに同期化して、該同期化されたTCM ISDNデータを一時的に格納した後に、該格納されたTCM ISDNデータをPSTNに転送する段階は、
    TCM ISDN加入者処理部が、前記TCM ISDN端末機から受信されたTCM ISDNデータをTTR基準クロックに同期化して、該同期化されたTCM ISDNデータをISDN受信データ入力領域に格納する段階と、
    TCM ISDN加入者処理部が、ISDN受信データ出力領域に格納されたTCM ISDNデータをPSTNに転送する段階と、
    を備えることを特徴とする請求項17に記載のTCM ISDN技術が適用された次世代ネットワークシステムの制御方法。
  19. 前記TCM ISDN端末機からTCM ISDNデータを受信した場合に、TCM ISDN加入者処理部が、該受信されたTCM ISDNデータをTTR基準クロック及びxDSLデータ同期クロックに同期化して、該同期化されたTCM ISDNデータを一時的に格納した後に、該格納されたTCM ISDNデータをPSTNに転送する段階は、
    TCM ISDN加入者処理部が、TTR基準クロックに同期化して格納されたTCM ISDNデータをPSTNに転送した後に、各領域に格納されたTCM ISDNデータをシフトさせて、次の領域に移動させることを特徴とする請求項18に記載のTCM ISDN技術が適用された次世代ネットワークシステムの制御方法。
JP2007029170A 2006-02-10 2007-02-08 Tcmisdn技術が適用された次世代ネットワークシステム及びその制御方法 Abandoned JP2007215190A (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR20060013300A KR100738558B1 (ko) 2006-02-10 2006-02-10 Tcm isdn 기술이 적용된 차세대 네트워크 시스템 및그 제어방법

Publications (1)

Publication Number Publication Date
JP2007215190A true JP2007215190A (ja) 2007-08-23

Family

ID=38368400

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007029170A Abandoned JP2007215190A (ja) 2006-02-10 2007-02-08 Tcmisdn技術が適用された次世代ネットワークシステム及びその制御方法

Country Status (3)

Country Link
US (1) US20070189337A1 (ja)
JP (1) JP2007215190A (ja)
KR (1) KR100738558B1 (ja)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101421240B1 (ko) * 2007-03-02 2014-07-18 삼성전자주식회사 라우터 및 그 라우터에서 큐 처리방법
EP2051431B1 (en) * 2007-10-19 2012-09-26 Nokia Siemens Networks Oy Method and device for transmitting or receiving a clock signal and communication system comprising such device
CN106209342B (zh) * 2016-08-25 2022-10-18 四川灵通电讯有限公司 在xDSL传输系统中实现低频时钟传递的系统

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5991311A (en) * 1997-10-25 1999-11-23 Centillium Technology Time-multiplexed transmission on digital-subscriber lines synchronized to existing TCM-ISDN for reduced cross-talk
JP3480313B2 (ja) * 1998-05-26 2003-12-15 富士通株式会社 ディジタル加入者線伝送方法及びxDSL装置
JP3622510B2 (ja) * 1998-06-19 2005-02-23 富士通株式会社 ディジタル加入者線伝送方法、adslトランシーバ、チャンネルアナリシステ方法及びadsl装置
JP2002527006A (ja) * 1998-09-30 2002-08-20 インフィネオン テクノロジーズ エージー 加入者回線用回線終端装置
EP1605620B1 (en) * 1999-05-21 2008-06-11 Fujitsu Limited Digital subscriber line transmission method, apparatus and system using training
JP3689591B2 (ja) * 1999-06-08 2005-08-31 キヤノン株式会社 無線通信装置
KR100435806B1 (ko) * 2002-01-08 2004-06-10 삼성전자주식회사 티씨엠-아이에스디엔 라인과 공존하는 디지털 가입자라인을 이용한 통신 시스템
KR100476896B1 (ko) * 2002-05-22 2005-03-17 삼성전자주식회사 티씨엠-아이에스디엔 라인과 공존하는 디지털 가입자라인을 이용한 통신 시스템 및 그것의 프레임 동기화 방법
KR100645542B1 (ko) * 2005-01-28 2006-11-14 삼성전자주식회사 네트워크 클록제공 시스템 및 그 방법

Also Published As

Publication number Publication date
US20070189337A1 (en) 2007-08-16
KR100738558B1 (ko) 2007-07-11

Similar Documents

Publication Publication Date Title
US6628679B1 (en) SERDES (serializer/deserializer) time domain multiplexing/demultiplexing technique
US7483450B1 (en) Method and system for link-based clock synchronization in asynchronous networks
EP1456733A2 (en) Multiple dataport clock synchronization
US10133301B2 (en) Method and apparatus for exchanging data between devices operating at different clock rates
JP3635001B2 (ja) 同期クロックを発生させるための回路
JPH04261239A (ja) デジタルtdmデータストリームをポインタ処理する方法およびシステム
JP3465227B2 (ja) 電話端末装置
US9686033B2 (en) System and method for advanced adaptive pseudowire
US6754745B1 (en) Method and apparatus for distributing a clock in a network
JP2000224199A (ja) 時分割多重バス同期化信号コンセントレ―タとデ―タ伝送システム及びその方法
US6272138B1 (en) Method and apparatus for reducing jitter or wander on internetworking between ATM network and PDH network
JP2007215190A (ja) Tcmisdn技術が適用された次世代ネットワークシステム及びその制御方法
JPH10233767A (ja) 入来するクロック信号をネットワークセグメントを通って透過的に伝送する方法およびそれに関連した送信機および受信機
JP2000504513A (ja) 仮想タイム・ループ
CN102104572A (zh) 传输系统中的时间同步方法、设备及系统
US6937613B1 (en) Method and apparatus for synchronization of high-bit-rate digital subscriber line signals
JP2819143B2 (ja) タイミング回路
US20060159130A1 (en) Method and apparatus for constant bit rate data transmission in an optical burst switching network
US6980568B1 (en) Method and apparatus for system clock synchronization
Aweya Emerging applications of synchronous Ethernet in telecommunication networks
US5243334A (en) Partitioned switch with distributed clocks
JP2010124456A (ja) 通信システム、通信装置、および端末収容装置
WO2004006478A1 (en) System and method for providing network timing recovery
JP2009088793A (ja) 同期用システム、同期信号送信装置、クロック供給装置、及び同期方法
JP2018093369A (ja) 従属装置、同期システム、及び、従属装置の同期方法

Legal Events

Date Code Title Description
A762 Written abandonment of application

Free format text: JAPANESE INTERMEDIATE CODE: A762

Effective date: 20090612