JP2006171913A - Information processor, information processing method, program and storage medium - Google Patents

Information processor, information processing method, program and storage medium Download PDF

Info

Publication number
JP2006171913A
JP2006171913A JP2004360497A JP2004360497A JP2006171913A JP 2006171913 A JP2006171913 A JP 2006171913A JP 2004360497 A JP2004360497 A JP 2004360497A JP 2004360497 A JP2004360497 A JP 2004360497A JP 2006171913 A JP2006171913 A JP 2006171913A
Authority
JP
Japan
Prior art keywords
language
circuit
register transfer
transfer level
function
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2004360497A
Other languages
Japanese (ja)
Inventor
Akihiko Kumatoriya
昭彦 熊取谷
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Canon Inc
Original Assignee
Canon Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Canon Inc filed Critical Canon Inc
Priority to JP2004360497A priority Critical patent/JP2006171913A/en
Publication of JP2006171913A publication Critical patent/JP2006171913A/en
Withdrawn legal-status Critical Current

Links

Images

Abstract

<P>PROBLEM TO BE SOLVED: To reduce the scale of a circuit, and to reduce a verification load at the time of generating the circuit in an RT level described in HDL language based on an algorithm described in C language. <P>SOLUTION: This information processing method for converting a file described in C language into a file in a register transfer level described in HDL language comprises an extraction process (a step S201) for extracting functions included in a file described in the C language, a determination process (steps S202, 203) for determining whether or not a circuit in the register transfer level corresponding to the extracted functions is stored in a database and a generation process (steps S205, 206) for, when it is determined that the circuit in the register transfer level is stored in the database, generating the file in the register transfer level by replacing the extracted functions with a circuit stored in the database. <P>COPYRIGHT: (C)2006,JPO&NCIPI

Description

本発明は半導体デバイスの回路設計のために用いられるプログラミング言語である、HDL言語(Hardware Description Language(ハードウェア記述言語))への変換技術に関するものである。   The present invention relates to a technology for conversion to a HDL language (Hardware Description Language (hardware description language)), which is a programming language used for circuit design of a semiconductor device.

従来、半導体デバイスの回路設計においては、専用のプログラミング言語であるHDL言語を用いられてきた。一方で、アルゴリズム開発においてはC言語が用いられるケースが多かった。このため、これまでは一旦C言語を用いてアルゴリズム開発を行った後、ハードウエア化の際にアーキテクチャ検討を行った上で、当該C言語により開発されたアルゴリズムをHDL言語に再度書き直す必要があった。   Conventionally, the HDL language, which is a dedicated programming language, has been used in the circuit design of semiconductor devices. On the other hand, C language is often used in algorithm development. For this reason, until now, after developing an algorithm using the C language, it was necessary to re-write the algorithm developed in the C language into the HDL language after considering the architecture at the time of hardware implementation. It was.

一方、半導体プロセス技術の発展に伴い、近年、実現可能な回路規模は急速に増大してきた。しかしながら上述した設計手法では、回路設計のための人手を増やしたとしても、増大した回路規模に対応するには限界があった。このため新しい手法による回路設計の生産性の向上が望まれていた。   On the other hand, with the development of semiconductor process technology, the realizable circuit scale has increased rapidly in recent years. However, the above-described design method has a limit to cope with the increased circuit scale even if the number of manpower for circuit design is increased. Therefore, improvement of circuit design productivity by a new method has been desired.

このような背景のもと、C言語で記述された動作レベルのアルゴリズムをHDL言語で記述されたRTレベル(レジスタ・トランスファ・レベル)の回路に変換する動作合成機能を有する動作合成装置が提案され、一部で実用化され始めている。
特開2003−173270号公報
Against this background, a behavioral synthesis device having a behavioral synthesis function for converting a behavioral level algorithm described in C language into an RT level (register transfer level) circuit described in HDL language has been proposed. Some have begun to be put into practical use.
JP 2003-173270 A

しかしながら、従来の技術では、抽象度の高いC言語を用いて記述された動作レベルのアルゴリズムをHDL言語で記述されたRTレベルの回路に変換した場合、直接HDL言語で記述された回路に比べて回路規模が大きくなってしまうという問題があった。また、HDL言語で記述されたRTレベルの回路は可読性が低いため、動作合成装置により生成された回路が機能面、品質面で所望の回路であるのかをHDL言語による記述からでは判断できず、動作検証を行わなければならないという問題もあった。   However, in the conventional technology, when an algorithm of an operation level described using a C language having a high level of abstraction is converted into an RT level circuit described in the HDL language, the algorithm is compared with a circuit described directly in the HDL language. There was a problem that the circuit scale would increase. Moreover, since the RT level circuit described in the HDL language has low readability, it cannot be determined from the description in the HDL language whether the circuit generated by the behavioral synthesis device is a desired circuit in terms of function and quality. There was also a problem that operation verification had to be performed.

本発明は上記課題に鑑みてなされたものであり、所定の言語で記述された動作レベルのアルゴリズムに基づいて、HDL言語で記述されたRTレベルの回路を生成するにあたり、回路の小規模化を図ると共に、検証負荷を低減することを目的とする。   The present invention has been made in view of the above problems, and in generating an RT level circuit described in an HDL language based on an algorithm of an operation level described in a predetermined language, the circuit can be reduced in size. The purpose is to reduce the verification load.

上記の目的を達成するために本発明に係る情報処理装置は以下のような構成を備える。即ち、
所定の言語で記述されたファイルをHDL言語で記述されたレジスタ・トランスファ・レベルのファイルに変換する情報処理装置であって、
前記HDL言語で記述されたレジスタ・トランスファ・レベルの回路を記憶する記憶手段と、
前記所定の言語で記述されたファイルを読み込み、該ファイルに含まれる関数を抽出する抽出手段と、
前記抽出手段により抽出された関数に対応する、前記HDL言語で記述されたレジスタ・トランスファ・レベルの回路が前記記憶手段に記憶されているか否かを判断する判断手段と、
前記判断手段により、前記記憶手段に記憶されていると判断された場合には、該記憶手段に記憶されていた回路を用いて、前記HDL言語で記述されたレジスタ・トランスファ・レベルのファイルを生成し、前記判断手段により、前記記憶手段に記憶されていないと判断された場合には、前記抽出手段により抽出された関数を、HDL言語で記述されたレジスタ・トランスファ・レベルの回路に変換することにより、前記HDL言語で記述されたレジスタ・トランスファ・レベルのファイルを生成する生成手段とを備える。
In order to achieve the above object, an information processing apparatus according to the present invention comprises the following arrangement. That is,
An information processing apparatus for converting a file described in a predetermined language into a register transfer level file described in an HDL language,
Storage means for storing a register transfer level circuit described in the HDL language;
An extraction means for reading a file described in the predetermined language and extracting a function included in the file;
Determining means for determining whether or not a register transfer level circuit described in the HDL language corresponding to the function extracted by the extracting means is stored in the storage means;
If the determination means determines that the data is stored in the storage means, a register transfer level file described in the HDL language is generated using the circuit stored in the storage means. If the determination means determines that the function is not stored in the storage means, the function extracted by the extraction means is converted into a register transfer level circuit described in the HDL language. And generating means for generating a register transfer level file described in the HDL language.

所定の言語で記述された動作レベルのアルゴリズムに基づいて、HDL言語で記述されたRTレベルの回路を生成するにあたり、回路の小規模化を図ると共に、検証負荷を低減することが可能となる。   When generating an RT level circuit described in an HDL language based on an algorithm of an operation level described in a predetermined language, it is possible to reduce the size of the circuit and reduce the verification load.

以下、必要に応じて添付図面を参照しながら本発明の実施形態を詳細に説明する。   Hereinafter, embodiments of the present invention will be described in detail with reference to the accompanying drawings as necessary.

[第1の実施形態]
<動作合成装置の構成>
本発明の一実施形態にかかる動作合成装置(情報処理装置)の構成を図1を用いて説明する。図1において、101は制御メモリ(ROM)、102は中央演算処理装置、103はメモリ(RAM)、104は入力装置、105は表示装置、106は外部記憶装置、109はバスである。本実施形態にかかる動作合成機能を実現するための制御プログラム(動作合成プログラム107)やその制御プログラムで用いるデータ(HDL資産)により構成されるデータベース108は、外部記憶装置106に記憶される。これらの制御プログラムやデータは、中央演算処理装置102の制御のもと、バス107を通じて適宜メモリ103に取り込まれ、中央演算処理装置102によって実行される。
[First Embodiment]
<Configuration of behavioral synthesis device>
A configuration of a behavioral synthesis apparatus (information processing apparatus) according to an embodiment of the present invention will be described with reference to FIG. In FIG. 1, 101 is a control memory (ROM), 102 is a central processing unit, 103 is a memory (RAM), 104 is an input device, 105 is a display device, 106 is an external storage device, and 109 is a bus. A database 108 composed of a control program (behavior synthesis program 107) for realizing the behavioral synthesis function according to the present embodiment and data (HDL assets) used in the control program is stored in the external storage device 106. These control programs and data are appropriately fetched into the memory 103 through the bus 107 under the control of the central processing unit 102 and executed by the central processing unit 102.

<動作合成装置における動作合成処理の流れ>
図2は本発明の一実施形態にかかる動作合成装置において、回路設計の対象となる動作レベルのアルゴリズムをC言語で記述した「C言語動作レベル記述ファイル」からHDL言語で記述された「HDL言語RTレベル記述ファイル」を生成する動作合成処理の手順を示したフローチャートである。
<Flow of behavioral synthesis processing in behavioral synthesis device>
FIG. 2 shows a behavioral synthesis apparatus according to an embodiment of the present invention, in which the “HDL language” described in the HDL language from the “C language behavior level description file” describing the algorithm of the behavioral level to be circuit designed in the C language. It is the flowchart which showed the procedure of the behavioral synthesis process which produces | generates "RT level description file".

同図に示すように、ステップS201では、まずC言語動作レベル記述ファイルを読み込む。このC言語動作レベル記述ファイルは、具体的な回路をHDL言語で記述したものと同様のものをC言語で記述したものであってもよいし、抽象度を上げて記述したものであっても構わない。また、C言語動作レベル記述ファイルにおいて用いられるC言語は、一般のANSI―Cに準拠したC言語で良い。従ってこのC言語動作レベル記述ファイルはアルゴリズム開発時に検討用に記述されたものであってもそのまま使用できる。   As shown in the figure, in step S201, a C language behavior level description file is first read. This C language behavior level description file may be a C circuit described with a specific circuit described in the HDL language, or may be described with a higher level of abstraction. I do not care. Further, the C language used in the C language behavior level description file may be a C language conforming to general ANSI-C. Therefore, this C language behavior level description file can be used as it is even if it is described for study at the time of algorithm development.

ステップS202では、上記C言語動作レベル記述ファイル内において、「function」で定義されている関数を抽出し、当該抽出された関数と同一名称の回路がないかHDL資産(HDL言語で記述されたRTレベルの回路)のデータベース108を検索する。   In step S202, a function defined by “function” is extracted from the C language behavior level description file, and an HDL asset (RT described in HDL language is checked for a circuit having the same name as the extracted function. Level circuit) 108 is searched.

ステップS202におけるデータベース108検索の結果、抽出された関数と同一名称のHDL資産がデータベース108内にあると判断された場合には(ステップS203において「Yes」の場合には)、ステップS205に進み、当該HDL言語で記述されたRTレベルの回路を選択しインスタンスする。   As a result of the database 108 search in step S202, when it is determined that the HDL asset having the same name as the extracted function exists in the database 108 (in the case of “Yes” in step S203), the process proceeds to step S205. An RT level circuit described in the HDL language is selected and instantiated.

一方、ステップS202におけるデータベース108検索の結果、抽出された関数と同一名称のHDL資産がデータベース108内にないと判断された場合には(ステップS203において「No」の場合には)、ステップS204に進み、通常の動作合成により、C言語動作レベル記述ファイルより抽出された関数を、HDL言語で記述されたRTレベルの回路へと変換する。   On the other hand, as a result of searching the database 108 in step S202, if it is determined that there is no HDL asset having the same name as the extracted function in the database 108 (in the case of “No” in step S203), the process proceeds to step S204. Then, by normal behavioral synthesis, the function extracted from the C language behavior level description file is converted into an RT level circuit described in the HDL language.

ステップS206では、このようにして得られた各関数毎のRTレベルの回路を用いて各回路間の接続を動作合成し、HDL言語RTレベル記述ファイルを生成する。   In step S206, the connections between the respective circuits are behaviorally synthesized using the RT level circuits for the respective functions thus obtained, and an HDL language RT level description file is generated.

<動作合成処理の具体例>
図3は上記動作合成処理におけるC言語動作レベル記述ファイル、HDL言語RTレベル記述ファイル、データベースの関係を具体的に示した図である。同図において301はC言語動作記述ファイルの一例を、302はHDL言語RTレベル記述ファイルの一例を、108はHDL言語で記述され、すでに回路としての実績をもつHDL言語で記述されたRTレベルの回路のデータベースの一例をそれぞれ示している。以下、同図を用いて上記動作合成処理の詳細を説明する。
<Specific example of behavioral synthesis processing>
FIG. 3 is a diagram specifically showing the relationship among the C language behavior level description file, the HDL language RT level description file, and the database in the behavioral synthesis process. In this figure, 301 is an example of a C language operation description file, 302 is an example of an HDL language RT level description file, 108 is described in HDL language, and is written in HDL language having a track record as a circuit. An example of each circuit database is shown. The details of the behavioral synthesis process will be described below with reference to FIG.

同図に示すように、C言語動作レベル記述ファイル301から「function」で定義されている関数を抽出し、各々データベース108内に同一名称で登録されているHDL資産(HDL言語で記述されたRTレベルの回路)がないかを検索する。そして検索結果に従って回路置換によりHDL言語で記述されたRTレベルの回路を生成するか、動作合成によりHDL言語で記述されたRTレベルの回路を生成するかを切り替える。   As shown in the figure, a function defined by “function” is extracted from the C language behavior level description file 301, and each of the HDL assets (RT described in the HDL language) registered with the same name in the database 108 is extracted. Search for level circuit). Then, switching between generating an RT level circuit described in the HDL language by circuit replacement or generating an RT level circuit described in the HDL language by behavioral synthesis is performed according to the search result.

C言語動作レベル記述ファイル301内において「function」で定義された、“IP_A3、IP_B5”については、データベース108に同一名称のHDL資産(HDL言語で記述されたRTレベルの回路)が存在するので、HDL言語RTレベル記述ファイル302の生成にあたり、データベース108上の対応する回路をインスタンスすることとし、動作合成は行わない(303、304参照)。   As for “IP_A3, IP_B5” defined by “function” in the C language behavior level description file 301, HDL assets (RT level circuits described in the HDL language) having the same name exist in the database 108. In generating the HDL language RT level description file 302, the corresponding circuit on the database 108 is instantiated, and behavioral synthesis is not performed (see 303 and 304).

一方、C言語動作レベル記述ファイル301内において「function」で定義された、“IP_A9、IP_C3”については、データベース108内に同一名称のHDL資産(HDL言語で記述されたRTレベルの回路)が存在しないので、動作合成を行うことによりHDL言語で記述されたRTレベルの回路を生成する(305、306参照)。   On the other hand, for “IP_A9, IP_C3” defined as “function” in the C language behavior level description file 301, there is an HDL resource (RT level circuit described in the HDL language) with the same name in the database 108. Therefore, RT-level circuits described in the HDL language are generated by performing behavioral synthesis (see 305 and 306).

次にC言語動作レベル記述ファイル301における各functionの引数と返り値に関する記述等からHDL言語RTレベル記述ファイル302における各回路間の接続を決定し、最終的なHDL言語RTレベル記述ファイル302を完成する。   Next, the connection between each circuit in the HDL language RT level description file 302 is determined from the description of the argument and return value of each function in the C language behavior level description file 301, and the final HDL language RT level description file 302 is completed. To do.

なお、ここでデータベース108に蓄積されたHDL資産はアーキテクチャ設計を行い、最初からHDL言語によって記述されたRTレベルの回路であっても、以前に動作合成装置によりC言語動作レベル記述ファイルから動作合成されたものであってもどちらでも構わない。   It should be noted that the HDL assets stored in the database 108 are designed for architecture, and even if they are RT level circuits described in the HDL language from the beginning, the behavioral synthesis device previously synthesized the behavior from the C language behavior level description file. It doesn't matter if it is the one that was made.

前者の場合には動作合成の困難な部分での動作合成装置のハングアップや非実用的な回路生成による開発効率の低下を防ぎ、直ちに実用的な回路の生成が実現できる。また、後者の場合には動作合成時間の短縮がはかられる。いずれの場合も効率的なハードウエア自動設計を行うことができる。   In the former case, it is possible to prevent the hang-up of the behavioral synthesis apparatus in the difficult part of the behavioral synthesis and the decrease in development efficiency due to the generation of the impractical circuit, and immediately realize the practical circuit generation. In the latter case, the behavioral synthesis time can be shortened. In either case, efficient hardware automatic design can be performed.

<動作合成装置のGUI>
図4は本発明の一実施形態にかかる動作合成装置の表示装置105に表示されるGUIの一部を示した図である。同図において画面(400)の上部にはファイルの入出力関係、動作合成の終了等を行うための“ファイル”ボタン(401)、動作合成の諸設定を行うための“設定”ボタン(402)、動作合成処理を行うための“動作合成”ボタン(403)のほか“レポート”ボタン(404)、“管理”ボタン(405)、“ヘルプ”ボタン(406)が用意されている。
<GUI of behavioral synthesis device>
FIG. 4 is a view showing a part of the GUI displayed on the display device 105 of the behavioral synthesis device according to the embodiment of the present invention. In the figure, at the top of the screen (400) is a "file" button (401) for performing file input / output relations, end of behavioral synthesis, etc., and a "setting" button (402) for performing various behavioral synthesis settings. In addition to a “behavior synthesis” button (403) for performing behavioral synthesis processing, a “report” button (404), a “management” button (405), and a “help” button (406) are prepared.

さらに、中段には動作合成処理対象となるC言語動作レベル記述ファイル、出力ファイルであるHDL言語RTレベル記述ファイル、動作合成処理の前提となるクロック周波数、動作合成処理に使用する演算器ライブラリ、メモリのライブラリ、動作合成処理の制約となる演算器制約ファイル、メモリの各制約ファイルが表示されるようになっている(407参照)。   Further, in the middle stage, a C language behavior level description file to be subjected to behavioral synthesis processing, an HDL language RT level description file that is an output file, a clock frequency that is a prerequisite for behavioral synthesis processing, an arithmetic unit library used for behavioral synthesis processing, a memory Library, an arithmetic unit constraint file that is a constraint of behavioral synthesis processing, and memory constraint files are displayed (see 407).

また、下段には動作合成対象となるC言語動作レベル記述ファイルを表示するための“C表示”ボタン(408)、動作合成されたHDL言語RTレベル記述ファイルを表示するための“HDL表示”ボタン(409)が用意され、クリックすることにより各々ソースコードが表示されるようになっている。更に“合成オプション”ボタン(410)、“回路置換オプション”ボタン(411)、“回路登録オプション”ボタン(412)が用意され、各々詳細な設定ができるようになっている。   In the lower row, a “C display” button (408) for displaying a C language behavior level description file to be subjected to behavioral synthesis, and an “HDL display” button for displaying a behaviorally synthesized HDL language RT level description file. (409) is prepared, and each source code is displayed by clicking. Furthermore, a “synthesis option” button (410), a “circuit replacement option” button (411), and a “circuit registration option” button (412) are prepared so that detailed settings can be made.

図5は上述した“ファイル”ボタン(401)に含まれる内容の一部を示したものであり(501参照)、“合成対象ファイルを開く(O)...”を選択すると動作合成処理の対象となるファイル(C言語動作レベル記述ファイル)を特定するためのGUIが現われ、指示に沿って操作することにより動作合成処理の対象となるファイル(HDL言語RTレベル記述ファイル)を特定することができる。同様に“名前を付けて保存(A)...”を選択し、指示に沿って操作することにより出力ファイルを指定することができる。   FIG. 5 shows a part of the contents included in the “file” button (401) (see 501). When “Open synthesis target file (O)...” Is selected, the behavioral synthesis process is performed. A GUI for specifying a target file (C language behavior level description file) appears, and it is possible to specify a file (HDL language RT level description file) to be subjected to behavioral synthesis processing by operating according to the instruction. it can. Similarly, an output file can be specified by selecting “Save As (A)...” And performing operations according to instructions.

図6は上述した“設定”ボタン(402)に含まれる内容の一部を示したものであり(601参照)、“HDL選択”を選択し、指示に沿って操作することにより出力するHDL言語RTレベル記述ファイルを指定することができる。同様に“CLK周波数”を選択し、指示に沿って操作することにより動作合成処理の前提となるクロック周波数を指定することができる。さらに、“演算器ライブラリ”、“メモリライブラリ”では動作合成処理の前提となる演算器及びメモリのライブラリを指定でき、“演算器制約ファイル”、“メモリ制約ファイル”では動作合成処理の前提となる演算器及びメモリの制約ファイルを指定することができる。   FIG. 6 shows a part of the contents included in the above-mentioned “setting” button (402) (see 601). HDL language to be output by selecting “HDL selection” and operating in accordance with the instruction An RT level description file can be specified. Similarly, by selecting “CLK frequency” and operating in accordance with the instruction, it is possible to designate the clock frequency that is the premise of the behavioral synthesis process. Furthermore, the “arithmetic unit library” and “memory library” can specify the arithmetic unit and the memory library that are the premise of the behavioral synthesis process, and the “arithmetic unit constraint file” and “memory constraint file” are the premise of the behavioral synthesis process. An arithmetic unit and a memory constraint file can be specified.

図7は“ファイル”ボタン(401)において動作合成処理の対象となるC言語動作レベル記述ファイルと出力ファイルとを決定し、また、“設定”ボタン(402)によって出力するHDL言語RTレベル記述ファイルおよび動作合成処理の前提条件となるクロック周波数を決定し、画面中段に表示させた場合の一例を示している(407参照)。   FIG. 7 shows a C language behavior level description file and an output file to be subjected to behavioral synthesis processing in a “file” button (401), and an HDL language RT level description file output by a “setting” button (402). In addition, an example in which the clock frequency which is a precondition for the behavioral synthesis process is determined and displayed in the middle stage of the screen is shown (see 407).

図8は図4に示した画面(400)を介して動作合成処理を行った後、“C表示”ボタン(408)“HDL表示”ボタン(409)をクリックしたときに現われるポップアップウインドウの様子を示した図である(801は“C表示”ボタン408をクリックしたときに現れるポップアップウィンドウを、802は“HDL表示”ボタン409をクリックしたときに現れるポップアップウィンドウをそれぞれ表している)。ここではC言語で記述されているC言語動作レベル記述ファイルの一部(801の網掛け部分)を指定するとHDL言語で記述されているHDL言語RTレベル記述ファイルにおいて、対応する部分(802の網掛け部分)がハイライト表示され、逆にHDL言語で記述されているHDL言語RTレベル記述ファイルの一部(802の網掛け部分)を指定するとC言語で記述されているC言語動作レベル記述ファイルにおいて、対応する部分(801の網掛け部分)がハイライト表示されるようになっている。   FIG. 8 shows a pop-up window that appears when the “C display” button (408) and the “HDL display” button (409) are clicked after the behavioral synthesis process is performed via the screen (400) shown in FIG. (A reference numeral 801 represents a pop-up window that appears when the “Display C” button 408 is clicked, and a reference numeral 802 represents a pop-up window that appears when the “HDL display” button 409 is clicked). Here, if a part of the C language behavior level description file described in C language (the shaded part 801) is specified, the corresponding part (802 network in the HDL language RT level description file described in the HDL language). If a part of the HDL language RT level description file described in HDL language (802 shaded part) is specified, a C language behavior level description file described in C language is displayed. , The corresponding portion (shaded portion of 801) is highlighted.

図9、図10は、“動作合成”ボタン(403)が選択されることにより表示される動作合成ウィンドウの一部を示したものである。データベース108上に同一名称のHDL資産があった場合に自動的に回路置換する場合は、図9に示すようにウィンドウ902において“自動”をクリックすることにより、自動的に回路置換が行われる。   FIGS. 9 and 10 show a part of the behavior synthesis window displayed when the “behavior synthesis” button (403) is selected. When the circuit replacement is automatically performed when there is an HDL asset having the same name on the database 108, the circuit replacement is automatically performed by clicking “Auto” in the window 902 as shown in FIG.

一方、特定のfunctionで定義された関数のみデータベース108上のHDL資産によって置換したい場合は、図10に示すようにウィンドウ1002において“指定”をクリックし、サブウインドウ1003内に関数名(回路名)を記入することにより回路置換する関数を指定することができる。   On the other hand, when only the function defined by a specific function is to be replaced by the HDL asset on the database 108, as shown in FIG. 10, “Specify” is clicked in the window 1002, and the function name (circuit name) is displayed in the sub window 1003. A function for replacing a circuit can be specified by entering.

同様に、図11、図12は“動作合成”ボタン(403)が選択されていることにより表示される動作合成ウィンドウの一部を示したものである。本実施形態にかかる動作合成装置では、C言語により記述されたC言語動作レベル記述ファイルを動作合成処理して得られたHDL言語RTレベル記述ファイルをデータベース108に登録する際の登録方法を選択できるようになっており、図11のウィンドウ1102において“自動”をクリックすることにより、生成されたHDL言語RTレベル記述ファイル内の回路が自動的にデータベース108に登録される。   Similarly, FIGS. 11 and 12 show a part of the behavioral synthesis window displayed when the “behavioral synthesis” button (403) is selected. In the behavioral synthesis device according to the present embodiment, a registration method for registering the HDL language RT level description file obtained by behavioral synthesis processing of the C language behavior level description file described in C language in the database 108 can be selected. By clicking “Automatic” in the window 1102 of FIG. 11, the circuit in the generated HDL language RT level description file is automatically registered in the database 108.

また、ウィンドウ1102において“上書きしない”をクリックすることにより、生成されたHDL言語RTレベル記述ファイルと同一名称の回路がデータベース108にすでに存在している場合、新たに生成されたHDL言語RTレベル記述ファイルの回路はデータベース108に登録されない。   When a circuit having the same name as the generated HDL language RT level description file already exists in the database 108 by clicking “Do not overwrite” in the window 1102, a newly generated HDL language RT level description is generated. The file circuit is not registered in the database 108.

また、ウィンドウ1102において“指定”をクリックし、サブウインドウ1201に登録するHDL言語RTレベル記述ファイルの回路のみ記入すると、IP_A9のみ登録し、同時に生成されたIP_C3は登録されない。また、ウィンドウ1102において“上書きする”をクリックすることにより、仮に同一名称のHDL言語RTレベル記述ファイルの回路がデータベース108に存在した場合であっても、新たに生成された回路IP_A9がデータベース108に登録され、これまで登録されていた回路IP_A9は削除される。   Also, if “designation” is clicked in the window 1102 and only the circuit of the HDL language RT level description file to be registered in the sub-window 1201 is entered, only IP_A9 is registered, and simultaneously generated IP_C3 is not registered. Also, by clicking “Overwrite” in the window 1102, even if the circuit of the HDL language RT level description file with the same name exists in the database 108, the newly generated circuit IP_A9 is stored in the database 108. The circuit IP_A9 that has been registered and has been registered so far is deleted.

このように本実施形態ではC言語動作レベル記述ファイルの関数単位で、データベース内の回路による置換を行うか、動作合成装置による動作合成を行うかを、自動的に、または適宜指定により選択できるので、動作合成時間の短縮及び回路の最適化・小規模化が効率よく行うことが可能となる。また、回路置換に用いられる回路は実績がある回路であるため、検証負荷が大幅に低減できる。   As described above, according to the present embodiment, it is possible to automatically or appropriately specify whether the replacement by the circuit in the database or the behavioral synthesis by the behavioral synthesis device is performed for each function of the C language behavior level description file. Thus, it is possible to efficiently reduce the behavioral synthesis time and optimize / scale down the circuit. Moreover, since the circuit used for circuit replacement is a circuit with a track record, the verification load can be greatly reduced.

以上説明したように本実施形態では、C言語動作レベル記述ファイルに含まれる関数単位でデータベース内の回路による置換と動作合成装置による動作合成とを使い分けることにより、より実用的な回路を速くくみ上げることが可能になる。また、回路としての使用実績に応じて従来のHDL資産が生かせるので、データベースが充実するのに伴ってより効率よく最適な回路を得ることができ、回路設計期間の大幅な短縮が期待できる。   As described above, in the present embodiment, a more practical circuit can be quickly created by properly using replacement in the database and behavioral synthesis by the behavioral synthesis device for each function included in the C language behavior level description file. Is possible. In addition, since the conventional HDL assets can be utilized according to the use record as a circuit, an optimal circuit can be obtained more efficiently and the circuit design period can be greatly shortened as the database is enhanced.

[第2実施形態]
図13、図14は本発明の第2の実施形態にかかる動作合成装置のGUIを示した図である。これらの図が示すように本実施形態においては動作合成処理の際に回路パラメータの設定が選択できるよう構成されている。
[Second Embodiment]
13 and 14 are diagrams showing a GUI of the behavioral synthesis device according to the second embodiment of the present invention. As shown in these figures, the present embodiment is configured such that circuit parameter settings can be selected during the behavioral synthesis process.

図13に示すように、回路パラメータの設定が“自動”になっていた場合には、C言語動作レベル記述ファイルから回路パラメータが抽出されると、データベース108に登録されている回路の回路パラメータが自動的に設定されることとなっていた。   As shown in FIG. 13, when the circuit parameter setting is “automatic”, when the circuit parameter is extracted from the C language behavior level description file, the circuit parameter of the circuit registered in the database 108 is changed. It was supposed to be set automatically.

これに対して、図14に示すように回路パラメータの設定が“指定”になっていた場合には、各関数毎に回路パラメータを記入することにより(1401参照)、データベース108に登録されている回路の回路パラメータが設定されることとなる。   On the other hand, when the circuit parameter setting is “specified” as shown in FIG. 14, the circuit parameter is entered for each function (see 1401) and is registered in the database 108. The circuit parameters of the circuit are set.

図14においてIP_A3では回路パラメータであるコンポーネント数がcomp_num=2、入力データビット幅がdt_width=8となっており、この回路パラメータに対応した回路が生成される。   In FIG. 14, in IP_A3, the number of components which are circuit parameters is comp_num = 2 and the input data bit width is dt_width = 8, and a circuit corresponding to the circuit parameters is generated.

また、IP_B5では回路パラメータであるコンポーネント数がcomp_num=1、入力データビット幅がdt_width=10、係数がそれぞれcoeff0=4、coeff1=2、coeff2=−1となっており、この回路パラメータに対応した回路が生成される。   In IP_B5, the number of components, which are circuit parameters, is comp_num = 1, the input data bit width is dt_width = 10, and the coefficients are coeff0 = 4, coeff1 = 2, and coeff2 = −1, which correspond to this circuit parameter. A circuit is generated.

このように本実施形態では、C言語動作レベル記述ファイルの関数単位で回路パラメータを使って記述されている部分がHDL言語RTレベル記述ファイルに自動的に反映されるか、適宜指定により反映させるかを選択することができるので、回路パラメータを使って汎用的に記述された動作記述を使用したいシステムの要求に応じて最適な形で再利用することが可能となる。   As described above, in the present embodiment, whether the part described by using the circuit parameter in the function unit of the C language behavior level description file is automatically reflected in the HDL language RT level description file or is appropriately reflected by designation. Can be selected and reused in an optimum manner according to the requirements of the system that wants to use a behavioral description that is generally described using circuit parameters.

[他の実施形態]
なお、本発明は、複数の機器(例えばホストコンピュータ、インタフェイス機器、リーダ、プリンタなど)から構成されるシステムに適用しても、一つの機器からなる装置(例えば、複写機、ファクシミリ装置など)に適用してもよい。
[Other Embodiments]
Note that the present invention can be applied to a system including a plurality of devices (for example, a host computer, an interface device, a reader, and a printer), and a device (for example, a copying machine and a facsimile device) including a single device. You may apply to.

また、本発明の目的は、前述した実施形態の機能を実現するソフトウェアのプログラムコードを記録した記憶媒体を、システムあるいは装置に供給し、そのシステムあるいは装置のコンピュータ(またはCPUやMPU)が記憶媒体に格納されたプログラムコードを読出し実行することによっても、達成されることは言うまでもない。   Another object of the present invention is to supply a storage medium storing software program codes for implementing the functions of the above-described embodiments to a system or apparatus, and the computer (or CPU or MPU) of the system or apparatus stores the storage medium. Needless to say, this can also be achieved by reading and executing the program code stored in the.

この場合、記憶媒体から読出されたプログラムコード自体が前述した実施形態の機能を実現することになり、そのプログラムコードを記憶した記憶媒体は本発明を構成することになる。   In this case, the program code itself read from the storage medium realizes the functions of the above-described embodiments, and the storage medium storing the program code constitutes the present invention.

プログラムコードを供給するための記憶媒体としては、例えば、フロッピ(登録商標)ディスク、ハードディスク、光ディスク、光磁気ディスク、CD−ROM、CD−R、磁気テープ、不揮発性のメモリカード、ROMなどを用いることができる。   As a storage medium for supplying the program code, for example, a floppy (registered trademark) disk, hard disk, optical disk, magneto-optical disk, CD-ROM, CD-R, magnetic tape, nonvolatile memory card, ROM, or the like is used. be able to.

また、コンピュータが読出したプログラムコードを実行することにより、前述した実施形態の機能が実現されるだけでなく、そのプログラムコードの指示に基づき、コンピュータ上で稼働しているOS(オペレーティングシステム)などが実際の処理の一部または全部を行い、その処理によって前述した実施形態の機能が実現される場合も含まれることは言うまでもない。   Further, by executing the program code read by the computer, not only the functions of the above-described embodiments are realized, but also an OS (operating system) operating on the computer based on the instruction of the program code. It goes without saying that a case where the function of the above-described embodiment is realized by performing part or all of the actual processing and the processing is included.

さらに、記憶媒体から読出されたプログラムコードが、コンピュータに挿入された機能拡張ボードやコンピュータに接続された機能拡張ユニットに備わるメモリに書込まれた後、そのプログラムコードの指示に基づき、その機能拡張ボードや機能拡張ユニットに備わるCPUなどが実際の処理の一部または全部を行い、その処理によって前述した実施形態の機能が実現される場合も含まれることは言うまでもない。   Further, after the program code read from the storage medium is written into a memory provided in a function expansion board inserted into the computer or a function expansion unit connected to the computer, the function expansion is performed based on the instruction of the program code. It goes without saying that the CPU or the like provided in the board or the function expansion unit performs part or all of the actual processing, and the functions of the above-described embodiments are realized by the processing.

本発明の一実施形態にかかる動作合成装置(情報処理装置)の構成を示す図である。It is a figure which shows the structure of the behavioral synthesis apparatus (information processing apparatus) concerning one Embodiment of this invention. 本発明の一実施形態にかかる動作合成装置において、C言語で記述された動作記述ファイルからHDL言語で記述されたRTレベル記述ファイルを生成する動作合成処理の手順を示したフローチャートである。6 is a flowchart illustrating a procedure of behavioral synthesis processing for generating an RT level description file described in HDL language from a behavioral description file described in C language in the behavioral synthesis device according to the embodiment of the present invention. 記動作合成処理におけるC言語動作記述ファイル、RTレベル記述ファイル、データベースの関係を具体的に示した図である。It is the figure which showed concretely the relationship between the C language action description file, RT level description file, and database in the description action synthesis process. は本発明の一実施形態にかかる動作合成装置のGUIの一部を示した図である。FIG. 3 is a diagram showing a part of a GUI of a behavioral synthesis device according to an embodiment of the present invention. ファイルボタンに含まれる内容の一部を示した図である。It is the figure which showed a part of content contained in a file button. 設定ボタンに含まれる内容の一部を示した図である。It is the figure which showed a part of content contained in a setting button. ファイルボタンにおいて合成対象となるC言語動作記述ファイルと出力ファイルとを決定し、また、設定ボタンによって出力するRTレベル記述ファイルおよび動作合成の前提条件となるクロック周波数を決定し、画面中段に表示させた場合の一例を示す図である。The C language action description file and output file to be synthesized are determined by the file button, the RT level description file to be output by the setting button and the clock frequency which is a precondition for behavioral synthesis are determined and displayed in the middle of the screen. FIG. 図4に示した画面にて動作合成を行った後、C表示ボタン、HDL表示ボタンをクリックしたときに現われるポップアップウインドウの様子を示した図である。It is the figure which showed the mode of the pop-up window which appears when a C display button and an HDL display button are clicked after performing behavioral synthesis on the screen shown in FIG. 動作合成ボタンが選択されることにより表示される動作合成ウィンドウの一部を示した図である。It is the figure which showed a part of behavioral synthesis window displayed when a behavioral synthesis button is selected. 動作合成ボタンが選択されることにより表示される動作合成ウィンドウの一部を示した図である。It is the figure which showed a part of behavioral synthesis window displayed when a behavioral synthesis button is selected. 動作合成ボタンが選択されていることにより表示される動作合成ウィンドウの一部を示した図である。It is the figure which showed a part of behavioral synthesis window displayed when the behavioral synthesis button is selected. 動作合成ボタンが選択されていることにより表示される動作合成ウィンドウの一部を示した図である。It is the figure which showed a part of behavioral synthesis window displayed when the behavioral synthesis button is selected. 本発明の第2の実施形態にかかる動作合成装置のGUIを示した図である。It is the figure which showed GUI of the behavioral synthesis apparatus concerning the 2nd Embodiment of this invention. 本発明の第2の実施形態にかかる動作合成装置のGUIを示した図である。It is the figure which showed GUI of the behavioral synthesis apparatus concerning the 2nd Embodiment of this invention.

Claims (14)

所定の言語で記述されたファイルをHDL言語で記述されたレジスタ・トランスファ・レベルのファイルに変換する情報処理装置であって、
前記HDL言語で記述されたレジスタ・トランスファ・レベルの回路を記憶する記憶手段と、
前記所定の言語で記述されたファイルを読み込み、該ファイルに含まれる関数を抽出する抽出手段と、
前記抽出手段により抽出された関数に対応する、前記HDL言語で記述されたレジスタ・トランスファ・レベルの回路が前記記憶手段に記憶されているか否かを判断する判断手段と、
前記判断手段により、前記記憶手段に記憶されていると判断された場合には、該記憶手段に記憶されていた回路を用いて、前記HDL言語で記述されたレジスタ・トランスファ・レベルのファイルを生成し、前記判断手段により、前記記憶手段に記憶されていないと判断された場合には、前記抽出手段により抽出された関数を、HDL言語で記述されたレジスタ・トランスファ・レベルの回路に変換することにより、前記HDL言語で記述されたレジスタ・トランスファ・レベルのファイルを生成する生成手段と
を備えることを特徴とする情報処理装置。
An information processing apparatus for converting a file described in a predetermined language into a register transfer level file described in an HDL language,
Storage means for storing a register transfer level circuit described in the HDL language;
An extraction means for reading a file described in the predetermined language and extracting a function included in the file;
Determining means for determining whether or not a register transfer level circuit described in the HDL language corresponding to the function extracted by the extracting means is stored in the storage means;
If the determination means determines that the data is stored in the storage means, a register transfer level file described in the HDL language is generated using the circuit stored in the storage means. If the determination means determines that the function is not stored in the storage means, the function extracted by the extraction means is converted into a register transfer level circuit described in the HDL language. An information processing apparatus comprising: generating means for generating a register transfer level file described in the HDL language.
前記判断手段は、
前記抽出手段により抽出された関数の名称と同一の名称を有する回路が前記記憶手段に記憶されているか否かにより判断することを特徴とする請求項1に記載の情報処理装置。
The determination means includes
2. The information processing apparatus according to claim 1, wherein the determination is made based on whether or not a circuit having the same name as the name of the function extracted by the extraction unit is stored in the storage unit.
所定の関数を指定する第1の指定手段を更に備え、前記生成手段は、前記判断手段により、前記記憶手段に記憶されていると判断された場合であって、かつ、該第1の指定手段により指定された関数に対応する場合に、前記記憶手段に記憶されていた回路を用いて、前記HDL言語で記述されたレジスタ・トランスファ・レベルのファイルを生成することを特徴とする請求項1に記載の情報処理装置。 First specifying means for specifying a predetermined function is further provided, and the generating means is a case where the determining means determines that the function is stored in the storage means, and the first specifying means The register transfer level file described in the HDL language is generated by using a circuit stored in the storage means when the function specified by the function (1) is supported. The information processing apparatus described. 前記生成手段において、前記抽出手段により抽出された関数を、HDL言語で記述されたレジスタ・トランスファ・レベルの回路に変換されることにより、前記HDL言語で記述されたレジスタ・トランスファ・レベルのファイルが生成された場合、該変換されたレジスタ・トランスファ・レベルの回路を、前記記憶手段に登録する登録手段を更に備えることを特徴とする請求項1に記載の情報処理装置。 In the generating means, the function extracted by the extracting means is converted into a register transfer level circuit described in the HDL language, so that a register transfer level file described in the HDL language is obtained. The information processing apparatus according to claim 1, further comprising: a registering unit that registers the converted register transfer level circuit in the storage unit when it is generated. 所定の関数を指定する第2の指定手段を更に備え、前記登録手段は、前記変換されたレジスタ・トランスファ・レベルの回路のうち、該第2の指定手段において指定された関数に対応する回路について前記記憶手段に登録することを特徴とする請求項4に記載の情報処理装置。 Second register means for designating a predetermined function is further provided, wherein the registering means is a circuit corresponding to the function designated by the second designate means among the converted register transfer level circuits. The information processing apparatus according to claim 4, wherein the information processing apparatus is registered in the storage unit. 前記所定の言語で記述されたファイルと、前記生成手段により生成された前記HDL言語で記述されたレジスタ・トランスファ・レベルのファイルとを表示する表示手段を更に備え、
前記表示手段は、前記所定の言語で記述されたファイルに含まれる関数と、前記HDL言語で記述されたレジスタ・トランスファ・レベルのファイルに含まれる回路との対応関係を明示可能であることを特徴とする請求項1に記載の情報処理装置。
A display unit for displaying the file described in the predetermined language and the register transfer level file described in the HDL language generated by the generation unit;
The display means can clearly indicate a correspondence relationship between a function included in a file described in the predetermined language and a circuit included in a register transfer level file described in the HDL language. The information processing apparatus according to claim 1.
所定の言語で記述されたファイルをHDL言語で記述されたレジスタ・トランスファ・レベルのファイルに変換する情報処理方法であって、
前記HDL言語で記述されたレジスタ・トランスファ・レベルの回路を記憶手段に記憶する記憶工程と、
前記所定の言語で記述されたファイルを読み込み、該ファイルに含まれる関数を抽出する抽出工程と、
前記抽出工程により抽出された関数に対応する、前記HDL言語で記述されたレジスタ・トランスファ・レベルの回路が前記記憶手段に記憶されているか否かを判断する判断工程と、
前記判断工程により、前記記憶手段に記憶されていると判断された場合には、該記憶手段に記憶されていた回路を用いて、前記HDL言語で記述されたレジスタ・トランスファ・レベルのファイルを生成し、前記判断工程により、前記記憶手段に記憶されていないと判断された場合には、前記抽出工程により抽出された関数を、HDL言語で記述されたレジスタ・トランスファ・レベルの回路に変換することにより、前記HDL言語で記述されたレジスタ・トランスファ・レベルのファイルを生成する生成工程と
を備えることを特徴とする情報処理方法。
An information processing method for converting a file described in a predetermined language into a register transfer level file described in an HDL language,
A storage step of storing a register transfer level circuit described in the HDL language in a storage means;
An extraction step of reading a file described in the predetermined language and extracting a function included in the file;
A determination step of determining whether or not a register transfer level circuit described in the HDL language corresponding to the function extracted in the extraction step is stored in the storage unit;
If it is determined by the determination step that the data is stored in the storage unit, a register transfer level file written in the HDL language is generated using the circuit stored in the storage unit. When the determination step determines that the function is not stored in the storage unit, the function extracted by the extraction step is converted into a register transfer level circuit described in the HDL language. And a generating step of generating a register transfer level file described in the HDL language.
前記判断工程は、
前記抽出工程により抽出された関数の名称と同一の名称を有する回路が前記記憶手段に記憶されているか否かにより判断することを特徴とする請求項7に記載の情報処理方法。
The determination step includes
8. The information processing method according to claim 7, wherein the determination is made based on whether or not a circuit having the same name as the name of the function extracted in the extraction step is stored in the storage unit.
所定の関数を指定する第1の指定工程を更に備え、前記生成工程は、前記判断工程により、前記記憶手段に記憶されていると判断された場合であって、かつ、該第1の指定工程により指定された関数に対応する場合に、前記記憶手段に記憶されていた回路を用いて、前記HDL言語で記述されたレジスタ・トランスファ・レベルのファイルを生成することを特徴とする請求項7に記載の情報処理方法。 A first designating step of designating a predetermined function, wherein the generating step is a case where it is judged by the judging step that the data is stored in the storage means, and the first designating step; The register transfer level file described in the HDL language is generated by using the circuit stored in the storage means when the function specified by the function (1) is supported. The information processing method described. 前記生成工程において、前記抽出工程により抽出された関数を、HDL言語で記述されたレジスタ・トランスファ・レベルの回路に変換されることにより、前記HDL言語で記述されたレジスタ・トランスファ・レベルのファイルが生成された場合、該変換されたレジスタ・トランスファ・レベルの回路を、前記記憶手段に登録する登録工程を更に備えることを特徴とする請求項7に記載の情報処理方法。 In the generation step, the function extracted in the extraction step is converted into a register transfer level circuit described in the HDL language, so that a register transfer level file described in the HDL language is obtained. 8. The information processing method according to claim 7, further comprising a registration step of registering the converted register transfer level circuit in the storage means when it is generated. 所定の関数を指定する第2の指定工程を更に備え、前記登録工程は、前記変換されたレジスタ・トランスファ・レベルの回路のうち、該第2の指定工程において指定された関数に対応する回路について前記記憶手段に登録することを特徴とする請求項10に記載の情報処理方法。 A second designating step for designating a predetermined function, wherein the registering step is for a circuit corresponding to the function designated in the second designating step among the converted register transfer level circuits; The information processing method according to claim 10, wherein the information processing method is registered in the storage unit. 前記所定の言語で記述されたファイルと、前記生成工程により生成された前記HDL言語で記述されたレジスタ・トランスファ・レベルのファイルとを表示する表示工程を更に備え、
前記表示工程は、前記所定の言語で記述されたファイルに含まれる関数と、前記HDL言語で記述されたレジスタ・トランスファ・レベルのファイルに含まれる回路との対応関係を明示可能であることを特徴とする請求項7に記載の情報処理方法。
A display step of displaying the file described in the predetermined language and the register transfer level file described in the HDL language generated by the generation step;
In the display step, a correspondence relationship between a function included in the file described in the predetermined language and a circuit included in the register transfer level file described in the HDL language can be clearly indicated. The information processing method according to claim 7.
請求項7乃至12のいずれか1項に記載の情報処理方法をコンピュータによって実現させるための制御プログラムを格納した記憶媒体。 A storage medium storing a control program for realizing the information processing method according to any one of claims 7 to 12 by a computer. 請求項7乃至12のいずれか1項に記載の情報処理方法をコンピュータによって実現させるための制御プログラム。 A control program for realizing the information processing method according to any one of claims 7 to 12 by a computer.
JP2004360497A 2004-12-13 2004-12-13 Information processor, information processing method, program and storage medium Withdrawn JP2006171913A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2004360497A JP2006171913A (en) 2004-12-13 2004-12-13 Information processor, information processing method, program and storage medium

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2004360497A JP2006171913A (en) 2004-12-13 2004-12-13 Information processor, information processing method, program and storage medium

Publications (1)

Publication Number Publication Date
JP2006171913A true JP2006171913A (en) 2006-06-29

Family

ID=36672628

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004360497A Withdrawn JP2006171913A (en) 2004-12-13 2004-12-13 Information processor, information processing method, program and storage medium

Country Status (1)

Country Link
JP (1) JP2006171913A (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2010110194A1 (en) * 2009-03-24 2010-09-30 日本電気株式会社 Motion synthesis device, motion synthesis method, and program
JP2013164847A (en) * 2012-02-09 2013-08-22 Altera Corp Configuration of programmable device using high-level language
US9507899B2 (en) 2014-03-06 2016-11-29 Samsung Electronics Co., Ltd. System and method for register transfer level autointegration using spread sheet and computer readable recording medium thereof
US10366189B2 (en) 2012-02-09 2019-07-30 Altera Corporation Configuring a programmable device using high-level language

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2010110194A1 (en) * 2009-03-24 2010-09-30 日本電気株式会社 Motion synthesis device, motion synthesis method, and program
JP5692063B2 (en) * 2009-03-24 2015-04-01 日本電気株式会社 Behavioral synthesis apparatus, behavioral synthesis method, and program
JP2013164847A (en) * 2012-02-09 2013-08-22 Altera Corp Configuration of programmable device using high-level language
JP2018028919A (en) * 2012-02-09 2018-02-22 アルテラ コーポレイションAltera Corporation Configuring programmable device using high-level language
US10366189B2 (en) 2012-02-09 2019-07-30 Altera Corporation Configuring a programmable device using high-level language
US9507899B2 (en) 2014-03-06 2016-11-29 Samsung Electronics Co., Ltd. System and method for register transfer level autointegration using spread sheet and computer readable recording medium thereof

Similar Documents

Publication Publication Date Title
US7793208B2 (en) Video editing matched to musical beats
US8826224B2 (en) Apparatus and method for supporting model-driven development
US7367013B2 (en) Method for integrating Linux kernel and application software packages and generating installation file list based on CML2 computer language
US8196093B2 (en) Apparatus and method for componentizing legacy system
JP5157534B2 (en) Behavioral synthesis apparatus and program
CN116501415B (en) Command execution method and device, electronic equipment and computer readable storage medium
JP5233355B2 (en) Property generation system and property verification system
JP2006171913A (en) Information processor, information processing method, program and storage medium
US6915512B1 (en) Software editing with indication of format and processing state of each process of the software
JP5228546B2 (en) Behavioral synthesis apparatus and program
JP2007011467A (en) Method and device for automatically generating assertion description
JP2006268165A (en) Timing analyzing device for integrated circuit, timing optimizing device for integrated circuit, timing analyzing method for integrated circuit, timing optimizing method for integrated circuit, method for manufacturing integrated circuit board, control program and readable recording medium
JP2006293436A (en) Program code generation device and generation method
JP2004362343A (en) Source code conversion apparatus, source code conversion method, and program
JP2018005315A (en) Regulation conversion support apparatus and regulation conversion support program
JP2006172113A (en) High level synthesis apparatus, automatic high level synthesis method and high level synthesis program
JP2010026695A (en) Business process execution method, business process execution device and business process execution program
JP2009053767A (en) Program analysis device and program analysis method
JP2006259805A (en) Processor design equipment, processor design method, and processor design program
WO2024078000A1 (en) Code management method and related device
JP5267376B2 (en) Behavioral synthesis apparatus, behavioral synthesis method, and program
JP7008780B2 (en) State transition editing device and state transition editing program
KR100836218B1 (en) The method and apparatus for automation to manage features of product line system
JP2009276911A (en) Software asset reuse support program
JP2010140139A (en) Method of assisting in designing circuit, program, and recording medium

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20080304