JP2006024609A - Semiconductor device and its manufacturing method - Google Patents

Semiconductor device and its manufacturing method Download PDF

Info

Publication number
JP2006024609A
JP2006024609A JP2004198990A JP2004198990A JP2006024609A JP 2006024609 A JP2006024609 A JP 2006024609A JP 2004198990 A JP2004198990 A JP 2004198990A JP 2004198990 A JP2004198990 A JP 2004198990A JP 2006024609 A JP2006024609 A JP 2006024609A
Authority
JP
Japan
Prior art keywords
silicon nitride
nitride film
film
semiconductor device
nitrogen concentration
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2004198990A
Other languages
Japanese (ja)
Other versions
JP4876375B2 (en
Inventor
Harumi Ikeda
晴美 池田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sony Corp
Original Assignee
Sony Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sony Corp filed Critical Sony Corp
Priority to JP2004198990A priority Critical patent/JP4876375B2/en
Publication of JP2006024609A publication Critical patent/JP2006024609A/en
Application granted granted Critical
Publication of JP4876375B2 publication Critical patent/JP4876375B2/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Formation Of Insulating Films (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

<P>PROBLEM TO BE SOLVED: To provide a semiconductor device, wherein a film can be formed without influencing the base by suppressing thermal load, as much as possible and the transistor characteristic can be improved by covering a MOS transistor, by such a silicon nitride film having appropriate film quality that can fully maintain the tensile stress and prevent generation of particles, and to provide its manufacturing method. <P>SOLUTION: The semiconductor device is provided with a silicon nitride film 13 that is formed on the surface side of a semiconductor substrate 1, in a manner of cover a MOS transistor 11. In the silicon nitride film 13, the concentration of nitrogen in the boundary layers on its both sides is set higher than that at the central part. The concentration thereof is preferably higher than the stoichiometric composition. <P>COPYRIGHT: (C)2006,JPO&NCIPI

Description

本発明は半導体装置およびその製造方法に関し、特には電解効果トランジスタを覆う窒化シリコン膜を備えた半導体装置およびその製造方法に関する。   The present invention relates to a semiconductor device and a manufacturing method thereof, and more particularly to a semiconductor device including a silicon nitride film covering a field effect transistor and a manufacturing method thereof.

MOSトランジスタに代表される電解効果トランジスタ(以下、MOSトランジスタと記す)を備えた半導体装置の製造工程においては、窒化シリコン膜をエッチングストッパとして用いたドライエッチングが行われている。例えば、半導体基板の表面側にゲート絶縁膜を介してゲート電極を形成し、当該ゲート電極脇の半導体基板の表面層にソース/ドレイン拡散層を形成した後、これらを覆う状態でエッチングストッパとなる窒化シリコン膜が形成される。次に、この窒化シリコン膜上に酸化シリコンからなる層間絶縁膜が形成される。その後、上記の窒化シリコ膜をエッチングストッパとして層間絶縁膜をドライエッチングすることにより、ソース/ドレイン拡散層やゲート電極に達する接続孔を形成する。   In a manufacturing process of a semiconductor device provided with a field effect transistor represented by a MOS transistor (hereinafter referred to as a MOS transistor), dry etching using a silicon nitride film as an etching stopper is performed. For example, a gate electrode is formed on the surface side of a semiconductor substrate via a gate insulating film, a source / drain diffusion layer is formed on the surface layer of the semiconductor substrate beside the gate electrode, and then an etching stopper is formed so as to cover them. A silicon nitride film is formed. Next, an interlayer insulating film made of silicon oxide is formed on the silicon nitride film. Thereafter, the interlayer insulating film is dry-etched using the above silicon nitride film as an etching stopper, thereby forming connection holes reaching the source / drain diffusion layers and the gate electrode.

以上の製造工程においては、エッチングストッパとなる窒化シリコン膜を形成する際に、高い反応ガスの圧力下で短時間に窒化シリコン膜を形成し、さらに成膜した窒化シリコン膜に高い引っ張り応力が生じるようにする。これにより、MOSトランジスタの基本性能の1つであるオン電流の向上が容易になると共に、ゲート電極の空乏化を完全に防止できるようになる(以上、下記特許文献1参照)。   In the above manufacturing process, when a silicon nitride film to be an etching stopper is formed, the silicon nitride film is formed in a short time under a high reaction gas pressure, and a high tensile stress is generated in the formed silicon nitride film. Like that. This facilitates improvement of on-current, which is one of the basic performances of MOS transistors, and can completely prevent depletion of the gate electrode (see Patent Document 1 below).

特開2002−198368号公報。JP 2002-198368 A.

ところで、一般的に、MOSトランジスタを覆う窒化シリコン膜に高い引っ張り応力を生じさせ、またこの引っ張り応力を維持するためには、窒化シリコン膜中における窒素濃度を高く保つことが有効である。そして、窒素濃度の高い窒化シリコン膜を形成するためには、成膜ガス中におけるアンモニアガス(NH3)の流量を増加させることが一般的である。しかしながら、アンモニアガス(NH3)の流量を増加させることにより、成膜雰囲気中おけるパーティクル数が増大するため、窒素濃度が十分に高くかつパーティクルを含有しない良質な窒化シリコン膜を得ることは困難であった。 In general, it is effective to maintain a high nitrogen concentration in the silicon nitride film in order to generate a high tensile stress in the silicon nitride film covering the MOS transistor and to maintain this tensile stress. In order to form a silicon nitride film having a high nitrogen concentration, it is common to increase the flow rate of ammonia gas (NH 3 ) in the deposition gas. However, increasing the flow rate of ammonia gas (NH 3 ) increases the number of particles in the deposition atmosphere, so it is difficult to obtain a high-quality silicon nitride film that has a sufficiently high nitrogen concentration and does not contain particles. there were.

さらに、窒化シリコン膜の成膜においては、膜中における窒素濃度を高くする程、成膜速度が低下する。したがって、窒素濃度の高い窒化シリコン膜を形成することは、生産性を低下させる要因となる。   Furthermore, in the formation of a silicon nitride film, the film formation rate decreases as the nitrogen concentration in the film increases. Therefore, forming a silicon nitride film having a high nitrogen concentration is a factor that reduces productivity.

また、半導体装置の製造工程においては、既に形成されている下地部材の性能を確保するために、プロセスの低温化が望まれている。例えば、ゲート電極やソース/ドレイン拡散層の表面層がシリサイド層で構成されている場合には、シリサイド層形成後のプロセスを低温プロセスとすることにより、シリサイド層を低抵抗に保つ必要がある。しかしながら、より窒素濃度の高い窒化シリコン膜を形成するには、高い成膜温度が必要がとなる。この熱負荷は、下地部材の性能の低下を防止すること、例えばシリサイド層の抵抗値を低抵抗に維持することを困難にするため、熱負荷の増加量を最小にする必要がある。   Moreover, in the manufacturing process of a semiconductor device, in order to ensure the performance of the already formed base member, it is desired to lower the process temperature. For example, when the surface layer of the gate electrode or the source / drain diffusion layer is formed of a silicide layer, it is necessary to keep the silicide layer at a low resistance by making the process after forming the silicide layer a low temperature process. However, in order to form a silicon nitride film having a higher nitrogen concentration, a high film formation temperature is required. This thermal load makes it difficult to prevent deterioration of the performance of the base member, for example, to maintain the resistance value of the silicide layer at a low resistance, and therefore, it is necessary to minimize the increase in the thermal load.

さらに、上述したプロセスの低温化の要求にともない、窒化シリコン膜上に形成する酸化シリコンからなる層間絶縁膜も低温プロセスによって形成した場合、層間絶縁膜が多量の水分(水酸基)を含有するものとなる。これにより、層間絶縁膜中の水分が窒化シリコン膜に供給され、窒化シリコン膜の酸化が進行して圧縮応力が発生し、この結果として窒化シリコン膜における引っ張り応力を低下させる懸念がある。   Furthermore, when the interlayer insulating film made of silicon oxide formed on the silicon nitride film is also formed by the low temperature process in accordance with the above-mentioned demand for lowering the process temperature, the interlayer insulating film contains a large amount of moisture (hydroxyl group). Become. As a result, moisture in the interlayer insulating film is supplied to the silicon nitride film, oxidation of the silicon nitride film proceeds, and compressive stress is generated. As a result, there is a concern that tensile stress in the silicon nitride film is reduced.

そこで本発明は、熱負荷をより小さく抑えて下地への影響なく成膜可能であると共に、十分に引っ張り応力を維持可能でかつパーティクルの発生を抑えた膜質良好な窒化シリコン膜によってMOSトランジスタを覆うことにより、トランジスタ特性の向上を図ることが可能な半導体装置を提供すること、およびこの半導体装置の製造方法を提供することを目的とする。   Therefore, in the present invention, the MOS transistor is covered with a silicon nitride film having a good film quality in which the film can be formed without any influence on the substrate by reducing the thermal load and the tensile stress can be sufficiently maintained and the generation of particles is suppressed. Accordingly, an object of the present invention is to provide a semiconductor device capable of improving transistor characteristics and to provide a method for manufacturing the semiconductor device.

このような目的を達成するための本発明の半導体装置は、半導体基板の表面側に形成されたMOSトランジスタ(電界効果トランジスタ)を覆う状態で窒化シリコン膜が設けられた半導体装置において、窒化シリコン膜の窒素濃度が、中央部よりも両側の界面層において高くなっていることを特徴としている。   In order to achieve such an object, a semiconductor device according to the present invention includes a silicon nitride film provided in a semiconductor device provided with a silicon nitride film so as to cover a MOS transistor (field effect transistor) formed on the surface side of a semiconductor substrate. The nitrogen concentration is higher in the interface layer on both sides than in the central portion.

そして本発明の半導体装置の製造方法は、このような構成の半導体装置を製造する方法であり、窒化シリコン膜を形成する工程では、両側の界面層における窒素濃度が中央部分の窒素濃度よりも高くなるように、窒素含有ガスの供給量を調整した成膜が行われることを特徴としている。このような窒化シリコン膜の形成工程は、例えば熱CVD法、原子層蒸着法、または窒化シリコン膜の成膜処理とプラズマ窒化処理との繰り返しによって行われる。   The semiconductor device manufacturing method of the present invention is a method for manufacturing a semiconductor device having such a structure. In the step of forming the silicon nitride film, the nitrogen concentration in the interface layer on both sides is higher than the nitrogen concentration in the central portion. As described above, film formation is performed by adjusting the supply amount of the nitrogen-containing gas. Such a silicon nitride film formation step is performed by, for example, thermal CVD, atomic layer deposition, or repetition of silicon nitride film formation and plasma nitridation.

このような構成の半導体装置およびその製造方法では、両側の界面層の窒素濃度が中央部よりも高い窒化シリコン膜でMOSトランジスタが覆われる。このため、MOSトランジスタ側の界面層の窒素濃度を十分に高く設定することで、窒化シリコン膜に高い引っ張り応力が生じる。一方、これと反対側(上面側)の界面層の窒素濃度を十分に高く設定することで、窒化シリコン膜の上方から酸素などが浸入した場合であっても、窒化シリコン膜内の窒素濃度が高く維持され、窒化シリコン膜に生じさせた引っ張り応力が持続される。そして、これらの界面層に挟まれた中央部の窒素濃度が低く設定されているため、全層にわたって窒素濃度が高い窒化シリコン膜と比較して、例えば熱CVD法では、より短時間で成膜されたものとされ、成膜に要する熱負荷が抑えられると共に、窒素含有ガスの供給量が抑えられてパーティクル発生の少ない環境で成膜されたものとされる。また、例えば原子層蒸着法、または窒化シリコン膜の成膜処理とプラズマ窒化処理との繰り返しでは全層にわたって窒素濃度が高い窒化シリコン膜を形成するのと比較して、より短時間で生産性を確保して成膜されたものとされる。   In the semiconductor device having such a configuration and the manufacturing method thereof, the MOS transistor is covered with the silicon nitride film in which the nitrogen concentration in the interface layer on both sides is higher than that in the central portion. For this reason, by setting the nitrogen concentration in the interface layer on the MOS transistor side sufficiently high, a high tensile stress is generated in the silicon nitride film. On the other hand, by setting the nitrogen concentration in the interface layer on the opposite side (upper surface side) sufficiently high, the nitrogen concentration in the silicon nitride film can be reduced even when oxygen enters from above the silicon nitride film. The tensile stress generated in the silicon nitride film is maintained at a high level. And since the nitrogen concentration in the central part sandwiched between these interface layers is set low, compared with a silicon nitride film having a high nitrogen concentration throughout the entire layer, for example, in the thermal CVD method, the film is formed in a shorter time. It is assumed that the film is formed in an environment in which the heat load required for film formation is suppressed and the supply amount of the nitrogen-containing gas is suppressed to generate less particles. Further, for example, by repeating atomic layer deposition or silicon nitride film formation and plasma nitridation, productivity can be reduced in a shorter time than when a silicon nitride film having a high nitrogen concentration is formed in all layers. It is assumed that the film was formed while being secured.

以上説明したように、本発明の半導体装置およびその製造方法によれば、熱負荷をより小さく抑えて下地への影響なく成膜可能であると共に、パーティクルの発生を抑えた環境で成膜されたことにより膜質が良好で、かつ十分に引っ張り応力を維持可能な窒化シリコン膜によってMOSトランジスタを覆うことが可能となる。この結果、トランジスタ特性の向上を図ることが可能になる。また、MOSトランジスタの製造時間の短縮化により半導体装置の生産性の向上を図ることが可能になる。   As described above, according to the semiconductor device and the manufacturing method thereof of the present invention, the film can be formed in an environment in which the thermal load is reduced and the influence on the ground can be suppressed and the generation of particles is suppressed. As a result, the MOS transistor can be covered with a silicon nitride film having good film quality and capable of maintaining sufficient tensile stress. As a result, transistor characteristics can be improved. Further, it becomes possible to improve the productivity of the semiconductor device by shortening the manufacturing time of the MOS transistor.

次に、本発明の実施の形態を、半導体装置の製造方法から順に、図1の断面工程面に基づいて詳細に説明する。   Next, embodiments of the present invention will be described in detail based on the cross-sectional process surface of FIG.

先ず、図1(1)に示すように、単結晶シリコンからなる半導体基板1上に、ゲート絶縁膜3を介してゲート電極5を形成し、これらの側壁に絶縁性のサイドウォール7を形成する。しかる後、ゲート電極5およびサイドウォール7をマスクにした不純物導入により、半導体基板1の表面層にソース/ドレイン領域9を形成する。その後、ここでの図示は省略したが、ソース/ドレイン領域9の表面層、およびゲート電極5の表面層に、コンタクト抵抗を低減するためのシリサイド層を形成する。以上により、半導体基板1の表面側にMOSトランジスタ11を形成する。   First, as shown in FIG. 1A, a gate electrode 5 is formed on a semiconductor substrate 1 made of single crystal silicon via a gate insulating film 3, and insulating sidewalls 7 are formed on these sidewalls. . Thereafter, the source / drain regions 9 are formed in the surface layer of the semiconductor substrate 1 by introducing impurities using the gate electrode 5 and the sidewalls 7 as a mask. Thereafter, although not shown here, a silicide layer for reducing contact resistance is formed on the surface layer of the source / drain region 9 and the surface layer of the gate electrode 5. As described above, the MOS transistor 11 is formed on the surface side of the semiconductor substrate 1.

その後、図1(2)に示すように、半導体基板1の上部に、MOSトランジスタ11を覆う状態で窒化シリコン膜13を形成する。この窒化シリコン膜13は、深さ方向に窒素濃度が分布を有して形成されることとする。ただしこの濃度分布は、図2の深さ方向における窒素濃度の分布に示すように、50μm程度の膜厚の窒化シリコン膜における両側の界面層における窒素濃度が中央部分の窒素濃度よりも高いこととする。   Thereafter, as shown in FIG. 1B, a silicon nitride film 13 is formed on the semiconductor substrate 1 so as to cover the MOS transistor 11. The silicon nitride film 13 is formed with a nitrogen concentration distribution in the depth direction. However, as shown in the distribution of nitrogen concentration in the depth direction in FIG. 2, this concentration distribution is such that the nitrogen concentration in the interface layer on both sides of the silicon nitride film having a thickness of about 50 μm is higher than the nitrogen concentration in the central portion. To do.

また、両側界面層における窒素濃度は、高いほど好ましく、さらに好ましくは化学量論的組成であるSi34よりも窒素濃度が高いこととする。 Further, the higher the nitrogen concentration in the both-side interface layer, the more preferable it is, and it is more preferable that the nitrogen concentration is higher than Si 3 N 4 which is the stoichiometric composition.

またこれらの界面層に狭持された中央部分の窒素濃度は、窒化シリコン膜13の成膜プロセスにおける熱負荷が、下地に影響を与えることのない程度に成膜時間を短く抑えられる範囲で高いことが好ましい。さらに中央部分の窒素濃度は、窒化シリコン膜13の成膜プロセスにおいて用いられるアンモニアガス(NH3)に由来するパーティクルの発生が、窒化シリコン膜13の膜質の低下を妨げない程度に抑えられる範囲で高いことが好ましい。また、この窒化シリコン膜13は、酸素濃度が十分に低いこととし、酸素の濃度は1×1020個/cm3よりも低いこととする。 Further, the nitrogen concentration in the central portion sandwiched between these interface layers is high in a range in which the film formation time can be kept short enough that the thermal load in the film formation process of the silicon nitride film 13 does not affect the base. It is preferable. Further, the nitrogen concentration in the central portion is within a range in which generation of particles derived from ammonia gas (NH 3 ) used in the film forming process of the silicon nitride film 13 is suppressed to such an extent that deterioration of the film quality of the silicon nitride film 13 is not hindered. High is preferred. The silicon nitride film 13 has a sufficiently low oxygen concentration, and the oxygen concentration is lower than 1 × 10 20 pieces / cm 3 .

このような窒素濃度の分布を有する窒化シリコン膜13は、窒素含有ガスの供給量を調整した以下の成膜方法によって形成される。尚ここでは、3種類の成膜方法を具体的に説明する。   The silicon nitride film 13 having such a nitrogen concentration distribution is formed by the following film forming method in which the supply amount of the nitrogen-containing gas is adjusted. Here, three types of film forming methods will be specifically described.

第1に、熱CVD法によって上述した窒素の濃度分布を有する窒化シリコン膜13を形成する場合には、次のように行う。   First, when the silicon nitride film 13 having the above-described nitrogen concentration distribution is formed by a thermal CVD method, it is performed as follows.

すなわち、熱CVD法による窒化シリコン膜13の成膜は、シリコンを含有する反応ガスと窒素を含有する反応ガスとを、成膜雰囲気内に供給して行われる。シリコンを含有する反応ガスとしては、シラン(SiH4)、ジシラン(Si26)、ジクロロジシラン(SiH2Cl2)、ヘキサクロロジシラン(Si2Cl6)などのシラン系ガスが用いられる。また、窒素を含有する反応ガスとしてはアンモニアガス(NH3)が用いられる。 That is, the formation of the silicon nitride film 13 by the thermal CVD method is performed by supplying a reaction gas containing silicon and a reaction gas containing nitrogen into the film formation atmosphere. As the reaction gas containing silicon, silane-based gases such as silane (SiH 4 ), disilane (Si 2 H 6 ), dichlorodisilane (SiH 2 Cl 2 ), and hexachlorodisilane (Si 2 Cl 6 ) are used. Further, ammonia gas (NH 3 ) is used as the reaction gas containing nitrogen.

そして、特に本実施形態における窒化シリコン膜13の熱CVD法による成膜においては、成膜の1stステップでは、窒素を含有する反応ガスであるアンモニアガス(NH3)の流量を、シリコンを含有する反応ガスであるシラン系ガスの流量よりも充分に大きく設定した成膜を行う。続いて2ndステップでは、1stステップよりもアンモニアガス(NH3)の流量を低下させて通常の流量比での成膜を行う。その後3rdステップでは、2ndステップよりもアンモニアガス(NH3)の流量を上昇させ、1stステップと同様にアンモニアガス(NH3)の流量をシラン系ガスの流量よりも充分に大きく設定した成膜を行う。 Particularly in the film formation of the silicon nitride film 13 by the thermal CVD method in the present embodiment, in the first step of film formation, the flow rate of ammonia gas (NH 3 ), which is a reaction gas containing nitrogen, is contained in silicon. Film formation is performed with a flow rate sufficiently higher than the flow rate of the silane-based gas that is a reaction gas. Subsequently, in the 2nd step, film formation is performed at a normal flow rate ratio by lowering the flow rate of ammonia gas (NH 3 ) than in the 1st step. Thereafter, in the 3rd step, the flow rate of the ammonia gas (NH 3 ) is increased more than in the 2nd step, and the film formation in which the flow rate of the ammonia gas (NH 3 ) is set sufficiently larger than the flow rate of the silane-based gas is performed as in the 1st step. Do.

以上のようなアンモニアガス(NH3)の流量比を変化させた3ステップでの熱CVD成膜により、図2のような窒素濃度の分布を有する窒化シリコン膜13を得る。 A silicon nitride film 13 having a nitrogen concentration distribution as shown in FIG. 2 is obtained by thermal CVD film formation in three steps with the flow rate ratio of ammonia gas (NH 3 ) changed as described above.

第2に、原子層蒸着法(Atomic Layer Deposition:ALD法)によって上述した窒素濃度の分布を有する窒化シリコン膜13を形成する場合には、次のように行う。   Second, when the silicon nitride film 13 having the nitrogen concentration distribution described above is formed by atomic layer deposition (ALD), the following process is performed.

すなわち、原子層蒸着法による窒化シリコン膜13の成膜は、シリコンを含有する反応ガスを供給することで処理表面にSi含有反応物を化学的に吸着させる工程と、窒素を含有する反応ガスを供給することで処理表面に窒素含有反応物を化学的に吸着させる工程との繰り返しによって行われる。   That is, the formation of the silicon nitride film 13 by the atomic layer deposition method includes a step of chemically adsorbing a Si-containing reactant on the processing surface by supplying a reaction gas containing silicon, and a reaction gas containing nitrogen. This is performed by repeating the step of chemically adsorbing the nitrogen-containing reactant on the treatment surface.

シリコンを含有する反応ガスとしては、上述したシラン系ガスの他、テトラクロロシラン(SiCl4)、テトラキスジメチルアミノシラン(Si[N(CH324)、テトラキスジエチルアミノシラン(Si[N(C2524)、テトラキス1メトキシ2メチル2プロポキシシラン(Si[OC(CH32CH2OCH34)、トリスジメチルアミノシラン(HSi[N(CH323)、トリスジエチルアミノシラン(HSi[N(C2523)などが用いられる。また、窒素を含有する反応ガスとしてはアンモニアガス(NH3)が用いられる。これらの反応ガスは、アルゴン(Ar)などのキャリアガスと共に所定の流量比で供給される。 As the reaction gas containing silicon, other aforementioned silane-based gas, tetrachlorosilane (SiCl4), tetrakis (dimethylamino) silane (Si [N (CH 3) 2] 4), tetrakis (diethylamino) silane (Si [N (C 2 H 5 ) 2 ] 4 ), tetrakis 1 methoxy 2 methyl 2 propoxy silane (Si [OC (CH 3 ) 2 CH 2 OCH 3 ] 4 ), trisdimethylaminosilane (HSi [N (CH 3 ) 2 ] 3 ), trisdiethylamino Silane (HSi [N (C 2 H 5 ) 2 ] 3 ) or the like is used. Further, ammonia gas (NH 3 ) is used as the reaction gas containing nitrogen. These reaction gases are supplied at a predetermined flow rate ratio together with a carrier gas such as argon (Ar).

そして、特に本実施形態における窒化シリコン膜13の原子層蒸着法による成膜においては、成膜の1stステップでは、キャリアガスに対するアンモニアガス(NH3)の流量比を充分に大きく設定して、上記工程を繰り返し行う。続いて2ndステップでは、1stステップよりもアンモニアガス(NH3)の流量比を低下させた通常の流量比として上記工程を繰り返し行う。その後3rdステップでは、2ndステップよりもキャリアガスに対するアンモニアガス(NH3)の流量比を上昇させ、1stステップと同様に上記工程を繰り返し行う。 In particular, in the film formation by atomic layer deposition of the silicon nitride film 13 in the present embodiment, in the first step of film formation, the flow rate ratio of ammonia gas (NH 3 ) to the carrier gas is set to be sufficiently large, Repeat the process. Subsequently, in the 2nd step, the above process is repeated with a normal flow rate ratio in which the flow rate ratio of ammonia gas (NH 3 ) is lower than in the 1st step. Thereafter, in the 3rd step, the flow rate ratio of ammonia gas (NH 3 ) to the carrier gas is increased more than in the 2nd step, and the above process is repeated as in the 1st step.

以上のようなアンモニアガス(NH3)の流量比を変化させた3ステップでの原子層蒸着法により、図2のような窒素濃度の分布を有する窒化シリコン膜13を得る。 The silicon nitride film 13 having the nitrogen concentration distribution as shown in FIG. 2 is obtained by the atomic layer deposition method in three steps in which the flow rate ratio of ammonia gas (NH 3 ) is changed as described above.

第3に、プラズマ窒化処理を用いて上述した窒素濃度の分布を有する窒化シリコン膜13を形成する場合には、次のように行う。   Third, when the silicon nitride film 13 having the above-described nitrogen concentration distribution is formed by plasma nitriding, the following process is performed.

すなわち、プラズマ窒化処理を用いた窒化シリコン膜13の成膜は、シリコン膜の成膜処理と、成膜したシリコン膜のプラズマ窒化処理との繰り返しによって行われる。シリコン膜の成膜処理は、上述したシラン系ガスを用いたCVD法によって行われる。また、プラズマ窒化処理は、窒素含有ガスのプラズマ雰囲気にシリコン膜を晒すことによって行われる。   That is, the film formation of the silicon nitride film 13 using the plasma nitriding process is performed by repeating the silicon film forming process and the plasma nitriding process of the formed silicon film. The silicon film is formed by the CVD method using the silane-based gas described above. Further, the plasma nitriding treatment is performed by exposing the silicon film to a plasma atmosphere of a nitrogen-containing gas.

このような成膜方法においても、繰り返し工程中のプラズマ窒化処理における窒素含有ガスの流量比を3ステップ変化させることにより、図2のような窒素濃度の分布を有する窒化シリコン膜13を得る。   Also in such a film forming method, the silicon nitride film 13 having the nitrogen concentration distribution as shown in FIG. 2 is obtained by changing the flow rate ratio of the nitrogen-containing gas in the plasma nitriding process in the repetitive process by three steps.

また、このような窒素濃度の分布を有する窒化シリコン膜13の成膜は、上述した3つの成膜方法以外の方法で行われても良く、窒素イオン注入や、窒素プラズマドーピングを用いた手法で行っても良い。窒素イオン注入を行う場合には、予め所定膜厚に形成したシリコン膜に対して、両側の界面層に相当する深さにおいて窒素濃度が高くなるように加速電圧を調整した窒素イオン注入を行う。窒素プラズマドーピングを行う場合には、上述した窒素プラズマ処理を用いた方法において、窒素プラズマ処理を窒素プラズマドーピングに置き換えた成膜を行う。   In addition, the silicon nitride film 13 having such a nitrogen concentration distribution may be formed by a method other than the three film forming methods described above, or by a method using nitrogen ion implantation or nitrogen plasma doping. You can go. When nitrogen ion implantation is performed, nitrogen ion implantation is performed by adjusting the acceleration voltage so that the nitrogen concentration becomes higher at a depth corresponding to the interface layer on both sides of a silicon film formed in advance to a predetermined thickness. In the case of performing nitrogen plasma doping, film formation is performed by replacing nitrogen plasma treatment with nitrogen plasma doping in the above-described method using nitrogen plasma treatment.

以上説明した何れかの手法によって、上述した窒素濃度の分布を有する窒化シリコン膜13を形成した後には、図1(3)に示すように、窒化シリコン膜13上に層間絶縁膜15を形成する。ここでは、例えばCVD法によって酸化シリコンからなる層間絶縁膜15を形成することとする。この層間絶縁膜15の成膜は低温プロセスにて行うことが好ましい。そして、この層間絶縁膜15を形成した後には、層間絶縁膜15の改質のためのアニール処理を行う。このアニール処理は、600℃以下の低温プロセスで行われることとする。   After the silicon nitride film 13 having the above-described nitrogen concentration distribution is formed by any of the methods described above, an interlayer insulating film 15 is formed on the silicon nitride film 13 as shown in FIG. . Here, for example, the interlayer insulating film 15 made of silicon oxide is formed by a CVD method. This interlayer insulating film 15 is preferably formed by a low temperature process. Then, after the interlayer insulating film 15 is formed, an annealing process for modifying the interlayer insulating film 15 is performed. This annealing process is performed at a low temperature process of 600 ° C. or lower.

その後、ここでの図示は省略したが、窒化シリコン膜13をストッパに用いて層間絶縁膜15をパターンエッチングし、MOSトランジスタ11のソース/ドレイン領域9に達する接続孔を形成する。   After that, although not shown here, the interlayer insulating film 15 is pattern-etched using the silicon nitride film 13 as a stopper to form a connection hole reaching the source / drain region 9 of the MOS transistor 11.

以上により、両側の界面層における窒素濃度が中央部分の窒素濃度よりも十分に高い窒化シリコン膜13によってMOSトランジスタ11が覆われた半導体装置が得られる。   As described above, a semiconductor device in which the MOS transistor 11 is covered with the silicon nitride film 13 in which the nitrogen concentration in the interface layer on both sides is sufficiently higher than the nitrogen concentration in the central portion is obtained.

上述した構成の半導体装置およびその製造方法では、窒化シリコン膜13の特にMOSトランジスタ11側に接する界面層の窒素濃度が十分高いため、窒化シリコン膜13に高い引っ張り応力が生じる。また、両側の界面層の窒素濃度は、例えば化学量論的組成よりも高いため、これらの界面側から酸素が浸入した場合であっても、窒化シリコン膜13内の窒素濃度をある程度に高く保つことができる。具体的には、本実施形態においては、窒化シリコン膜13上に低温プロセスで酸化シリコンからなる層間絶縁膜15を形成しているため、この層間絶縁膜15は水分が多く含まれたものになる。そして、層間絶縁膜15を形成した後には、その膜質改善のためのアニール処理を行うため、このアニール処理においては、層間絶縁膜15から脱離した水分や窒化シリコン膜13の成膜前に半導体基板1側に付着していた水分が窒化シリコン膜13内に侵入し易い。しかしながら、上述したように界面層の窒素濃度が十分に(化学的量論組成よりも)高いため、水分が浸入して窒化シリコン膜13が酸化された場合であっても、窒化シリコン膜13内における窒素濃度を高く保つことが可能なのである。したがって、窒化シリコン膜13における高く安定した引っ張り応力を維持することができ、特にnMOSトランジスタにおける特性の向上を図ることができる。   In the semiconductor device having the above-described configuration and the manufacturing method thereof, a high tensile stress is generated in the silicon nitride film 13 because the nitrogen concentration of the interface layer in contact with the silicon nitride film 13 particularly on the MOS transistor 11 side is sufficiently high. Further, since the nitrogen concentration in the interface layer on both sides is higher than, for example, the stoichiometric composition, the nitrogen concentration in the silicon nitride film 13 is kept high to some extent even when oxygen enters from these interface sides. be able to. Specifically, in this embodiment, since the interlayer insulating film 15 made of silicon oxide is formed on the silicon nitride film 13 by a low temperature process, the interlayer insulating film 15 contains a lot of moisture. . Then, after the interlayer insulating film 15 is formed, an annealing process is performed to improve the film quality. In this annealing process, the moisture desorbed from the interlayer insulating film 15 and the semiconductor before the formation of the silicon nitride film 13 are formed. Moisture adhering to the substrate 1 side easily enters the silicon nitride film 13. However, as described above, since the nitrogen concentration in the interface layer is sufficiently high (than the stoichiometric composition), even when the silicon nitride film 13 is oxidized due to moisture intrusion, It is possible to keep the nitrogen concentration at high. Therefore, a high and stable tensile stress in the silicon nitride film 13 can be maintained, and in particular, the characteristics of the nMOS transistor can be improved.

しかも、この窒化シリコン膜13においては、これらの界面層に挟まれた中央部の窒素濃度が低く設定されているため、全層にわたって窒素濃度が高い窒化シリコン膜と比較して、より短時間で成膜することが可能になり、生産性の向上が図られる。またこれと共に成膜に要する熱負荷が抑えられるため、既に形成されている下地部材への熱負荷の影響を小さく抑えることが可能であり、活性層の不活性化やシリサイド層の高抵抗化を抑えることができる。   In addition, in the silicon nitride film 13, since the nitrogen concentration in the central portion sandwiched between these interface layers is set low, the silicon nitride film 13 can be formed in a shorter time than the silicon nitride film having a high nitrogen concentration throughout the entire layer. A film can be formed, and productivity can be improved. At the same time, the thermal load required for film formation can be suppressed, so that the influence of the thermal load on the already formed base member can be reduced, and the inactivation of the active layer and the high resistance of the silicide layer can be reduced. Can be suppressed.

また、窒化シリコン膜13における中央部の窒素濃度が低く設定されていることにより、窒化シリコン膜13を形成する際の窒素含有ガスの全体的な供給量が抑えられ、パーティクル発生の少ない環境で成膜することが可能になり、全体として膜質の良好な窒化シリコン膜13を得ることができる。   In addition, since the nitrogen concentration in the central portion of the silicon nitride film 13 is set low, the overall supply amount of the nitrogen-containing gas when forming the silicon nitride film 13 is suppressed, and this is achieved in an environment where the generation of particles is small. Therefore, the silicon nitride film 13 having a good film quality as a whole can be obtained.

以上の結果、熱負荷をより小さく抑えて下地への影響なく成膜可能であると共に、パーティクルの発生を抑えた環境で成膜されたことにより膜質が良好でかつ十分に引っ張り応力を維持可能な窒化シリコン膜によって、MOSトランジスタを覆うことが可能となる。この結果、トランジスタ特性の向上を図ることが可能になる。   As a result of the above, it is possible to form a film without affecting the substrate by reducing the thermal load, and the film is formed in an environment where the generation of particles is suppressed, so that the film quality is good and sufficient tensile stress can be maintained. The silicon nitride film can cover the MOS transistor. As a result, transistor characteristics can be improved.

実施形態の製造方法を説明する製造工程図である。It is a manufacturing-process figure explaining the manufacturing method of embodiment. 窒化シリコン膜の深さ方向における窒素濃度の分布を示す図である。It is a figure which shows distribution of the nitrogen concentration in the depth direction of a silicon nitride film.

符号の説明Explanation of symbols

1…半導体基板、11…MOSトランジスタ(電界効果トランジスタ)、13…窒化シリコン膜   DESCRIPTION OF SYMBOLS 1 ... Semiconductor substrate, 11 ... MOS transistor (field effect transistor), 13 ... Silicon nitride film

Claims (6)

半導体基板の表面側に形成された電界効果トランジスタを覆う状態で窒化シリコン膜が設けられた半導体装置において、
前記窒化シリコン膜は、両側の界面層における窒素濃度が中央部分の窒素濃度よりも高い
ことを特徴とする半導体装置。
In a semiconductor device provided with a silicon nitride film in a state of covering a field effect transistor formed on the surface side of a semiconductor substrate,
In the semiconductor device, the silicon nitride film has a nitrogen concentration in the interface layer on both sides higher than the nitrogen concentration in the central portion.
請求項1記載の半導体装置において、
前記窒化シリコン膜の界面層における窒素濃度は、化学量論的組成よりも高い
ことを特徴とする半導体装置。
The semiconductor device according to claim 1,
A semiconductor device, wherein a nitrogen concentration in an interface layer of the silicon nitride film is higher than a stoichiometric composition.
半導体基板の表面側に電界効果トランジスタを形成する工程と、当該電界効果トランジスタを覆う状態で窒化シリコン膜を形成する工程とを備えた半導体装置の製造方法であって、
前記窒化シリコン膜を形成する工程では、両側の界面層における窒素濃度が、中央部分の窒素濃度よりも高くなるように窒素含有ガスの供給量を調整した成膜が行われる
ことを特徴とする半導体装置の製造方法。
A method of manufacturing a semiconductor device, comprising: a step of forming a field effect transistor on a surface side of a semiconductor substrate; and a step of forming a silicon nitride film so as to cover the field effect transistor,
In the step of forming the silicon nitride film, the film is formed by adjusting the supply amount of the nitrogen-containing gas so that the nitrogen concentration in the interface layer on both sides is higher than the nitrogen concentration in the central portion. Device manufacturing method.
請求項3記載の半導体装置の製造方法において、
前記窒化シリコン膜を形成する工程は熱CVD法によって行われる
ことを特徴とする半導体装置の製造方法。
In the manufacturing method of the semiconductor device according to claim 3,
The method of manufacturing a semiconductor device, wherein the step of forming the silicon nitride film is performed by a thermal CVD method.
請求項3記載の半導体装置の製造方法において、
前記窒化シリコン膜を形成する工程は原子層蒸着法によって行われる
ことを特徴とする半導体装置の製造方法。
In the manufacturing method of the semiconductor device according to claim 3,
The method of manufacturing a semiconductor device, wherein the step of forming the silicon nitride film is performed by an atomic layer deposition method.
請求項3記載の半導体装置の製造方法において、
前記窒化シリコン膜を形成する工程は、シリコン膜の成膜処理と当該シリコン膜のプラズマ窒化処理との繰り返しによって行われる
ことを特徴とする半導体装置の製造方法。


In the manufacturing method of the semiconductor device according to claim 3,
The method of manufacturing a semiconductor device, wherein the step of forming the silicon nitride film is performed by repeating a silicon film forming process and a plasma nitriding process of the silicon film.


JP2004198990A 2004-07-06 2004-07-06 Semiconductor device and manufacturing method thereof Expired - Fee Related JP4876375B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2004198990A JP4876375B2 (en) 2004-07-06 2004-07-06 Semiconductor device and manufacturing method thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2004198990A JP4876375B2 (en) 2004-07-06 2004-07-06 Semiconductor device and manufacturing method thereof

Publications (2)

Publication Number Publication Date
JP2006024609A true JP2006024609A (en) 2006-01-26
JP4876375B2 JP4876375B2 (en) 2012-02-15

Family

ID=35797696

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004198990A Expired - Fee Related JP4876375B2 (en) 2004-07-06 2004-07-06 Semiconductor device and manufacturing method thereof

Country Status (1)

Country Link
JP (1) JP4876375B2 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2007142239A1 (en) * 2006-06-08 2007-12-13 Nec Corporation Semiconductor device
KR100807597B1 (en) 2006-09-28 2008-02-28 주식회사 하이닉스반도체 Method for fabricating semiconductor device
JP2008218661A (en) * 2007-03-02 2008-09-18 Fujitsu Ltd Field-effect semiconductor device and manufacturing method therefor

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07142730A (en) * 1993-05-31 1995-06-02 Sgs Thomson Microelettronica Spa Boundary adhesion method between insulation materials
JP2000340561A (en) * 1999-05-25 2000-12-08 Tokyo Electron Ltd Method for forming film
JP2002198368A (en) * 2000-12-26 2002-07-12 Nec Corp Method for fabricating semiconductor device
JP2002252229A (en) * 2001-02-16 2002-09-06 Applied Materials Inc Method and apparatus for forming nitride film
JP2003086708A (en) * 2000-12-08 2003-03-20 Hitachi Ltd Semiconductor device and manufacturing method thereof
JP2005510872A (en) * 2001-11-26 2005-04-21 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド Method for forming an oxynitride spacer for a metal gate electrode using a PECVD process in a silicon-deficient atmosphere

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07142730A (en) * 1993-05-31 1995-06-02 Sgs Thomson Microelettronica Spa Boundary adhesion method between insulation materials
JP2000340561A (en) * 1999-05-25 2000-12-08 Tokyo Electron Ltd Method for forming film
JP2003086708A (en) * 2000-12-08 2003-03-20 Hitachi Ltd Semiconductor device and manufacturing method thereof
JP2002198368A (en) * 2000-12-26 2002-07-12 Nec Corp Method for fabricating semiconductor device
JP2002252229A (en) * 2001-02-16 2002-09-06 Applied Materials Inc Method and apparatus for forming nitride film
JP2005510872A (en) * 2001-11-26 2005-04-21 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド Method for forming an oxynitride spacer for a metal gate electrode using a PECVD process in a silicon-deficient atmosphere

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2007142239A1 (en) * 2006-06-08 2007-12-13 Nec Corporation Semiconductor device
US9577095B2 (en) 2006-06-08 2017-02-21 Renesas Electronics Corporation Semiconductor device
KR100807597B1 (en) 2006-09-28 2008-02-28 주식회사 하이닉스반도체 Method for fabricating semiconductor device
JP2008218661A (en) * 2007-03-02 2008-09-18 Fujitsu Ltd Field-effect semiconductor device and manufacturing method therefor

Also Published As

Publication number Publication date
JP4876375B2 (en) 2012-02-15

Similar Documents

Publication Publication Date Title
JP5145672B2 (en) Manufacturing method of semiconductor device
CN103069552B (en) Mos transistors including sion gate dielectric with enhanced nitrogen concentration at its sidewalls
CN100459065C (en) Silicon nitride film and manufacturing method thereof
US7528424B2 (en) Integrated circuitry
KR100839359B1 (en) Method for manufacturing pmos transistor and method for manufacturing cmos transistor
JP2007281181A (en) Process for fabricating semiconductor device
KR20110095456A (en) Transistor and method of manufacturing the same
KR20130047594A (en) Method of forming silicon oxide film
JP2004153066A (en) Method of manufacturing semiconductor device
JP4876375B2 (en) Semiconductor device and manufacturing method thereof
JP2002222941A (en) Mis semiconductor device and manufacturing method therefor
JP2007157866A (en) Method for forming film and manufacturing method for semiconductor device
US7358198B2 (en) Semiconductor device and method for fabricating same
JP2008010881A (en) Method for manufacturing semiconductor device
JP2003264285A (en) Semiconductor device and its manufacturing method
WO2021241449A1 (en) Semiconductor device
KR100935719B1 (en) Method for fabricating dual gate in semicomdutor device
US20110008938A1 (en) Thin film and method for manufacturing semiconductor device using the thin film
JP5141321B2 (en) Manufacturing method of semiconductor device
JP2006054382A (en) Metallic silicate film, manufacturing method thereof, semiconductor device, and manufacturing method thereof
JP2006352162A (en) Method of manufacturing semiconductor device
JP2008041825A (en) Method of manufacturing semiconductor device
KR100445058B1 (en) Method for forming gate oxide in semiconductor device
JP2009081371A (en) Method of manufacturing semiconductor device
KR20200073452A (en) A Method of Silicon Insulating Film Deposition at Low Temperature

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070405

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090108

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20091009

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20091029

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110215

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110328

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110802

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110926

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20111101

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20111114

FPAY Renewal fee payment (prs date is renewal date of database)

Free format text: PAYMENT UNTIL: 20141209

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees