JP2006005072A - Substrate carrying and keeping container and its using method - Google Patents

Substrate carrying and keeping container and its using method Download PDF

Info

Publication number
JP2006005072A
JP2006005072A JP2004178299A JP2004178299A JP2006005072A JP 2006005072 A JP2006005072 A JP 2006005072A JP 2004178299 A JP2004178299 A JP 2004178299A JP 2004178299 A JP2004178299 A JP 2004178299A JP 2006005072 A JP2006005072 A JP 2006005072A
Authority
JP
Japan
Prior art keywords
container
substrate
door
opening
fan
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2004178299A
Other languages
Japanese (ja)
Inventor
Tomonori Ohashi
知範 大橋
Hideto Shimoyoshi
秀人 下吉
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Ebara Corp
Sanyo Electric Co Ltd
Original Assignee
Ebara Corp
Sanyo Electric Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ebara Corp, Sanyo Electric Co Ltd filed Critical Ebara Corp
Priority to JP2004178299A priority Critical patent/JP2006005072A/en
Publication of JP2006005072A publication Critical patent/JP2006005072A/en
Pending legal-status Critical Current

Links

Images

Landscapes

  • Packaging Frangible Articles (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

<P>PROBLEM TO BE SOLVED: To provide a substrate carrying and keeping container which does not cause any air current and does not suck any contaminated particles when a fan is operated at the time of opening the container by the latching operation performed for fixing the container, and to provide a method of using the container. <P>SOLUTION: The substrate carrying and keeping container houses substrates and, at the same time, is constituted of a container main body having an opening for carrying out and in the substrates and a door which can open and close the opening. The container is provided with an environmental box having a means which contains the fan and forms an air current, a locking mechanism which locks the door, and a sensor which detects the opening and closing locking operation of the locking mechanism. The sensor is attached to the claw of the locking mechanism of the container, and detects the locked state of the door. Before the substrate carrying and keeping container is opened, the operation of the fan contained in the environmental box is stopped by transmitting a stop signal to the fan before the unlocking operation of the locking mechanism is started. <P>COPYRIGHT: (C)2006,JPO&NCIPI

Description

本発明は、半導体ウェハ、フォトマスク又はハードディスク等の被処理物を極めて清浄度の高い雰囲気下で保管又は搬送するのに使用して好適な基板搬送保管容器のドアと容器本体(Pod)のロック状態を検知するセンシング機構を備えた基板搬送保管容器に関し、特に、前記基板搬送保管容器(ポッド)のロック機構に関する。   INDUSTRIAL APPLICABILITY According to the present invention, a door of a substrate transport storage container and a lock of a container body (Pod) suitable for use in storing or transporting a workpiece such as a semiconductor wafer, a photomask or a hard disk in an extremely clean atmosphere. More particularly, the present invention relates to a lock mechanism for the substrate transport storage container (pod).

半導体ウェハ、或いは液晶デバイス用のガラス基板等を保管又は搬送する場合には、塵埃等の微粒子やガス状汚染物質が基板に付着すると、基板が汚染され、デバイスの製造歩留まりの低下につながる。このため、半導体ウェハやガラス基板等の高清浄度を要求される基板を保管・搬送するには、微粒子等の汚染物質やガス状汚染物質の存在しない清浄空間を作りだし、その空間内に基板を収納し、保管・搬送することが必要である。
このような半導体ウェハや磁気ディスク等の基板の搬送・保管の場合に基板を収容する清浄空間を作るため、ファンモータとHEPA(high efficiency particle air)フィルタやULPA(ultra low penetration air)フィルタを搭載した基板搬送保管容器等が開発されている。このような基板搬送保管容器においては、更にガス状汚染物質の悪影響を避けるため、ケミカルフィルタ等のガス状不純物の除去フィルタが配置されている。また、湿度を低減するために乾燥剤等の湿度除去手段が配置されている。
When storing or transporting a semiconductor wafer, a glass substrate for a liquid crystal device, or the like, if fine particles such as dust or gaseous contaminants adhere to the substrate, the substrate is contaminated, leading to a decrease in device manufacturing yield. For this reason, in order to store and transport substrates that require high cleanliness, such as semiconductor wafers and glass substrates, create a clean space free of particulates and other contaminants and gaseous contaminants, and place the substrate in that space. It is necessary to store, store and transport.
Equipped with a fan motor, HEPA (high efficiency particulate air) filter, and ULPA (ultra low penetration air) filter to create a clean space to accommodate the substrate when transporting and storing the substrate such as a semiconductor wafer or magnetic disk. Substrate transport and storage containers have been developed. In such a substrate transport and storage container, a gaseous impurity removal filter such as a chemical filter is disposed in order to further avoid the adverse effects of gaseous pollutants. Further, a humidity removing means such as a desiccant is disposed to reduce the humidity.

作業者による人為的なミスや、作業者から発生する微量のアンモニアや有機物による半導体ウェハ等の基板の汚染を防止するには、基板の取り扱い空間から作業者を遠ざけることが有効であり、その手段として自動化設備の導入がある。この自動化設備に対応した基板搬送容器は、例えば、図3(a)に示すようにSMIF(Standard Mechanical InterFace)容器(Pod)や、図3(b)に示すようにFOUP(Front Opening Unified Pod)があり、この搬送容器を所定の位置に位置決めし、外部からドアを開閉するためのドアオープナや、自動搬送するための搬送装置と合わせて使用する。SMIF容器(Pod)やFOUPは密閉容器であり、容器外の清浄度、即ちクリーンルームの清浄度を緩くすることができる。   In order to prevent human mistakes caused by workers and contamination of substrates such as semiconductor wafers by trace amounts of ammonia and organic substances generated by workers, it is effective to keep workers away from the substrate handling space. There is an introduction of automation equipment. The substrate transfer container corresponding to this automation equipment is, for example, a SMIF (Standard Mechanical Interface) container (Pod) as shown in FIG. 3 (a), or a FOUP (Front Opening Unified Pod) as shown in FIG. 3 (b). This transport container is positioned at a predetermined position and used together with a door opener for opening and closing the door from the outside and a transport device for automatic transport. The SMIF container (Pod) and FOUP are sealed containers, and the cleanliness outside the container, that is, cleanliness of the clean room can be relaxed.

上記したように、半導体ウェハや磁気ディスク等の基板の搬送・保管には基板搬送保管容器が用いられているが、前記ウェハ搬送保管容器(基板搬送保管容器)には下記のような問題点が存在する。
(1)基板搬送保管容器は容器(ポッド)とドアから構成されており、両者はツメ状のロック機構により固定できるようになっているが、ロック状態か否かを検知する機構は有していない。
(2)環境ボックスのドア開閉検知の機構は、図5に示すようなドア上及びポッドに取り付けたマグネットセンサ15を用いているが、この場合、ポッド(容器)とドアがセンサの重なりの分だけ開いた位置でセンサ検知を行うことになる。
「特許文献1」には、空気清浄器及び/又は除湿機を備え、基板を収納するための基板搬送保管容器において、ドア及び/又は容器に検知センサを持ち、ドアが開放している時に、空気清浄器及び/又は除湿機の運転を停止することを特徴とした空気清浄器及び/又は除湿機を備えた基板搬送容器が記載されている。
As described above, a substrate transfer storage container is used for transferring and storing a substrate such as a semiconductor wafer or a magnetic disk. However, the wafer transfer storage container (substrate transfer storage container) has the following problems. Exists.
(1) The substrate transport and storage container is composed of a container (pod) and a door, and both can be fixed by a claw-shaped locking mechanism, but has a mechanism for detecting whether or not the locked state is present. Absent.
(2) The door opening / closing detection mechanism of the environmental box uses the magnet sensor 15 mounted on the door and the pod as shown in FIG. 5. In this case, the pod (container) and the door overlap each other. Sensor detection is performed only at the open position.
Patent Document 1” includes an air purifier and / or a dehumidifier, and has a detection sensor in a door and / or container in a substrate transport storage container for storing a substrate, and when the door is open, A substrate transport container equipped with an air purifier and / or a dehumidifier characterized by stopping the operation of the air purifier and / or dehumidifier is described.

(3)しかし、ドアを開けるとき上記センサによって、開いた状態を検知しファンを止めることが出来るが、図5に示すように常用されているセンサでは、ポッド(容器)のドアが開く前(事前)にファンを停止させることが出来ないため、ドアを開けた瞬間にファンの運転によって発生する気流によるパーティクルの吸込み、舞い上げを発生する懸念がある。これは図5に示すように、センサでドアの開放を検知する前にポッド(容器)とドアのシールが効かなくなってしまうからである。
なお、図4の基板搬送容器では気流の流れがファンからフィルタ、ウェハの間を通過した気体が、容器の左右内壁面に沿ってファンに戻る例を示している。
特開2002−261159号公報、第2頁
(3) However, when the door is opened, the above sensor can detect the open state and stop the fan. However, as shown in FIG. Since the fan cannot be stopped in advance, there is a concern that particles may be sucked or swollen by airflow generated by the operation of the fan at the moment when the door is opened. This is because the seal between the pod (container) and the door becomes ineffective before the sensor detects the opening of the door, as shown in FIG.
In the substrate transfer container of FIG. 4, an example is shown in which the flow of airflow from the fan to the filter and the wafer returns to the fan along the left and right inner wall surfaces of the container.
JP 2002-261159, page 2

従って、本発明の課題は、ウェハ搬送保管容器(基板搬送保管容器)において、従来にない形式の、ドアと容器(Pod)のロック状態を検知する機構を提供しようとするものである。ウェハ搬送保管容器(基板搬送保管容器)を開ける際は外部雰囲気の巻込みがないように容器内循環気流がないことが望ましく、容器(Pod)固定用のラッチ動作を検知することによって容器を開ける前にファンの運転を停止させることができ、容器を開けたとき気流が発生せず、パーティクルの吸込み、舞い上げを生じない基板搬送保管容器(以下基板搬送容器と言う)及びその運転方法を提供することを課題とするものである。
また、今後、半導体製品の需要の多様化により、DRAMやMPUのような少品種大量生産する製品よりもシステムLSIのような多品種少量生産する製品が占める割合が増えていくことが予想されため、半導体処理プロセスを容易に且つ、迅速に組替えることが可能な設備が必要になってくるが、本発明は自動化された半導体製造工場において、多品種少量生産する半導体チップも含めた半導体デバイスの製造等に用いて好適な基板搬送容器、及びその使用方法を提供することを目的とする。
Accordingly, an object of the present invention is to provide a mechanism for detecting a locked state of a door and a container (Pod) in a wafer transport / storage container (substrate transport / storage container), which has an unprecedented type. When opening a wafer transfer storage container (substrate transfer storage container), it is desirable that there is no circulating airflow in the container so that no external atmosphere is involved, and the container is opened by detecting a latch operation for fixing the container (Pod). Providing a substrate transport storage container (hereinafter referred to as a substrate transport container) that does not generate airflow when the container is opened, and that does not suck particles or lift up, and its operation method. It is an object to do.
In addition, as the demand for semiconductor products diversifies in the future, it is expected that the proportion of products produced in small quantities and large quantities such as DRAMs and MPUs will increase from products produced in small quantities and quantities such as system LSIs. However, there is a need for equipment capable of easily and quickly rearranging semiconductor processing processes. However, the present invention is an automated semiconductor manufacturing factory for semiconductor devices including semiconductor chips for high-mix low-volume production. It is an object of the present invention to provide a substrate transport container suitable for use in production or the like and a method for using the same.

本発明は、下記(1)〜(5)の手段により上記の課題を解決した。
(1)基板を内部に収容すると共に基板搬出入用の開口部を持った容器本体と該開口部を開閉可能なドアとで構成される基板搬送保管容器において、該基板搬送保管容器は、ファンを内蔵し気流を形成する手段を有する環境ボックスと、前記ドアをロックするロック機構とを備え、前記ロック機構の開閉ロック動作を検知するセンサを設けたことを特徴とする基板搬送保管容器。
(2)該センサが前記基板搬送保管容器のロック機構のツメに取り付けられ、前記センサによりロック状態を検知することを特徴とする前記(1)に記載の基板搬送保管容器。
(3)該環境ボックスが少なくとも粒子状汚染物質除去フィルタ、ガス状不純物捕捉フィルタ、ファンモータ、ファン及び除湿器ユニットを備えていることを特徴とする前記(1)又は(2)に記載の基板搬送保管容器。
(4)前記ロック機構のロックの解除動作が開始される前に前記環境ボックスのファンに停止信号が送信されて、基板搬送保管容器が開く前に環境ボックスのファンの運転が停止されることを特徴とする前記(1)〜(3)のいずれか一項に記載の基板搬送保管容器。
The present invention has solved the above problems by the following means (1) to (5).
(1) A substrate transport and storage container comprising a container main body having an opening for loading and unloading a substrate and a door capable of opening and closing the opening, and the substrate transport and storage container includes a fan A substrate transport and storage container comprising an environmental box having a means for forming an air flow and a lock mechanism for locking the door, and provided with a sensor for detecting an open / close lock operation of the lock mechanism.
(2) The substrate transport storage container according to (1), wherein the sensor is attached to a claw of a lock mechanism of the substrate transport storage container, and the locked state is detected by the sensor.
(3) The substrate according to (1) or (2), wherein the environmental box includes at least a particulate contaminant removal filter, a gaseous impurity trapping filter, a fan motor, a fan, and a dehumidifier unit. Transport storage container.
(4) A stop signal is transmitted to the fan of the environmental box before the unlocking operation of the lock mechanism is started, and the operation of the fan of the environmental box is stopped before the substrate transfer storage container is opened. The substrate carrying and storing container according to any one of (1) to (3), which is characterized in that

(5)基板を内部に収容すると共に基板搬出入用の開口部を持った容器本体と該開口部を開閉可能なドアとで構成され、ファンを内蔵し気流を形成する手段を有する環境ボックスと、前記ドアをロックするロック機構とを備え、前記ロック機構の開閉ロック動作を検知するセンサを設けた基板搬送保管容器において、前記基板搬送保管容器のロック機構に取り付けられたセンサにより、前記ロック機構の開閉ロック動作の開始を検知し、環境ボックスのファンに停止信号を送り、ドアが開く前に該ファンの運転を停止して内部気流を止めることを特徴とする基板搬送保管容器の使用方法。 (5) An environmental box having a means for accommodating a substrate and having an opening for opening and closing the substrate and a door capable of opening and closing the substrate, and a means for forming an air flow with a built-in fan. And a lock mechanism for locking the door, and a sensor for detecting an open / close lock operation of the lock mechanism, wherein the sensor is attached to the lock mechanism of the substrate transfer storage container. A method of using a substrate transporting and storing container characterized by detecting the start of an open / close lock operation of the, and sending a stop signal to the fan of the environmental box and stopping the operation of the fan before the door is opened to stop the internal airflow.

本発明によれば、基板搬送容器のロック機構であるツメなどにセンサを取付け、ドアが開く前にロック状態を検知するため、ドアが開く前にファンを止め、内部気流が発生しない状態でドアを開けることが可能となり、半導体基板の搬送や保管を良好な状態で行うことができる。   According to the present invention, a sensor is attached to a claw or the like that is a lock mechanism for a substrate transport container, and the locked state is detected before the door is opened. Can be opened, and the semiconductor substrate can be transported and stored in a good state.

発明を実施するための最良の形態を添付図面を参照して詳細に説明する。
なお、実施の形態及び実施例を説明する全図において、同一機能を有する構成要素は同一の符号を付けて説明する。
The best mode for carrying out the invention will be described in detail with reference to the accompanying drawings.
Note that components having the same function are denoted by the same reference symbols throughout the drawings for describing the embodiments and examples.

次に、本発明の基板搬送容器の実施形態について説明する。先ず、自動化に対応した基板搬送容器の一般的な機能について説明する。例えば、半導体ウェハを複数枚収納するための基板搬送容器は、少なくとも次の部品から構成されている。
(a)四角形の逆コップ形状をした容器本体、
(b)容器本体と係合し、外部から開閉するための機構を内蔵したドア、
(c)容器内に基板を所定の間隔で保持するための保持手段、
(d)ウェハの振動を防止するためのリテーナ、
(e)容器を取り扱うための把持手段。
Next, an embodiment of the substrate transport container of the present invention will be described. First, general functions of the substrate transfer container corresponding to automation will be described. For example, a substrate transfer container for storing a plurality of semiconductor wafers is composed of at least the following parts.
(A) a container body having a square inverted cup shape;
(B) a door that engages with the container body and incorporates a mechanism for opening and closing from the outside;
(C) holding means for holding the substrate in the container at a predetermined interval;
(D) a retainer for preventing wafer vibration;
(E) Grasping means for handling the container.

容器本体は、一般的に内部の収納物が確認できるような透明材料又は不透明材料に一部透明材料を使用した高分子材料で造られている。ドアには外部から開閉用のラッチピン等をガイドするための位置決め孔が設けられており、更に外部からの開閉用ラッチピンの動作と連動して施錠及び開錠する機構を内蔵している。このラッチ機能は、機械的な駆動により動作するものや、真空又は圧縮空気、更に磁石による固定の補助をしたものが製品化されている。把持手段は、容器天井部や側壁部に配置されており、ロボットが掴むための位置決めノッチを有するフランジ形状をしたものや、人が握って取り扱える形状をしたものがある。本発明の実施の形態における、前記自動化対応容器としての基本要件は、機能を満たすものであればいずれの方法を採用しても良い。   The container body is generally made of a polymer material in which a transparent material is partially used for a transparent material or an opaque material from which an internal storage can be confirmed. The door is provided with a positioning hole for guiding an open / close latch pin or the like from the outside, and further includes a mechanism for locking and unlocking in conjunction with the operation of the open / close latch pin from the outside. This latch function has been commercialized to operate by mechanical drive, or to assist fixing by vacuum or compressed air and magnets. The gripping means is disposed on the container ceiling or the side wall, and includes a flange shape having a positioning notch for gripping by a robot and a shape that can be gripped and handled by a person. In the embodiment of the present invention, any method may be adopted as the basic requirement as the automation-compatible container as long as it satisfies the function.

図6に、本発明の一実施形態である半導体ウェハを搬送する基板搬送容器の具体的な概念図を示す。図6の基板搬送容器は、容器本体1、ドア2、環境ボックス3、カセット4、環境ボックスに備えられた、粒子状汚染物質除去フィルタ5、ガス状不純物捕捉フィルタ6、ファンモータ7、除湿器ユニット8、及び演算処理ユニット9、二次電池10等から構成されているが、一方向の図面である関係で、そのうちの一部は図示されていない。また、図6には、容器本体1のロック機構であるツメに取り付けたドア開閉検知センサが取付けられているが、図示していない。   FIG. 6 shows a specific conceptual diagram of a substrate transfer container for transferring a semiconductor wafer according to an embodiment of the present invention. The substrate transfer container of FIG. 6 includes a container main body 1, a door 2, an environmental box 3, a cassette 4, and a particulate contaminant removal filter 5, a gaseous impurity trapping filter 6, a fan motor 7 and a dehumidifier provided in the environmental box. The unit 8, the arithmetic processing unit 9, the secondary battery 10, and the like are included, but some of them are not shown because they are drawings in one direction. Moreover, although the door opening / closing detection sensor attached to the nail | claw which is a locking mechanism of the container main body 1 is attached to FIG. 6, it is not illustrated.

図8には、本発明の一実施形態として、ドアのロック機構とセンサとの関係を示した説明図であり、ロック機構の端にあるL型部片の先端にセンサ11が付いており、ロック機構を開放(リリース)する動作をすると、ヒンジ14を中心としてL型部片が回転することにより、ドア2が容器1の端部に圧着していたのが緩むが、その際L型部片の先端にあるセンサ11が移動するので、その動きを容器1側に配置したセンサ11により感知し、容器1内のファンの回転を停止する。この場合、その停止に要する時間は短いので、L型部片が動いてドア2が容器1の端部にあるドアシールパッキン13に圧着しているのが、隙間ができるまでの時間に比較しても問題が起こることはない。   FIG. 8 is an explanatory view showing the relationship between the door locking mechanism and the sensor as one embodiment of the present invention, and the sensor 11 is attached to the tip of the L-shaped piece at the end of the locking mechanism. When the locking mechanism is opened (released), the L-shaped piece rotates around the hinge 14 to loosen the door 2 from being crimped to the end of the container 1. Since the sensor 11 at the tip of the piece moves, the movement is detected by the sensor 11 arranged on the container 1 side, and the rotation of the fan in the container 1 is stopped. In this case, since the time required for the stop is short, the L-shaped piece moves and the door 2 is crimped to the door seal packing 13 at the end of the container 1 compared to the time until a gap is formed. There will be no problems.

上記ドア開閉検知センサは、ドアの開閉を検知してファンモータ及び/又は除湿器の運転を調整するために取り付けられている。ドアが開放状態の時は搬送容器外の汚染した空気を吸い込むのを防止するため、運転を停止したり、ファンモータの回転数を調整したりする。ドアの開閉ではなく、カセット及び/又はウェハの有無を検知して空気清浄器の運転を調整してもよい。
更に、ウェハのID、履歴、ステータスを各バッチごとに管理するために、基板搬送容器にメモリチップを搭載させて、プロセスデータを管理させてもよい。容器は容器本体(ポッド)1とドア2から構成され、それらは例えば止め具、ラッチ機構などで固定され、外環境からの汚染を遮断する。
The door opening / closing detection sensor is attached to detect the opening / closing of the door and adjust the operation of the fan motor and / or the dehumidifier. When the door is open, the operation is stopped or the rotation speed of the fan motor is adjusted to prevent inhaling contaminated air outside the transport container. The operation of the air purifier may be adjusted by detecting the presence or absence of a cassette and / or wafer instead of opening and closing the door.
Further, in order to manage the wafer ID, history, and status for each batch, a memory chip may be mounted on the substrate transfer container to manage the process data. The container is composed of a container body (pod) 1 and a door 2, which are fixed by, for example, a stopper, a latch mechanism, etc., and block contamination from the outside environment.

図1は、本発明の一実施形態である図6の基板搬送容器のロック機構(ラッチ機構)の拡大図を示す。
図1は、基板搬送容器の概念図であり、点線で示した円内はロック機構のツメにロック機構を作動させるセンサ11と信号線12が取付けられていることを示す。本発明では前記ツメに取付けたセンサでロック状態を検知する機構とした。更に本発明の一実施形態として環境ボックス3が備えられている。環境ボックス3には、図示はしていないが、図6と同様に、少なくとも粒子状汚染物質除去フィルタ5、ガス状不純物捕捉フィルタ6、ファンモータ7及び除湿器ユニット8が設けられている。
FIG. 1 is an enlarged view of the lock mechanism (latch mechanism) of the substrate transport container of FIG. 6 according to an embodiment of the present invention.
FIG. 1 is a conceptual diagram of a substrate transfer container, and a circle 11 indicated by a dotted line indicates that a sensor 11 and a signal line 12 for operating the lock mechanism are attached to a claw of the lock mechanism. In this invention, it was set as the mechanism which detects a locked state with the sensor attached to the said nail | claw. Furthermore, an environment box 3 is provided as an embodiment of the present invention. Although not shown, the environmental box 3 is provided with at least a particulate contaminant removal filter 5, a gaseous impurity trapping filter 6, a fan motor 7 and a dehumidifier unit 8 as in FIG.

図2(a)はロックが開放状態にあることを示す拡大図であり、図5(b)は容器がロック状態にあるあることを示す拡大図である。容器のロックが解除されて開放状態になったとき、センサがそれを検知して信号線12により信号が送られファンが停止する。その結果、ドアが開く前に内部気流は停止状態となる。ドアのロック状態は信号によりLED表示することが出来る。
図2(c)は基板搬送容器のロック機構のツメにロック状態を検知するセンサを設置したことを示す拡大図である。
FIG. 2A is an enlarged view showing that the lock is in an open state, and FIG. 5B is an enlarged view showing that the container is in a locked state. When the container is unlocked and opened, the sensor detects it and a signal is sent through the signal line 12 to stop the fan. As a result, the internal airflow is stopped before the door is opened. The door lock state can be indicated by an LED by a signal.
FIG. 2C is an enlarged view showing that a sensor for detecting a lock state is installed on the claw of the lock mechanism of the substrate transport container.

上記したように本発明のロック機構を採用した結果、下記(1)〜(4)の操作条件の改善により基板搬送容器の清浄環境が格段に向上した。
(1)容器のロック機構であるツメにセンサを取付けロック状態を検知できる機構としたため、ロック状態をモニタできるようになる。
(2)ドアが開く前にファンを止め、内部気流を停止させた状態でドアを開けることが可能となる。
(3)外部からロック状態をLED表示により確認することができ、ポッドを手動で開閉操作する場合などにロックがなされているか否かを確認できるので、例えば、ロックが効いていない状態で誤って持上げてしまったり開けてしまうことを防ぐことができる。
(4)容器(ポッド)−ドア自動開閉オープナーへ開閉状態を通信することにより、開閉動作誤動作を未然に防ぐことができる。
As described above, as a result of adopting the locking mechanism of the present invention, the cleaning environment of the substrate transfer container has been remarkably improved by improving the following operating conditions (1) to (4).
(1) Since the sensor is attached to the claw, which is a locking mechanism of the container, and the locking state can be detected, the locking state can be monitored.
(2) The fan can be stopped before the door is opened, and the door can be opened with the internal airflow stopped.
(3) The locked state can be confirmed from the outside by LED display, and it can be confirmed whether or not the pod is locked when manually opening and closing the pod. It can be prevented from lifting or opening.
(4) By communicating the opening / closing state to the container (pod) -door automatic opening / closing opener, it is possible to prevent malfunction of the opening / closing operation.

本発明の基板搬送容器には、どのようなロック機構でも使用することができるが、ドア2容器本体1に固定するロック機構には、機械的なロック機構をドア内部に備えたもの、容器とドアのシール面に磁石(電磁石)を備えたもの、容器とドアのシール面に真空チャックを備えたもの等がある。真空チャックは真空源、例えば真空ポンプから吸気能力を得る。また、容器内全体の圧力を負圧にすることによりドア2を容器1に取り付けてもよい。   Any type of locking mechanism can be used for the substrate transfer container of the present invention. However, the locking mechanism for fixing the door 2 to the container body 1 includes a mechanical locking mechanism provided inside the door, the container, There are those provided with a magnet (electromagnet) on the sealing surface of the door, and those provided with a vacuum chuck on the sealing surface of the container and the door. The vacuum chuck obtains suction capability from a vacuum source, such as a vacuum pump. Moreover, you may attach the door 2 to the container 1 by making the pressure in the whole container into a negative pressure.

基板搬送容器の内部の空気は本発明の一実施形態である環境ボックスのファンモータ7で強制的に循環させて、除湿剤又は除湿ユニット8、ガス状不純物捕捉フィルタ6、粒子状汚染物質除去フィルタ5によって積極的に不純物を除去する。なお、粒子汚染が問題にならない場合は、粒子状汚染物質除去フィルタ5を省いてもよい。基板搬送容器の外部に、外部から電源を供給するための端子を備え、ファンモータ及び/又は除湿器を駆動するための電源を外部から供給してもよい。その端子表面は、通常金属メッキ(金メッキ、銅メッキ)をして金属パーティクル発生を減らすことが望ましい。端子の連続使用による劣化によって完全には金属パーティクル発生の懸念が完全にぬぐいきれない。特に端子がポット−ドア開閉部近くにある場合、ドア開時にドア周囲の空気の巻き込みが懸念されるが、端子の金属メッキを行いつつ、ドアの開閉ロック機構動作のセンシングを行うことで、より一層基板の金属パーティクル汚染を防止することができる。更に外部から給電する場合、基板搬送容器の存在と給電の要否を確認し、又は外部電源コネクタと、基板搬送容器の電源供給端子が接続されていることを確認してから電源を供給することが望ましい。クリーンルームで使用されるので、電気端子間でスパークすると、金属微粒子が発生してクリーンルームを汚染するからである。   The air inside the substrate transport container is forcibly circulated by the fan motor 7 of the environmental box according to an embodiment of the present invention, and the dehumidifying agent or dehumidifying unit 8, the gaseous impurity capturing filter 6, and the particulate contaminant removing filter. The impurities are positively removed by 5. If particle contamination does not become a problem, the particulate contaminant removal filter 5 may be omitted. A terminal for supplying power from outside may be provided outside the substrate transport container, and power for driving the fan motor and / or dehumidifier may be supplied from outside. It is desirable to reduce the generation of metal particles by usually metal plating (gold plating, copper plating) on the terminal surface. Due to the deterioration due to the continuous use of the terminal, the concern about the generation of metal particles cannot be completely wiped out. Especially when the terminal is near the pot-door opening / closing part, there is a concern about air entrainment around the door when the door is opened, but by sensing the door opening / closing lock mechanism operation while performing metal plating of the terminal, Single layer substrate metal particle contamination can be prevented. When supplying power from the outside, supply power after confirming the existence of the substrate transport container and the necessity of power supply, or confirming that the external power connector and the power supply terminal of the substrate transport container are connected. Is desirable. This is because it is used in a clean room, and sparking between electrical terminals generates metal particles and contaminates the clean room.

複数のシリコンウェハWは、ウェハキャリア(カセット)4に収納され、それが基板搬送容器の内部に収納されている。その基板搬送容器の内部にはガス状不純物捕捉フィルタ6と除湿剤ユニット8が配置されている。ガス状不純物捕捉フィルタ6としては、イオン交換不織布や活性炭素繊維、ゼオライト等が用いられ、基板搬送容器内の例えば炭化水素やアンモニア等のガス状汚染物質(不純物)を除去する。除湿剤ユニット8としては例えばシリカゲルが用いられ、基板搬送容器内の水分を除去する。これらは、ガス状汚染物質捕捉効率、除湿効率を高めるために、表面積をなるべく多くとることが望ましい。フィルタ形状として、波形又はひだおり等が望ましい。イオン交換不織布又は活性炭素繊維の面積は、その基板搬送容器内部の表面積の10%以上、好ましくは20%以上を持つことが望ましい。除湿剤は、交換頻度削減のため、除湿したい空間容積1L当たり、0.1g以上0.4g以下、好ましくは0.5g以上3g以下の吸湿容量を持つものが望ましい。   A plurality of silicon wafers W are stored in a wafer carrier (cassette) 4 and stored in a substrate transfer container. A gaseous impurity capturing filter 6 and a dehumidifying agent unit 8 are disposed inside the substrate transport container. As the gaseous impurity trapping filter 6, ion exchange nonwoven fabric, activated carbon fiber, zeolite or the like is used, and gaseous contaminants (impurities) such as hydrocarbons and ammonia in the substrate transport container are removed. For example, silica gel is used as the dehumidifying agent unit 8 to remove moisture in the substrate transport container. It is desirable that these take as much surface area as possible in order to increase the trapping efficiency of gaseous pollutants and the dehumidification efficiency. As the filter shape, a waveform, a fold or the like is desirable. It is desirable that the area of the ion exchange nonwoven fabric or activated carbon fiber has 10% or more, preferably 20% or more, of the surface area inside the substrate transfer container. Desirably, the dehumidifying agent has a moisture absorption capacity of 0.1 g or more and 0.4 g or less, preferably 0.5 g or more and 3 g or less per 1 L of the space volume to be dehumidified in order to reduce replacement frequency.

基板を入れた場合の基板搬送容器内の気体の流れは、本発明の一実施形態である環境ボックスのファンから送られた気体がケミカルフィルタ(ガス状不純物捕捉フィルタ)6、粒子状汚染物質除去フィルタ5を通り、最も清浄な気体がウェハWへ供給される。ウェハWを通過後、容器1の内壁面に沿って再びファンに戻る。容器1の内壁面は、容器の上下左右面のうち少なくとも1面を示す。ボックスドア開口部は、どこに設けてもよい。自動化対応基板搬送容器に対しては、ボックスドア開口部は前面又は下面となる。   The gas flow in the substrate transport container when the substrate is put in is the chemical filter (gaseous impurity trapping filter) 6 and the particulate contaminant removal gas sent from the fan of the environmental box which is an embodiment of the present invention. The cleanest gas is supplied to the wafer W through the filter 5. After passing through the wafer W, it returns to the fan again along the inner wall surface of the container 1. The inner wall surface of the container 1 represents at least one of the upper, lower, left and right surfaces of the container. The box door opening may be provided anywhere. For an automation-compatible substrate transport container, the box door opening is the front or bottom surface.

基板搬送容器の断面は、(a)四角形であっても、(b)円形であってもよいが、四角形である場合、汚染物質を洗浄するために、四隅の曲率Rは、好ましくは半径10mm以上、より好ましくは、半径20mm以上がよい。   The cross section of the substrate transport container may be (a) a square or (b) a circle, but in the case of a square, the curvature R of the four corners is preferably 10 mm in order to clean contaminants. More preferably, the radius is 20 mm or more.

半導体ウェハの容器内への保管時で、最も困難なのは湿度の問題である。この実施の形態の基板搬送容器においては、その容器本体とドアとの材料を吸水率0.1%以下の高分子材料または不透湿材料で構成している。吸水率の測定方法はASTM(American Society for Testing and Materials)D570規格により定められている。半導体ウェハ等の搬送・保管容器に使用される一般的な材料の吸水率を述べると、PC(ポリカーボネート)0.2%、PBT(ポリブチレンテレフタレート)0.08%、PEEK(ポリエーテルエーテルケトン)0.14%、PEI(ポリエーテルイミド)0.25%、PP(ポリプロピレン)0.03%である。   When storing semiconductor wafers in containers, the most difficult problem is humidity. In the substrate transfer container of this embodiment, the container body and the door are made of a polymer material or a moisture-impermeable material having a water absorption rate of 0.1% or less. The method for measuring the water absorption rate is defined by ASTM (American Society for Testing and Materials) D570 standard. The water absorption rate of general materials used for transport and storage containers such as semiconductor wafers is as follows: PC (polycarbonate) 0.2%, PBT (polybutylene terephthalate) 0.08%, PEEK (polyether ether ketone) 0.14%, PEI (polyetherimide) 0.25%, PP (polypropylene) 0.03%.

また、低湿度にすると、ウェハが帯電しやすくなるので、少なくともウェハに接するウェハ支持部材とウェハ支持部材から容器下部に接地するドアは、カーボン又は他の導電性材料を添加した導電性材料が特に好ましい。高分子材料は一般的に、表面抵抗率が1×10〜1×10Ωの材料を静電気導電性材料、1×10〜1×1012Ωの材料を静電気拡散性材料、1×1012Ω以上の材料を絶縁性材料として分類する。また、体積抵抗率が1×10〜1×10Ω・cmの材料を静電気導電性材料、1×10〜1×1011Ω・cmの材料を静電気拡散性材料、1×1011Ω・cm以上の材料を絶縁性材料として分類する。本発明では、表面抵抗率が1×1010Ω以下、体積抵抗率が1×10Ω・cm以下、更に好ましくは表面抵抗率が1×10Ω以下、体積抵抗率が1×10Ω・cm以下が望ましい。更に、ガス状不純物捕捉素子として用いるイオン交換不織布や活性炭は、製造直後の状態で水を吸着しているので、予め脱水処理をして使用するのが好ましい。 In addition, since the wafer is easily charged when the humidity is low, at least the wafer support member in contact with the wafer and the door to be grounded from the wafer support member to the lower portion of the container are particularly made of a conductive material added with carbon or other conductive material. preferable. In general, a polymer material has a surface resistivity of 1 × 10 3 to 1 × 10 8 Ω as an electrostatic conductive material, 1 × 10 5 to 1 × 10 12 Ω as a static dissipative material, 1 × A material with 10 12 Ω or more is classified as an insulating material. Further, a material having a volume resistivity of 1 × 10 2 to 1 × 10 5 Ω · cm is an electrostatic conductive material, and a material having a volume resistivity of 1 × 10 4 to 1 × 10 11 Ω · cm is an electrostatic diffusive material, 1 × 10 11. A material of Ω · cm or more is classified as an insulating material. In the present invention, the surface resistivity is 1 × 10 10 Ω or less, the volume resistivity is 1 × 10 9 Ω · cm or less, more preferably the surface resistivity is 1 × 10 8 Ω or less, and the volume resistivity is 1 × 10 7. Ω · cm or less is desirable. Furthermore, since the ion-exchange nonwoven fabric and activated carbon used as the gaseous impurity trapping element adsorb water in the state immediately after production, it is preferable to use after dehydration.

気密性の高い容器内を乾燥ガス、即ち乾燥空気又は水分を含まない不活性ガスと置換すると、置換直後は湿度は略0%の限界湿度まで低下する。しかしながら、この状態で乾燥ガスの供給を停止して放置しておくと、容器内壁面の高分子材料が保持している水分が湿度勾配によって容器内部に拡散する。従って、乾燥ガスにより置換した容器内部の湿度は、時間の経過と共に増大する。一例として、乾燥ガスによる置換後に略0%の相対湿度が従来の市販PC(ポリカーボネート)容器を使用した場合には、数時間後には30%以上に上昇することを示している。吸水率0.02%のポリフェニレンスルフィド(PPS)を使用することで、乾燥ガスにより置換直後の略0%の相対湿度は数時間以上経過しても略12%程度に留まり、顕著な湿度上昇抑制効果が確認された。これにより保管搬送時の容器内湿度が上昇することが防止できることは明らかである。尚、自然酸化膜の成長は暗所で保管することにより抑制効果があることが知られている。このため、容器本体を構成する材料は、光透過性材料よりも光遮断性材料を用いることが好ましい。   If the inside of a highly airtight container is replaced with a dry gas, that is, an inert gas containing no dry air or moisture, the humidity decreases to a limit humidity of approximately 0% immediately after the replacement. However, if the supply of the dry gas is stopped and left in this state, the moisture retained by the polymer material on the inner wall surface of the container diffuses inside the container due to the humidity gradient. Therefore, the humidity inside the container replaced with the dry gas increases with time. As an example, when a conventional commercially available PC (polycarbonate) container is used, the relative humidity of about 0% after replacement with dry gas is shown to increase to 30% or more after several hours. By using polyphenylene sulfide (PPS) with a water absorption of 0.02%, the relative humidity of approximately 0% immediately after replacement with dry gas remains at approximately 12% even after several hours, and a significant increase in humidity is suppressed. The effect was confirmed. Obviously, this can prevent the humidity in the container during storage and conveyance from rising. In addition, it is known that the growth of the natural oxide film has a suppressing effect when stored in a dark place. For this reason, it is preferable to use a light blocking material rather than a light transmitting material as the material constituting the container body.

容器内の清浄雰囲気維持のため、ボックスとボックスドアを閉じる際にシールを要する。お互いのシール面に部材を介してシールする方法がある。ドア2に配置されたシール材と圧接する容器本体フランジ部には、機械的強度を上げるためフランジ内側にガイドリブを設けても良い。また、シール材との接触部に突起を設けてより小さな圧接力で高い気密性を持つようにしても良い。シール材にはフッ素系エラストマー、ポリエステル系エラストマー、ポリウレタン系エラストマー又はポリオレフィン系エラストマーが好ましい。更に加熱処理するとシール材からの脱ガス防止効果が高まる。また、容器内の圧力を陽圧にして外部環境から汚染物質導入を防ぐ方法もある。陽圧環境は、常に、または定期的に作る。   In order to maintain a clean atmosphere in the container, a seal is required when closing the box and the box door. There is a method of sealing each other through a member. In order to increase mechanical strength, a guide rib may be provided on the inside of the flange in the container main body flange portion in pressure contact with the sealing material disposed on the door 2. Further, a protrusion may be provided at the contact portion with the sealing material so as to have high airtightness with a smaller pressure contact force. The sealing material is preferably a fluorine elastomer, a polyester elastomer, a polyurethane elastomer or a polyolefin elastomer. Further heat treatment increases the effect of preventing degassing from the sealing material. There is also a method of preventing the introduction of contaminants from the external environment by setting the pressure inside the container to a positive pressure. A positive pressure environment is always or regularly created.

次に、粒子除去フィルタについて説明する。粒子除去手段としてはエアフィルタを使用する方法が一般的である。JIS規格では、対象粒径と捕集効率等によって以下の4種類に大別している。
(1) 粗塵用エアフィルタ:主として5μmより大きい粒子の除去に用いるエアフィルタ。
(2) 中性能フィルタ:主として5μmより小さい粒子に対して中程度の粒子捕集効率を持つエアフィルタ。
(3) HEPAフィルタ:定格風量で粒径が0.3μmの粒子に対して99.97%以上の粒子捕集効率を持ち、かつ圧力損失が245Pa以下の性能を持つエアフィルタ。
(4) ULPAフィルタ:定格風量で粒径が0.1μmの粒子に対して99.9995%以上の粒子捕集効率を持ち、かつ圧力損失が245Pa以下の性能を持つエアフィルタ。
Next, the particle removal filter will be described. As the particle removing means, a method using an air filter is common. In the JIS standard, the following four types are roughly classified according to the target particle size and collection efficiency.
(1) Air filter for coarse dust: An air filter mainly used for removing particles larger than 5 μm.
(2) Medium performance filter: An air filter having a medium particle collection efficiency mainly for particles smaller than 5 μm.
(3) HEPA filter: An air filter having a particle collection efficiency of 99.97% or more with respect to particles having a rated air volume of 0.3 μm and a pressure loss of 245 Pa or less.
(4) ULPA filter: An air filter having a particle collection efficiency of 99.9995% or more and a performance of pressure loss of 245 Pa or less with respect to particles having a rated air volume and a particle size of 0.1 μm.

本発明の対象とする基板搬送容器のように高度な清浄空間を創る場合には、HEPAフィルタ又はULPAフィルタを用いるのが良い。ULPAフィルタは一般的にひだ折りした濾材に流路を確保するためのスペーサを設けた構造である。このULPAフィルタの圧力損失は、濾材の通気抵抗や濾材の折り込み量、流路の均一性等によって変わる。構造的にフィルタの開口面積が小さくなる場合は、奥行き寸法を大きくし、より多くの濾材を充填してやることにより極力圧力損失が小さいフィルタを用いることが好ましい。濾材もガラス繊維、弗素樹脂等、種々製品化されており、どの濾材を用いても良いが、耐薬品性に優れ、発生ガスが少なく、通気抵抗の小さい弗素系樹脂が好ましい。開口面積が大きくできる場合は、奥行き寸法を小さくし、限られた空間を有効に使用するのが良い。   When creating an advanced clean space like the substrate transfer container of the present invention, it is preferable to use a HEPA filter or a ULPA filter. The ULPA filter generally has a structure in which spacers for securing a flow path are provided in a folded filter medium. The pressure loss of the ULPA filter varies depending on the ventilation resistance of the filter medium, the amount of folding of the filter medium, the uniformity of the flow path, and the like. When the opening area of the filter is structurally small, it is preferable to use a filter with as little pressure loss as possible by increasing the depth dimension and filling more filter material. Various filter media such as glass fibers and fluororesins have been commercialized, and any filter media may be used. However, a fluorine-based resin having excellent chemical resistance, a small amount of generated gas, and a low ventilation resistance is preferable. When the opening area can be increased, it is preferable to reduce the depth dimension and effectively use the limited space.

次に、ケミカルフィルタ(ガス状不純物捕捉フィルタ)6について説明する。ガス状不純物除去手段としては、除去対象物質に応じて種々選択することができる。塩基性ガス除去手段としては、強酸性、弱酸性カチオン交換不織布又は繊維、あるいは強酸性、弱酸性カチオン交換ビーズで効率良く除去することができる。また、酸性薬液を添着した活性炭やセラミックでも除去できる。酸性ガスやボロン、リンの除去手段としては、強塩基性、弱塩基性アニオン交換不織布又は繊維、あるいは強塩基性、弱塩基性カチオン交換ビーズで効率良く除去することができる。また、塩基性薬液を添着した活性炭やセラミックでも除去できる。有機物は、活性炭、活性炭素繊維、ゼオライト、モレキュラーシーブ、シリカゲル、多孔質セラミックで除去できる。オゾンは、粒状又はシート状の二酸化マンガンを担持又は添着したメディアや活性炭などで除去できる。また、ベーパー状でイオン化したメタル、例えば硫酸銅などは、イオン交換不織布やイオン交換ビーズで除去できる。吸着素材構成は除去対象物質とフィルタの許容寸法、形状、圧力損失などに応じて適宜選択することができる。   Next, the chemical filter (gaseous impurity capturing filter) 6 will be described. Various gaseous impurity removing means can be selected depending on the substance to be removed. As a basic gas removing means, strong acid and weak acid cation exchange nonwoven fabrics or fibers, or strong acid and weak acid cation exchange beads can be efficiently removed. It can also be removed by activated carbon or ceramic impregnated with acidic chemicals. As a means for removing acid gas, boron and phosphorus, strong basic and weak basic anion exchange nonwoven fabrics or fibers, or strong basic and weak basic cation exchange beads can be efficiently removed. It can also be removed by activated carbon or ceramic impregnated with a basic chemical solution. Organic substances can be removed with activated carbon, activated carbon fiber, zeolite, molecular sieve, silica gel, porous ceramic. Ozone can be removed by a medium or activated carbon carrying or attaching granular or sheet-like manganese dioxide. Further, metal ionized in a vapor form, such as copper sulfate, can be removed with an ion exchange nonwoven fabric or ion exchange beads. The composition of the adsorption material can be appropriately selected according to the material to be removed and the allowable dimensions, shape, pressure loss, etc. of the filter.

次に、除湿剤及び除湿器について説明する。空気中の水分を除去するには、例えばシリカゲル、ゼオライト(合成ゼオライト含む)、炭酸カルシウム、塩化マグネシウムを主成分とした除湿剤で除湿する方法がある。除湿剤を使う場合は、シリカゲルのように加熱脱離して再利用できる除湿剤で、カートリッジタイプで簡便に交換ができ、自動交換が可能な構造が好ましい。容器を冷却したり、冷却した棒を一定時間容器内に挿入して湿気分を結露水にして回収する方法も考えられる。また、固体高分子電解質膜を使用した除湿ユニットも利用可能である。   Next, the dehumidifying agent and the dehumidifier will be described. In order to remove moisture in the air, for example, there is a method of dehumidifying with a dehumidifying agent mainly composed of silica gel, zeolite (including synthetic zeolite), calcium carbonate, and magnesium chloride. When a dehumidifying agent is used, it is a dehumidifying agent that can be reused by heating and desorbing, such as silica gel, and a cartridge type that can be easily replaced and can be automatically replaced is preferable. A method of cooling the container or inserting a cooled rod into the container for a certain period of time to collect moisture as condensed water is also conceivable. A dehumidifying unit using a solid polymer electrolyte membrane can also be used.

いずれの方法も、本発明の一実施形態である環境ボックスのファンによって容器内の気体が流動することにより、より短時間で除湿が可能になる。本発明の実施に当たっては、機器類を配置可能な手段であればどの除湿手段を用いても良い。また、容器本体又はドアに高純度窒素や不活性ガスあるいは乾燥空気の給気、排気ポートを配置し、容器内空気の置換を除湿器と併用すれば、容器内を低湿度にする時間を削減することが可能になる。   In any of the methods, dehumidification can be performed in a shorter time by the gas in the container flowing by the fan of the environmental box which is an embodiment of the present invention. In carrying out the present invention, any dehumidifying means may be used as long as it can arrange the devices. In addition, if high-purity nitrogen, inert gas or dry air supply / exhaust ports are installed in the container body or door, and the replacement of the air in the container is used in combination with a dehumidifier, the time to reduce the humidity in the container is reduced. It becomes possible to do.

また水分を除去する除湿ユニットとして、除湿器と吸湿性の材料(活性炭、イオン交換体、シリカゲルなど)を併せて搭載するのが好ましい。これは吸湿性の材料を除湿器により常に乾燥させた状態にしておき、吸湿性材料の持つ最も吸湿速度が大きい初期状態を常に保つためである。更に強制的にガスを供給する手段を持つ容器の運用がもっとも短時間で急速に除湿する。気体の流れを発生させる手段がファンであっても、ガスパージであっても、基板搬送容器内に基板を収納後、再度取り出すまでの間、少なくとも1回以上好ましくは3回以上循環することが望ましく、収納する基板の要求する環境と基板を収納する前後の容器外環境の汚染度に応じて循環回数を増やせば良い。ファンの消費電力量に制限がない場合は気体の流れは常に循環するようにすることが最も望ましい。尚ここでいう「収納する基板の要求する環境」とは各工程間の搬送環境として歩留まり悪化原因になる汚染物質,具体的には粒子状物質、イオン、ドーパント、有機物、水分をすべてもしくはいずれかを管理濃度以下に低減した環境を容器内に構築することを意味する。この環境制御を行う基板収納ボックスは、例えば半導体製造プロセスの工程内、工程間、工場内フロア間、工場間のいずれの間の搬送に用いてもよく、また搬送だけでなく保管の用途に用いてもよい。   Moreover, it is preferable that a dehumidifier and a hygroscopic material (activated carbon, ion exchanger, silica gel, etc.) are mounted together as a dehumidifying unit for removing moisture. This is because the hygroscopic material is always dried by the dehumidifier, and the initial state where the hygroscopic material has the highest moisture absorption rate is always maintained. Furthermore, the operation of a container having a means for forcibly supplying gas rapidly dehumidifies in the shortest time. Regardless of whether the means for generating the gas flow is a fan or gas purge, it is desirable to circulate at least once, preferably three times or more after the substrate is stored in the substrate transport container and then taken out again. The number of circulations may be increased according to the environment required for the substrate to be stored and the degree of contamination of the environment outside the container before and after the substrate is stored. When there is no limit on the power consumption of the fan, it is most desirable that the gas flow always circulates. The "environment required by the substrate to be stored" here refers to all or any of pollutants, specifically particulate matter, ions, dopants, organic substances, and moisture that cause yield deterioration as a transport environment between processes. This means that an environment with a reduced concentration below the control concentration is built in the container. The substrate storage box for controlling the environment may be used for, for example, a semiconductor manufacturing process, between processes, between floors in a factory, between factories, and used for storage as well as for transportation. May be.

送風装置には軸流ファン、シロッコファン、スクロールファンなどを用いる。
また、低湿度にすると、ウェハが帯電しやすくなるので、少なくともウェハに接するウェハ支持部材とウェハ支持部材から容器下部に接地するドアは、カーボン等を添加した導電性材料が特に好ましい。更に、ガス状不純物捕捉素子として用いるイオン交換不織布や活性炭は、製造直後の状態で水を吸着しているので、予め脱水処理をして使用するのが好ましい。
An axial fan, a sirocco fan, a scroll fan, or the like is used as the blower.
In addition, since the wafer is easily charged when the humidity is low, at least the wafer support member in contact with the wafer and the door that contacts the lower portion of the container from the wafer support member are preferably made of a conductive material to which carbon or the like is added. Furthermore, since the ion-exchange nonwoven fabric and activated carbon used as the gaseous impurity trapping element adsorb water in the state immediately after production, it is preferable to use after dehydration.

次に半導体素子の製造方法について説明する。半導体製造工程は、半導体チップ内のトランジスタ、コンデンサ等の素子を形成してそれらの素子を銅配線等で結ぶ前工程と、ウェハから各チップを切断して、外部端子へ配線する後工程に分かれる。図7に工程図を示す。前工程では素子がウェハ上に形成された後、多層配線の層の数だけ配線工程が繰り返される。トランジスタ(FET)、コンデンサ等が形成されたシリコンウェハは、その上に絶縁体膜を形成するために、コータ又はCVD等のプロセス装置に搬送される。ここで、誘電率3以下の低誘電率絶縁膜が形成される。低誘電率絶縁膜としては、SiOX系等の無機材料例えば多孔質やハニカム形状にしたもの、またはPAE(Poly Arylene Ether)系やMSQ(Methyl Silses Quioxane)系の有機材料、更に有機物を多孔質にしたもの等が用いられようとしている。これらの低誘電率絶縁膜は、水分を吸収しやすく水分を吸収することにより劣化したり、吸収された水分により、絶縁膜の誘電率が上昇してしまったりする。更に、環境中の有機物やイオン、メタルといった不純物の影響を受けて絶縁膜の物性が変化することが想定される。環境の変動により安定した成膜が得られない可能性があるため、安定してクリーンな環境を提供することが必須となる。   Next, a method for manufacturing a semiconductor element will be described. The semiconductor manufacturing process is divided into a pre-process for forming elements such as transistors and capacitors in a semiconductor chip and connecting them with copper wiring, and a post-process for cutting each chip from the wafer and wiring to external terminals. . FIG. 7 shows a process diagram. In the previous process, after the elements are formed on the wafer, the wiring process is repeated by the number of layers of the multilayer wiring. A silicon wafer on which a transistor (FET), a capacitor, and the like are formed is transferred to a coater or a process apparatus such as a CVD in order to form an insulator film thereon. Here, a low dielectric constant insulating film having a dielectric constant of 3 or less is formed. As the low dielectric constant insulating film, SiOX-based inorganic materials such as porous or honeycomb-shaped materials, PAE (Poly Array Ether) -based or MSQ (Methyl Silses Quioxane) -based organic materials, and organic materials made porous The ones that have been used are about to be used. These low dielectric constant insulating films are easy to absorb moisture, deteriorate due to absorbing moisture, or the dielectric constant of the insulating film increases due to absorbed moisture. Furthermore, it is assumed that the physical properties of the insulating film change due to the influence of impurities such as organic substances, ions, and metals in the environment. Since there is a possibility that stable film formation may not be obtained due to environmental changes, it is essential to provide a stable and clean environment.

そこで、表面に低誘電率絶縁膜が形成されたウェハを基板搬送容器内に収納して、CVD、コータ等の絶縁膜形成装置からレジスト塗布装置の間を搬送する。基板搬送容器としては、既に説明した種々のものが使用可能であるが、基板搬送容器内部には除湿手段を有し、除湿できた方が好ましい。また、基板搬送容器内の空気が循環して除湿手段を通って除湿されるのが好ましい。基板搬送容器内の湿度は25%以下が好ましく、更には10%以下、更に5%以下が望ましい。   Therefore, a wafer having a low dielectric constant insulating film formed on the surface is accommodated in a substrate transfer container, and is transferred between an insulating film forming apparatus such as a CVD or coater between resist coating apparatuses. As the substrate transport container, the various ones already described can be used, but it is preferable that the substrate transport container has a dehumidifying means inside and can be dehumidified. Further, it is preferable that the air in the substrate transport container is circulated and dehumidified through the dehumidifying means. The humidity in the substrate transfer container is preferably 25% or less, more preferably 10% or less, and further preferably 5% or less.

湿度が低くて静電気による素子の破壊が問題になる場合には各ウェハにアースをとるのが好ましい。ウェハを収納するウェハ支持部材を導電性材料で構成しそれらを介してウェハ電荷を除電する。導電性材料としては、高分子材料にカーボン、界面活性剤、メタル等を添加した高分子材料を用いる。例えば、底部にドアを持つ容器では、ウェハ支持部材を支えるのはボックスドアであり、ボックスドアを導電材料で形成する。ボックスが装置やステーション接地時にボックスドアを介して接地する。ボックスドアは全体が導電性材料でも、表層のみ導電性材料でそこからボックスドア底部へ導電する物体を用いてアースするようにしても良い。またウェハ支持部材を支える部分のみ例えば導電性高分子又は金属材料を用いても良い。   When the humidity is low and the destruction of elements due to static electricity becomes a problem, it is preferable to ground each wafer. A wafer support member that accommodates the wafer is made of a conductive material, and the charge on the wafer is neutralized through them. As the conductive material, a polymer material in which carbon, a surfactant, metal, or the like is added to the polymer material is used. For example, in a container having a door at the bottom, it is a box door that supports the wafer support member, and the box door is formed of a conductive material. The box is grounded via the box door when the equipment or station is grounded. The box door may be grounded by using a conductive material as a whole, or by using an object that is conductive only on the surface layer and that conducts from there to the bottom of the box door. Further, only a portion supporting the wafer support member, for example, a conductive polymer or a metal material may be used.

そして、別の実施態様として基板搬送容器の内部に粒子除去フィルタとファンモータを設置して、基板搬送容器の内部の気体を循環させ清浄化させることで、基板間のクロスコンタミネーションを防ぐことができる。また、基板搬送容器の内部に化学吸着フィルタと粒子フィルタの両方を設置することで、粒子及びイオン等を除去することができる。なお、粒子フィルタのみを設置したり、化学フィルタとしてイオン除去フィルタのみを使用しても良いことは勿論である。また、基板搬送容器の内部にファンモータ等を設置した場合には、基板搬送容器の内部に電池を備えることなく、基板搬送容器をベース部材等に設置した時に該ベース部材等に設けたコンセントと通電してファンモータが回転するようにしても良い。   As another embodiment, a particle removal filter and a fan motor are installed inside the substrate transport container to circulate and clean the gas inside the substrate transport container, thereby preventing cross contamination between the substrates. it can. In addition, by installing both the chemical adsorption filter and the particle filter inside the substrate transport container, particles, ions, and the like can be removed. Of course, only the particle filter may be installed, or only the ion removal filter may be used as the chemical filter. In addition, when a fan motor or the like is installed inside the substrate transport container, an outlet provided on the base member or the like when the substrate transport container is installed on the base member or the like without providing a battery inside the substrate transport container and The fan motor may be rotated by energization.

また、基板搬送容器の内部は、通常空気で満たされるが、酸素量を制限した不活性ガス等を使用することで、銅の酸化を防止することができる。その酸素量としては、10000ppm以下であることが好ましく、1000ppm以下であることが更に好ましい。   Moreover, although the inside of a board | substrate conveyance container is normally satisfy | filled with air, the oxidation of copper can be prevented by using the inert gas etc. which restrict | limited oxygen amount. The amount of oxygen is preferably 10,000 ppm or less, and more preferably 1000 ppm or less.

表面に低誘電率絶縁膜が塗布された基板(シリコンウェハ)を内部に収納した基板搬送容器は、例えば基板搬送容器に取付けられた、ロボット把持手段によりロボットにより把持され、AGVのような搬送装置上に載置される。ロボットアームには、基板搬送容器を把持できたかどうかを検出する検出手段と、脱落を防止するためのロック機構を持つのが望ましい。基板搬送容器がAGV上の正しい位置に載置され及び/又は給電を必要とする容器であることをセンサによって検知したAGVは、AGV内のバッテリー又は、外部から給電した電力の一部から、基板搬送容器1に給電を行い、基板搬送容器内のモータファン7を回して、基板搬送容器内の空気を除湿剤又は電気式除湿器等を通して、循環させることで、基板搬送容器内の湿度やケミカル濃度を一定値以下にコントロールしながら、次のプロセス装置であるコータやエッチャー、あるいは銅メッキ装置に搬送する。   A substrate transfer container containing a substrate (silicon wafer) coated with a low dielectric constant insulating film on the surface is held by a robot by a robot holding means attached to the substrate transfer container, for example, and is a transfer device such as AGV Placed on top. It is desirable that the robot arm has detection means for detecting whether or not the substrate transfer container has been gripped and a lock mechanism for preventing dropping. The AGV detected by the sensor that the substrate transport container is placed at a correct position on the AGV and / or needs a power supply is detected from the battery in the AGV or a part of the power supplied from the outside. Power is supplied to the transport container 1 and the motor fan 7 in the substrate transport container is rotated to circulate the air in the substrate transport container through a dehumidifying agent or an electric dehumidifier. While controlling the concentration below a certain value, it is transferred to a coater, etcher, or copper plating apparatus which is the next process apparatus.

レジスト塗布装置でレジストをその表面に塗布されたウェハは、アンモニア濃度を低減する必要がある、内部にアンモニアを吸収するためのケミカルフィルタを設置した基板搬送容器によって、コータから露光装置に搬送される。これは、最近使われる化学増幅型レジスト材料として、感度増幅されたものが使われており、このレジストは空気中のアンモニアを吸収、反応して、いわゆるT−トップ現像を生じるからである。基板搬送容器でシリコンウェハを搬送中の基板搬送容器内のアンモニア濃度は、好ましくは1μg/m以下、更に好ましくは0.5μg/m以下、更に好ましくは0.1μg/m以下である。又、アンモニア濃度を低減するのは、基板搬送容器内部のみだけでなく、搬送前後のプロセス装置であるコータ、露光装置、現像装置、エッチング装置内のウェハ上のレジストが曝露される雰囲気である。搬送用ロボットアームを有するウェハ搬送部も含めてこの環境管理を行ってもよい。 The wafer on which the resist is coated on the surface by the resist coating apparatus is transported from the coater to the exposure apparatus by a substrate transport container in which a chemical filter for absorbing ammonia is required, which needs to reduce the ammonia concentration. . This is because a chemically amplified resist material that has been recently amplified is used as a chemically amplified resist material, and this resist absorbs and reacts with ammonia in the air to generate so-called T-top development. The ammonia concentration in the substrate transfer container during transfer of the silicon wafer in the substrate transfer container is preferably 1 μg / m 3 or less, more preferably 0.5 μg / m 3 or less, and further preferably 0.1 μg / m 3 or less. . Further, the ammonia concentration is reduced not only in the substrate transfer container but also in the atmosphere where the resist on the wafer in the coater, exposure apparatus, developing apparatus, and etching apparatus, which are process apparatuses before and after transfer, is exposed. This environmental management may be performed including a wafer transfer unit having a transfer robot arm.

絶縁膜エッチング後のレジストは、アッシャーによって取り除かれるものであり、”T−トップ”現像は、考慮する必要がない。従って、エッチング装置からアッシャー装置へのシリコンウェハの搬送、アッシャー装置から金属膜形成装置であるCVD(化学蒸着装置)、めっき装置への搬送においては、基板搬送容器内の湿度のみをコントロールすればよい。更に、エッチング後の絶縁膜溝側面の化学汚染が問題になる場合は、エッチング装置からアッシャー金属膜形成装置までの搬送を除湿剤又は除湿器に加え、ケミカルフィルタが設置されている基板搬送容器で搬送してもよい。   The resist after etching the insulating film is removed by the asher, and “T-top” development does not need to be considered. Accordingly, only the humidity in the substrate transfer container needs to be controlled in the transfer of the silicon wafer from the etching apparatus to the asher apparatus, the transfer from the asher apparatus to the CVD (chemical vapor deposition apparatus) which is a metal film forming apparatus, and the plating apparatus. . Furthermore, if chemical contamination on the side of the insulating film groove after etching becomes a problem, transfer from the etching device to the asher metal film forming device is added to the dehumidifier or dehumidifier, and the substrate transfer container in which the chemical filter is installed is used. It may be conveyed.

更に、銅膜がその表面に形成されたシリコンウェハをCVD、めっき装置などの金属膜形成装置からアニール装置を経由して、CMP装置、更にコータ、CVDなどの絶縁膜形成装置へ基板搬送容器で搬送する場合、基板搬送容器の内部に、除湿器、除湿剤等の除湿手段を設けて基板搬送容器の内部の湿度を制御することで、酸化膜成長を防ぐことが出来る。この場合、基板搬送容器の内部の湿度を10%以下に抑えるのが好ましく、5%以下に抑えるのが更に好ましい。非常にわずかな酸化膜成長も起こさないようにするには、容器の扉2閉後10分以内に10%以下に低減することが好ましく、3分以内10%さらに好ましくは5%以下に低減することが好ましい。また、クリーンルーム運用時間の短期化の観点からも急速な湿度低減が望ましい。なお、湿度が少ない場合に、静電気発生により素子が破壊されるおそれがある場合は、各基板の銅膜等が形成された表面にアースをとり、その静電気を逃がして基板を搬送/保管するのが望ましい。逆にイオン除去を優先的に行いたい工程間の搬送での運用、例えばアンモニア濃度を低減する必要のある露光工程や酸性ガスを抑制したいRIE工程は際限なく除湿するのではなくイオン交換体の性能を発揮できる湿度範囲内に湿度を調整する必要があるので、少なくとも基板搬送容器内の湿度を10%以上50%以下で運用するのが望ましい。   Furthermore, a silicon wafer having a copper film formed on the surface is transferred from a metal film forming apparatus such as a CVD / plating apparatus to an CMP apparatus and further to an insulating film forming apparatus such as a coater or CVD by a substrate transfer container. In the case of carrying, oxide film growth can be prevented by providing a dehumidifying means such as a dehumidifier and a dehumidifying agent inside the substrate carrying container to control the humidity inside the substrate carrying container. In this case, the humidity inside the substrate transport container is preferably suppressed to 10% or less, more preferably 5% or less. In order not to cause very slight oxide film growth, it is preferable to reduce to 10% or less within 10 minutes after the container door 2 is closed, and to 10% or less within 3 minutes, more preferably to 5% or less. It is preferable. Also, rapid humidity reduction is desirable from the viewpoint of shortening the clean room operation time. If there is a risk of damage to the element due to the generation of static electricity when the humidity is low, ground the copper film on the surface of each board to release the static electricity and transport / store the board. Is desirable. On the other hand, operation in transport between processes where ion removal is to be performed preferentially, such as exposure process that needs to reduce ammonia concentration and RIE process that wants to suppress acid gas, is not limited to dehumidification, but the performance of the ion exchanger Therefore, it is desirable to operate at least the humidity in the substrate transport container at 10% to 50%.

又、基板搬送容器として、各プロセスのプロセス装置のデータを基板搬送容器にデータ保存手段を有した基板搬送容器を使用する場合、全層の配線工程終了後、経由したプロセス装置番号等のプロセスデータを、プロセス管理コンピュータに渡すと共に、チップ内の配線の電気的特性を計測する。そして、プロセス管理コンピュータの方で、配線検査装置からの計測データと、その対象ウェハの経由したプロセス装置のデータを、統計データ等のデータ処理を行い、次のロットの製造にフィードバックする。
通常量産工場では各プロセス装置を複数台所有しており、同じ工程の各装置は異なるレシピに設定されていることが多い。そのため、そのロットが製品になるまで繰り返されるプロセスの、各工程のどのプロセス装置にて処理するかを含めた情報を処理した時刻も含め管理することを履歴管理という。ここでいうレシピとは、ウェハプロセス処理の制御を行うために設定する、各プロセス装置へのプロセスシーケンス及び制御パラメータ(温度、圧力、ガスの種類及びガス量、時間等の制御目標値)に関する装置個別の処理プログラムのことを意味する。尚、上記記載のプロセス装置とは半導体製造装置のことを指すが、プロセス装置間とは、ロードポート、搬送装置、移載装置、装置前棚、保管庫等を含めた装置間を意味する。基板搬送容器は、洗浄/乾燥され、又、保存データはクリアされ、又、次の処理ロットに使用される。
In addition, when using a substrate transport container having data storage means in the substrate transport container as the substrate transport container, process data such as the process device number passed after completion of the wiring process for all layers. Is transferred to the process management computer and the electrical characteristics of the wiring in the chip are measured. Then, the process management computer performs data processing such as statistical data on the measurement data from the wiring inspection apparatus and the data of the process apparatus via the target wafer, and feeds it back to the production of the next lot.
Usually, a mass production factory has a plurality of process devices, and each device in the same process is often set to a different recipe. For this reason, managing a process that is repeated until the lot becomes a product, including the processing time of information including which process device of each process is processed, is called history management. The recipe here refers to an apparatus related to a process sequence and control parameters (control target values such as temperature, pressure, gas type and gas amount, time, etc.) for each process apparatus set in order to control wafer process processing. It means an individual processing program. The process device described above refers to a semiconductor manufacturing device, but the term “between process devices” means a device including a load port, a transfer device, a transfer device, a device front shelf, a storage, and the like. The substrate transfer container is cleaned / dried, the stored data is cleared, and used for the next processing lot.

上述したように、空気清浄器及び/又は電気式除湿機を容器に搭載する場合、駆動電源が必要になる。駆動電源は、搬送容器自体に二次電池などの電源を搭載する方法と、外部から給電する方法の2種類ある。   As described above, when the air purifier and / or the electric dehumidifier is mounted on the container, a driving power source is required. There are two types of driving power sources: a method in which a power source such as a secondary battery is mounted on the transport container itself, and a method in which power is supplied from the outside.

基板搬送容器の給電や充電場所は、半導体製造装置のロードポートに給電ステーションを設け、原則として容器が給電・充電端子を持った所定の位置に着座したときに行う。また、PGV、RGV、AGVといった搬送装置を用いて搬送を行う際にも、搬送台車に給電ステーションを備え、容器が給電ステーションに着座した時に給電を行う。搬送台車への給電は接触式端子や電磁誘導を利用した非接触式端子を用いて行うのが良い。接触式を使用する場合は、端子の破損防止機構を持つものが良い。
尚、本発明の実施の形態は底部にドアを持った基板搬送容器について記載したが、前面にドアを持つFOUPにも勿論適用可能である。
The power supply or charging place of the substrate transfer container is performed when a power supply station is provided in the load port of the semiconductor manufacturing apparatus and, as a rule, the container is seated at a predetermined position having a power supply / charge terminal. Also, when transporting using a transport device such as PGV, RGV, or AGV, a power supply station is provided in the transport cart, and power is supplied when the container is seated on the power supply station. It is preferable to supply power to the carriage using a contact type terminal or a non-contact type terminal using electromagnetic induction. When using the contact type, it is preferable to have a mechanism for preventing damage to the terminals.
Although the embodiment of the present invention has been described with respect to a substrate transport container having a door at the bottom, it is of course applicable to a FOUP having a door at the front.

本発明は、エレクトロニクス分野で、半導体ウェハ、フォトマスク又はハードディスク等の被処理物を清浄度の高い雰囲気下で保管又は搬送するのに利用できる。   INDUSTRIAL APPLICABILITY The present invention can be used in the electronics field for storing or transporting an object to be processed such as a semiconductor wafer, a photomask or a hard disk in a clean atmosphere.

本発明の基板搬送容器の概念図であり、点線の円内はロック機構のツメにロック機構を作動させるセンサと信号線が取付けられていることを示す。It is a conceptual diagram of the board | substrate conveyance container of this invention, and it shows that the sensor and signal wire | line which operate a lock mechanism are attached to the nail | claw of a lock mechanism in the circle of a dotted line. 本発明の一実施形態であるロック機構の拡大図を示し、(a)はロックが開放状態にあることを示し、(b)はロック状態にあることを示す。(c)はロック状態検知機構のセンサの設置位置を示す。The enlarged view of the locking mechanism which is one Embodiment of this invention is shown, (a) shows that a lock | rock is in an open state, (b) shows that it is in a locked state. (C) shows the installation position of the sensor of the lock state detection mechanism. 従来の基板搬送容器の概念図であり、(a)はSMIFタイプの基板搬送容器を示し、(b)はFOUPタイプの基板搬送容器を示す。It is a conceptual diagram of a conventional substrate transfer container, (a) shows a SMIF type substrate transfer container, (b) shows a FOUP type substrate transfer container. 従来の基板搬送容器における気流の流れとドアの開閉センサの位置を示す概念図を示し、(a)は基板搬送容器の上面図、(b)は基板搬送容器の正面図、(c)は基板搬送容器の断面図を示す。The conceptual diagram which shows the flow of the airflow in the conventional board | substrate conveyance container and the position of the opening / closing sensor of a door is shown, (a) is a top view of a board | substrate conveyance container, (b) is a front view of a board | substrate conveyance container, (c) is a board | substrate. Sectional drawing of a conveyance container is shown. 従来の基板搬送容器において、センサでドアの開放を検知するより前に容器とドアのシールが効かなくなることを示す概念図である。In the conventional board | substrate conveyance container, it is a conceptual diagram which shows that the seal | sticker of a container and a door becomes ineffective before detecting opening of a door with a sensor. 本発明の一実施形態である基板搬送容器の概念図を示す。The conceptual diagram of the board | substrate conveyance container which is one Embodiment of this invention is shown. 半導体の一般的な製造工程を説明する図である。It is a figure explaining the general manufacturing process of a semiconductor. 本発明の基板搬送容器におけるドアのロック機構とセンサとの配置例を説明する図である。It is a figure explaining the example of arrangement | positioning of the lock mechanism and sensor of a door in the board | substrate conveyance container of this invention.

符号の説明Explanation of symbols

1 容器本体(ポッド)
2 ドア(基板搬出入ドア)
3 環境ボックス
4 カセット
5 粒子状汚染物質除去フィルタ
6 ガス状不純物捕捉フィルタ
7 ファンモータ
8 除湿ユニット
9 演算処理ユニット
10 二次電池
11 センサ(ドア開閉検知センサ)
12 信号線
13 ドアシールパッキン
14 ヒンジ
15 マグネットセンサ
R ロック
W 基板(ウェハ)
1 Container body (pod)
2 Door (substrate loading / unloading door)
3 Environmental Box 4 Cassette 5 Particulate Contaminant Removal Filter 6 Gaseous Impurity Filter 7 Fan Motor 8 Dehumidification Unit 9 Arithmetic Processing Unit 10 Secondary Battery 11 Sensor (Door Open / Close Detection Sensor)
12 Signal line 13 Door seal packing 14 Hinge 15 Magnet sensor R Lock W Substrate (wafer)

Claims (5)

基板を内部に収容すると共に基板搬出入用の開口部を持った容器本体と該開口部を開閉可能なドアとで構成される基板搬送保管容器において、該基板搬送保管容器は、ファンを内蔵し気流を形成する手段を有する環境ボックスと、前記ドアをロックするロック機構とを備え、前記ロック機構の開閉ロック動作を検知するセンサを設けたことを特徴とする基板搬送保管容器。   In a substrate transport and storage container comprising a container main body having an opening for loading and unloading a substrate and a door capable of opening and closing the opening, the substrate transport and storage container includes a fan. A substrate transport and storage container comprising an environmental box having means for forming an air flow and a lock mechanism for locking the door, and a sensor for detecting an open / close lock operation of the lock mechanism. 該センサが前記基板搬送保管容器のロック機構のツメに取り付けられ、前記センサによりロック状態を検知することを特徴とする請求項1に記載の基板搬送保管容器。   The substrate transport storage container according to claim 1, wherein the sensor is attached to a claw of a lock mechanism of the substrate transport storage container, and the locked state is detected by the sensor. 該環境ボックスが少なくとも粒子状汚染物質除去フィルタ、ガス状不純物捕捉フィルタ、ファンモータ、ファン及び除湿器ユニットを備えていることを特徴とする請求項1又は請求項2に記載の基板搬送保管容器。   3. The substrate transport and storage container according to claim 1, wherein the environmental box includes at least a particulate contaminant removal filter, a gaseous impurity capturing filter, a fan motor, a fan, and a dehumidifier unit. 前記ロック機構のロックの解除動作が開始される前に前記環境ボックスのファンに停止信号が送信されて、基板搬送保管容器が開く前に環境ボックスのファンの運転が停止されることを特徴とする請求項1〜請求項3のいずれか一項に記載の基板搬送保管容器。   A stop signal is transmitted to the fan of the environmental box before the unlocking operation of the lock mechanism is started, and the operation of the fan of the environmental box is stopped before the substrate transfer storage container is opened. The board | substrate conveyance storage container as described in any one of Claims 1-3. 基板を内部に収容すると共に基板搬出入用の開口部を持った容器本体と該開口部を開閉可能なドアとで構成され、ファンを内蔵し気流を形成する手段を有する環境ボックスと、前記ドアをロックするロック機構とを備え、前記ロック機構の開閉ロック動作を検知するセンサを設けた基板搬送保管容器において、前記基板搬送保管容器のロック機構に取り付けられたセンサにより、前記ロック機構の開閉ロック動作の開始を検知し、環境ボックスのファンに停止信号を送り、ドアが開く前に該ファンの運転を停止して内部気流を止めることを特徴とする基板搬送保管容器の使用方法。   An environmental box having a means for accommodating a substrate and having an opening for opening and closing the substrate and a door capable of opening and closing the opening; A substrate transport storage container provided with a sensor for detecting an opening / closing lock operation of the lock mechanism, and a sensor attached to the lock mechanism of the substrate transport storage container is used to open / close the lock mechanism. A method of using a substrate transporting and storing container, wherein the start of operation is detected, a stop signal is sent to a fan of an environmental box, and the operation of the fan is stopped to stop an internal airflow before the door is opened.
JP2004178299A 2004-06-16 2004-06-16 Substrate carrying and keeping container and its using method Pending JP2006005072A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2004178299A JP2006005072A (en) 2004-06-16 2004-06-16 Substrate carrying and keeping container and its using method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2004178299A JP2006005072A (en) 2004-06-16 2004-06-16 Substrate carrying and keeping container and its using method

Publications (1)

Publication Number Publication Date
JP2006005072A true JP2006005072A (en) 2006-01-05

Family

ID=35773201

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004178299A Pending JP2006005072A (en) 2004-06-16 2004-06-16 Substrate carrying and keeping container and its using method

Country Status (1)

Country Link
JP (1) JP2006005072A (en)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008024429A (en) * 2006-07-20 2008-02-07 Toshiba Corp Manufacturing method for electronic device
JP2009105205A (en) * 2007-10-23 2009-05-14 Shin Etsu Polymer Co Ltd Substrate storing container
WO2011004729A1 (en) * 2009-07-09 2011-01-13 信越ポリマー株式会社 Substrate-storing container
CN109524328A (en) * 2017-09-20 2019-03-26 三星电子株式会社 The system and method for controlling semiconductor manufacturing facility, the method for manufacturing integrated circuit
CN112216635A (en) * 2020-10-22 2021-01-12 常永青 Intelligent storage device for chip wafer
CN114038772A (en) * 2022-01-07 2022-02-11 广州粤芯半导体技术有限公司 Feeding method of semiconductor machine
CN114257016A (en) * 2021-12-26 2022-03-29 陈孟敏 Direct current motor
JP2022050623A (en) * 2015-10-05 2022-03-30 ブルックス シーシーエス ゲーエムベーハー Humidity control in semiconductor system

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008024429A (en) * 2006-07-20 2008-02-07 Toshiba Corp Manufacturing method for electronic device
US8119020B2 (en) 2006-07-20 2012-02-21 Kabushiki Kaisha Toshiba Method for manufacturing electronic device
JP2009105205A (en) * 2007-10-23 2009-05-14 Shin Etsu Polymer Co Ltd Substrate storing container
WO2011004729A1 (en) * 2009-07-09 2011-01-13 信越ポリマー株式会社 Substrate-storing container
JP2011018771A (en) * 2009-07-09 2011-01-27 Shin Etsu Polymer Co Ltd Substrate-storing container
JP2022050623A (en) * 2015-10-05 2022-03-30 ブルックス シーシーエス ゲーエムベーハー Humidity control in semiconductor system
CN109524328A (en) * 2017-09-20 2019-03-26 三星电子株式会社 The system and method for controlling semiconductor manufacturing facility, the method for manufacturing integrated circuit
CN109524328B (en) * 2017-09-20 2023-04-07 三星电子株式会社 System and method for controlling semiconductor manufacturing facility, method for manufacturing integrated circuit
CN112216635A (en) * 2020-10-22 2021-01-12 常永青 Intelligent storage device for chip wafer
CN114257016A (en) * 2021-12-26 2022-03-29 陈孟敏 Direct current motor
CN114257016B (en) * 2021-12-26 2023-10-31 江门市盈派电器有限公司 DC motor
CN114038772A (en) * 2022-01-07 2022-02-11 广州粤芯半导体技术有限公司 Feeding method of semiconductor machine

Similar Documents

Publication Publication Date Title
JP3939101B2 (en) Substrate transport method and substrate transport container
JP4052947B2 (en) Substrate transfer container
JP3916380B2 (en) Substrate transfer container standby station
US9868140B2 (en) Recirculation substrate container purging systems
US20120325349A1 (en) Substrate accommodation device
JP2009503899A (en) Transfer container
US11107722B2 (en) Thin-plate substrate holding finger and transfer robot provided with said finger
WO2017060278A1 (en) Humidity control in semiconductor systems
JP2002170876A (en) Substrate transport container
KR20010078077A (en) Substrate housing
JP2002261159A5 (en)
JP2002122382A (en) Substrate container
JP5734409B2 (en) Air flow management system and method for providing an air flow management system for particle number reduction in a processing tool
JP2013522930A (en) Reduction of particle contamination generated by transfer mechanisms in processing tools
JP4089931B2 (en) Board storage device
JP2006005072A (en) Substrate carrying and keeping container and its using method
JPH06232064A (en) Heat treatment device
JP2002176097A (en) Substrate conveyance container and its usage
JP2002151585A (en) Power feeder apparatus for substrate transfer container
JP2009087992A (en) Plasma processing apparatus
US20060102284A1 (en) Semiconductor manufacturing equipment
KR20230157815A (en) substrate processing apparatus
JPH10321600A (en) Physical and chemical treatment system, and method for preventing deterioration of air-tight holding member
JP4044203B2 (en) Substrate processing equipment
TW202418448A (en) Substrate processing systems, apparatus, and methods with factory interface environmental controls

Legal Events

Date Code Title Description
RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20060424