JP2005523820A - Marking correction method for laser marking system - Google Patents

Marking correction method for laser marking system Download PDF

Info

Publication number
JP2005523820A
JP2005523820A JP2004500330A JP2004500330A JP2005523820A JP 2005523820 A JP2005523820 A JP 2005523820A JP 2004500330 A JP2004500330 A JP 2004500330A JP 2004500330 A JP2004500330 A JP 2004500330A JP 2005523820 A JP2005523820 A JP 2005523820A
Authority
JP
Japan
Prior art keywords
marking
chip
vision camera
point
symbol
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2004500330A
Other languages
Japanese (ja)
Inventor
ビョン−ファン キム
ジョン−ク リー
ヤン−シク ビュン
グー−チョル クォン
タエ−ジュン キム
Original Assignee
イーオー テクニクス カンパニー リミテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from KR1020020023108A external-priority patent/KR20030084406A/en
Priority claimed from KR20030009877A external-priority patent/KR100520899B1/en
Application filed by イーオー テクニクス カンパニー リミテッド filed Critical イーオー テクニクス カンパニー リミテッド
Publication of JP2005523820A publication Critical patent/JP2005523820A/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67282Marking devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/681Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment using optical controlling means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/544Marks applied to semiconductor devices or parts, e.g. registration marks, alignment structures, wafer maps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68313Auxiliary support including a cavity for storing a finished device, e.g. IC package, or a partly finished device, e.g. die, during manufacturing or mounting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/544Marks applied to semiconductor devices or parts
    • H01L2223/54473Marks applied to semiconductor devices or parts for use after dicing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Laser Beam Processing (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

【課題】 各チップの整列誤差を検出し、各チップの位置でのマーキング誤差を勘案したマーキングをするレーザマーキングシステムのマーキング補正方法を提供すること。
【解決手段】 本発明はレーザマーキングシステムのマーキング補正方法に関する。開示されたレーザマーキングシステムのマーキング補正方法は、(a)各ビジョンカメラに観察対象チップを割り当てる段階と、(b)各ビジョンカメラ及びレーザマーカの座標を一致させる段階と、(c)前記各チップまたは各チップに該当する位置に第1シンボルをマーキングし、当該ビジョンカメラで選択された第1シンボルを観察し、そのシンボルの一点を基準点としてティーチングする段階と、(d)当該ビジョンカメラで前記チップの前記第1シンボル及び基準点を観察して各チップに前記基準点を基準として第2シンボルをマーキングする段階と、(e)選択されたチップ上の第2シンボルを観察してそのシンボルの比較点をティーチングする段階と、(f)各チップ上の前記基準点から前記比較点の位置を検出して各セルでのマーキング誤差を検出する段階と、を備える。
PROBLEM TO BE SOLVED: To provide a marking correction method for a laser marking system which detects an alignment error of each chip and performs marking in consideration of a marking error at the position of each chip.
The present invention relates to a marking correction method for a laser marking system. The disclosed marking correction method of the laser marking system includes (a) assigning an observation target chip to each vision camera, (b) matching the coordinates of each vision camera and the laser marker, and (c) each chip or Marking a first symbol at a position corresponding to each chip, observing the first symbol selected by the vision camera, and teaching one point of the symbol as a reference point; and (d) the chip at the vision camera. Observing the first symbol and reference point and marking each chip with a second symbol on the basis of the reference point; and (e) observing the second symbol on the selected chip and comparing the symbols. Teaching a point; (f) detecting the position of the comparison point from the reference point on each chip and Comprising the steps of detecting the marking errors, the.

Description

本発明はビジョンカメラを利用したレーザマーキングシステムのマーキング補正方法に係り、さらに詳細にはマーキング対象物を撮像しつつあらかじめ保存されたマーキング誤差を勘案して補正するレーザマーキングシステムのマーキング補正方法に関する。   The present invention relates to a marking correction method of a laser marking system using a vision camera, and more particularly to a marking correction method of a laser marking system that corrects a marking object in consideration of a marking error stored in advance while imaging a marking object.

図1は、一般的なリードフレームストリップの概略的な平面図である。図面を参照すれば、一つのストリップ10には複数のチップ12が搭載され、これらチップ12を生産ロット別に区別するために各チップ12の表面に文字及び/または数字を表示する。この時、マーキングのために使用する装備としてレーザビームを使用する後述するレーザマーカ装備を使用する。ここで、14は認識タグであって、ストリップの整列に利用される標識である。   FIG. 1 is a schematic plan view of a typical lead frame strip. Referring to the drawing, a plurality of chips 12 are mounted on one strip 10, and letters and / or numbers are displayed on the surface of each chip 12 in order to distinguish the chips 12 by production lot. At this time, a laser marker equipment (described later) using a laser beam is used as equipment used for marking. Here, 14 is a recognition tag, which is a label used for aligning strips.

このようなストリップ10上にレーザマーカを使用するマーキング工程は、マーキング効率を向上させるためにストリップ10のハンドリングを含む自動工程でなされる傾向である。   Such a marking process using a laser marker on the strip 10 tends to be an automatic process including handling of the strip 10 in order to improve the marking efficiency.

図2は、一般的なレーザマーキングシステムの概略図である。   FIG. 2 is a schematic diagram of a typical laser marking system.

図面を参照すれば、レーザマーキングシステムは、複数のストリップ10が積載されたストリップ供給マガジン20と、前記マガジン20から一つのストリップ10を引出して水平移送テーブル22に整列するローダ30と、前記ストリップ10の整列状態を測定するプレビジョンカメラ40と、前記水平移送テーブル22上のストリップ10を一方向に移送するステップモータ50と、その下方に移送されたストリップ10上の複数のチップ上に文字をマーキングするレーザマーカ60と、前記マーキングされたストリップ10上のマーキングを撮像するポストビジョンカメラ70と、前記マーキングされたストリップ10をストリップ回収マガジン90にアンローディングするアンローダ80と、を備える。   Referring to the drawings, the laser marking system includes a strip supply magazine 20 in which a plurality of strips 10 are stacked, a loader 30 that draws one strip 10 from the magazine 20 and aligns it with a horizontal transfer table 22, and the strip 10. A pre-vision camera 40 for measuring the alignment state, a step motor 50 for moving the strip 10 on the horizontal transfer table 22 in one direction, and marking characters on a plurality of chips on the strip 10 transferred below A laser marker 60, a post vision camera 70 for imaging the marking on the marked strip 10, and an unloader 80 for unloading the marked strip 10 to the strip collection magazine 90.

前記ローダ30によって水平移送テーブル22に置かれたストリップ10は、プレビジョンカメラ40によって撮像される。このようなストリップ10は、整列状態が容易に判別されるようにストリップ10の一側に認識タグ(ストリップ自体またはエッチングライン)が形成されている。前記プレビジョンカメラ40によって整列されたストリップ10のみが次のマーキング工程に案内され、誤整列されたストリップ10はバイパスされて再びストリップ供給マガジン20に積載されるか、または廃棄される。   The strip 10 placed on the horizontal transfer table 22 by the loader 30 is imaged by the prevision camera 40. In such a strip 10, a recognition tag (a strip itself or an etching line) is formed on one side of the strip 10 so that the alignment state can be easily determined. Only the strips 10 aligned by the pre-vision camera 40 are guided to the next marking step, and the misaligned strips 10 are bypassed and loaded again into the strip supply magazine 20 or discarded.

前記水平移送テーブル22上のストリップ10を所定位置、すなわちプレビジョンカメラ40、レーザマーカ60及びポストビジョンカメラ70の下方に位置するようにアーム52がステップモータ50によって正確に駆動される。   The arm 52 is accurately driven by the step motor 50 so that the strip 10 on the horizontal transfer table 22 is positioned at a predetermined position, that is, below the pre-vision camera 40, the laser marker 60 and the post-vision camera 70.

図3は、レーザマーカの概略的な構成を示す図面である。図3を参照すれば、レーザマーカ60は、レーザ発振器61と、レーザビームを所定領域にX−Y方向に走査させるガルバノスキャナー63と、入射されたレーザビームが加工領域の全体に対して同じサイズの焦点を形成させるFシータレンズ64と、を備える。63a及び63bはそれぞれx及びyミラーとして入射されるレーザビームを反射させ、それぞれのミラーは駆動ドライバー(図示せず)に連結されて入力される命令によってその角度を変更する。   FIG. 3 is a drawing showing a schematic configuration of a laser marker. Referring to FIG. 3, a laser marker 60 includes a laser oscillator 61, a galvano scanner 63 that scans a laser beam in a XY direction in a predetermined region, and an incident laser beam having the same size with respect to the entire processing region. And an F-theta lens 64 for forming a focal point. 63a and 63b reflect incident laser beams as x and y mirrors, respectively, and each mirror is connected to a driving driver (not shown) and changes its angle according to an input command.

レーザマーカ60によって所定の文字がマーキングされたストリップ10は、次の工程であるポストビジョンカメラ70の下方へ移送される。ポストビジョンカメラ70は、ストリップ10を撮像してマーキング品質を判断し、マーキングが誤まったストリップはバイパスし、正しくマーキングされたストリップはアンローダ80でストリップ回収マガジン90に積載する。   The strip 10 on which predetermined characters are marked by the laser marker 60 is transferred to the lower side of the post vision camera 70 which is the next step. The post vision camera 70 images the strip 10 to determine the marking quality, bypasses the strip with the wrong marking, and loads the correctly marked strip on the strip collection magazine 90 by the unloader 80.

図4は、一般的なトレイ16及びその内部に位置するチップcを説明する平面図である。図4を参照すれば、トレイ16は、複数のチップcが位置するように各チップcが入るセルに区画されている。このセルのサイズは、チップのサイズに比べて多少大きいので、トレイ16を移送する時に各セルでのチップが動ける。したがって、レーザマーカでトレイ16内の各チップをマーキングする時、それぞれのチップが整列されていなければ、チップごとにマーキングされる位置が変わる。したがって、マーキング位置が一定しなければ、消費者からチップ自体の品質に対する信頼を与えられず、不満の原因となる。   FIG. 4 is a plan view for explaining a general tray 16 and a chip c located therein. Referring to FIG. 4, the tray 16 is partitioned into cells in which the chips c are placed so that a plurality of chips c are located. Since the size of this cell is slightly larger than the size of the chip, the chip in each cell can move when the tray 16 is transferred. Therefore, when each chip in the tray 16 is marked with the laser marker, if each chip is not aligned, the position of marking for each chip changes. Therefore, if the marking position is not constant, the consumer cannot be relied on the quality of the chip itself, causing dissatisfaction.

前記ストリップ上のチップにマーキングを行う時、プレビジョンカメラでストリップの誤整列のみを観察してマーキングすることが可能であるが、トレイ内のチップをマーキングする場合、各セル内で誤整列された状態のチップに前記方法でマーキングする時にマーキング品質が顕著に低下する問題が発生する。   When marking the chips on the strip, it is possible to mark by marking only the misalignment of the strip with the prevision camera, but when marking the chip in the tray, it was misaligned in each cell When marking a chip in a state by the above method, there arises a problem that the marking quality is remarkably deteriorated.

本発明は、前記問題点を改善するために創出されたものであって、本発明の目的は、各チップの整列誤差を検出し、各チップの位置でのマーキング誤差を勘案したマーキングをするレーザマーキングシステムのマーキング補正方法を提供することである。   The present invention was created to improve the above-mentioned problems, and an object of the present invention is to detect a laser alignment error by detecting the alignment error of each chip and taking into account the marking error at the position of each chip. A marking correction method for a marking system is provided.

前記目的を達成するために本発明のレーザマーキングシステムのマーキング補正方法は、トレイの各セル内に積載されたチップを少なくとも一つのビジョンカメラで観察しつつマーキングするレーザマーカと、マーキングされた誤差を検出するポストビジョンカメラと、を備えるレーザマーキングシステムのマーキング補正方法において、(a)前記各ビジョンカメラに観察対象チップを割り当てる段階と、(b)前記各ビジョンカメラ及びレーザマーカの座標を一致させる段階と、(c)前記各チップまたは各チップに該当する位置に所定の第1シンボルをマーキングし、当該ビジョンカメラで選択された第1シンボルを観察し、そのシンボルの一点を基準点としてティーチングする段階と、(d)当該ビジョンカメラで前記チップの前記第1シンボル及び基準点を観察し、各チップに前記基準点を基準として第2シンボルをマーキングする段階と、(e)選択されたチップ上の第2シンボルを観察してそのシンボルの比較点をティーチングする段階と、(f)各チップ上の前記基準点から前記比較点の位置を検出して各セルでのマーキング誤差を検出する段階と、を備える。   In order to achieve the above object, the marking correction method of the laser marking system according to the present invention includes a laser marker for marking while observing a chip loaded in each cell of a tray with at least one vision camera, and detecting a marked error. A marking correction method of a laser marking system comprising: (a) assigning an observation target chip to each vision camera; and (b) matching coordinates of each vision camera and laser marker; (C) marking each chip or a predetermined first symbol at a position corresponding to each chip, observing the first symbol selected by the vision camera, and teaching one point of the symbol as a reference point; (D) In the vision camera, the chip Observing one symbol and a reference point and marking each chip with a second symbol on the basis of the reference point; and (e) observing the second symbol on the selected chip and teaching a comparison point of the symbol. And (f) detecting a position of the comparison point from the reference point on each chip and detecting a marking error in each cell.

前記(a)段階は、(a1)前記トレイまたはそれと同じ形状のプレートの表面にマーキング用紙を付着して複数のビジョンカメラの下方に配置する段階と、(a2)前記レーザマーカで前記各セル内に一点をマーキングする段階と、(a3)前記ビジョンカメラで前記点を探して前記各セルを観察するビジョンカメラを割り当てる段階と、を備えることが望ましい。   In the step (a), (a1) a step of attaching a marking sheet to the surface of the tray or a plate having the same shape as the tray and disposing it below a plurality of vision cameras; and (a2) placing the laser marker in each cell with the laser marker. Preferably, the method includes: marking a point; and (a3) assigning a vision camera that looks for the point with the vision camera and observes each cell.

(a2)段階の前記一点は、前記セルの中央点であることが望ましい。   The one point in step (a2) is preferably the center point of the cell.

前記(b)段階は、(b1)前記レーザマーカで前記中央点を基準として当該セル領域の外郭エッジ点をマーキングする段階と、(b2)当該ビジョンカメラで前記エッジ点の位置を検出して前記レーザマーカの座標系と当該ビジョンカメラの座標系とを一致させる段階と、を備えることが望ましい。   The step (b) includes: (b1) marking an outer edge point of the cell area with the laser marker as a reference, and (b2) detecting the position of the edge point with the vision camera to detect the laser marker. And the step of matching the coordinate system of the vision camera with the coordinate system of the vision camera.

前記(c)段階は、前記第1シンボルをディスプレイで見つつポインティングデバイスで前記第1シンボルのエッジをポインティングし、前記(e)段階は、前記第2シンボルを前記ポストビジョンカメラに連結されたディスプレイで見つつポインティングデバイスで前記第2シンボルのエッジをポインティングする段階であることを特徴とする。   In step (c), an edge of the first symbol is pointed with a pointing device while viewing the first symbol on the display. In step (e), the second symbol is connected to the post vision camera. The edge of the second symbol is pointed with a pointing device while viewing the image.

一方、本発明は、(g)マーキング対象チップが積載されたトレイを前記ビジョンカメラの下方に配置する段階と、(h)当該ビジョンカメラで選択されたチップを観察して前記チップの一点をマーキング基準点としてティーチングする段階と、(i)各チップを当該ビジョンカメラで撮像して各チップの整列誤差を計算する段階と、(j)各チップの前記マーキング基準点を基準として前記マーキング誤差及び前記整列誤差を補正してマーキングする段階と、をさらに備えることが望ましい。   On the other hand, the present invention includes (g) a step of placing a tray loaded with chips to be marked below the vision camera, and (h) marking a point on the chip by observing the chip selected by the vision camera. Teaching as a reference point; (i) calculating each chip's alignment error by imaging each chip with the vision camera; and (j) using the marking reference point of each chip as a reference and the marking error and It is preferable that the method further includes a step of marking by correcting the alignment error.

前記(h)段階は、前記チップをディスプレイで見つつポインティングデバイスで前記チップのエッジをポインティングすることが望ましい。   In the step (h), it is preferable to point the edge of the chip with a pointing device while viewing the chip on the display.

前記(i)段階は、(i1)前記各チップを撮像する段階と、(i2)前記撮像されたイメージを標準イメージと比較して前記標準イメージの前記マーキング基準点から前記撮像されたイメージの前記マーキング基準点のx、y偏差及び傾斜角度を測定する段階と、を備えることが望ましい。   The step (i) includes: (i1) imaging each of the chips; (i2) comparing the captured image with a standard image, and comparing the captured image from the marking reference point of the standard image. Measuring the x, y deviation and the tilt angle of the marking reference point.

本発明によるレーザマーキングシステムの補正方法によれば、各セルでのマーキング誤差及び各チップの整列誤差を測定し、これら誤差を補正するようにガルバノスキャナーを調整することによってマーキング品質を向上しうる。   According to the correction method of the laser marking system of the present invention, the marking quality in each cell and the alignment error of each chip can be measured, and the marking quality can be improved by adjusting the galvano scanner so as to correct these errors.

以下、添付された図面を参照して本発明の望ましい実施例にレーザマーキングシステムのマーキング補正方法を詳細に説明する。   Hereinafter, a marking correction method of a laser marking system according to a preferred embodiment of the present invention will be described in detail with reference to the accompanying drawings.

図5は、本発明の望ましい実施例によるマーキング補正方法が適用されたレーザマーキングシステムの構成を概略的に示す図面であり、従来の発明と同じ構成要素には同じ名称を使用し、詳細な説明を省略する。   FIG. 5 is a schematic view showing a configuration of a laser marking system to which a marking correction method according to a preferred embodiment of the present invention is applied. Is omitted.

レーザマーキングシステム160は、複数のトレイ110が積載されたトレイ供給マガジン120と、前記マガジン120から一つのトレイ110を引出して水平移送テーブル122に整列するローダ130と、前記水平移送テーブル122上のトレイ110を一方向に移送するステップモータ150と、その下方へ移送されたトレイ110のセル内の各チップに文字をマーキングするレーザマーカ160と、前記マーキングされたトレイ110上のマーキングを撮像するポストビジョンカメラ170と、前記マーキングされたトレイ110をトレイ回収マガジン190にアンローディングするアンローダ180と、を備える。また、前記レーザマーキングシステムには前記ローダ130、プレビジョンカメラ140、ステップモータ150、レーザマーカ160、ポストビジョンカメラ170、アンローダ180を制御する制御ユニット100が設けられている。   The laser marking system 160 includes a tray supply magazine 120 on which a plurality of trays 110 are stacked, a loader 130 that pulls out one tray 110 from the magazine 120 and aligns it with a horizontal transfer table 122, and trays on the horizontal transfer table 122. Step motor 150 for transferring 110 in one direction, laser marker 160 for marking characters on each chip in a cell of tray 110 transferred to the lower side thereof, and a post vision camera for imaging the marking on the marked tray 110 170 and an unloader 180 for unloading the marked tray 110 to the tray collection magazine 190. The laser marking system is provided with a control unit 100 for controlling the loader 130, the pre-vision camera 140, the step motor 150, the laser marker 160, the post vision camera 170, and the unloader 180.

前記ポストビジョンカメラ170は、CCD(Charge Coupled Device)カメラである。CCDは、光を電気信号に変換する光電変換センサーである。カメラ170の前端のレンズ(図示せず)に入った光度は、まずCCDに記録される。この時に撮影された映像の光は、CCDに付いているRGB色フィルターによってそれぞれ異なる色に分離される。分離された色は、CCDを構成する数十万個の光センサー(画素に対応する)で電気的信号に変換される。CCDから出たアナログ信号は、0及び1のデジタル信号に変換されて映像信号が作られて出力される。前記ポストビジョンカメラ170は、トレイ110から光を受光して電気的映像信号を発生させる。前記カメラで撮像された映像信号は、制御ユニット100に伝送される。   The post vision camera 170 is a CCD (Charge Coupled Device) camera. The CCD is a photoelectric conversion sensor that converts light into an electrical signal. The intensity of light entering a lens (not shown) at the front end of the camera 170 is first recorded on the CCD. The image light captured at this time is separated into different colors by the RGB color filter attached to the CCD. The separated colors are converted into electrical signals by hundreds of thousands of photosensors (corresponding to pixels) constituting the CCD. An analog signal output from the CCD is converted into a digital signal of 0 and 1, and a video signal is generated and output. The post vision camera 170 receives light from the tray 110 and generates an electrical video signal. A video signal captured by the camera is transmitted to the control unit 100.

図6は、図5のレーザマーカの構成を概略的に示す図面である。   FIG. 6 is a drawing schematically showing the configuration of the laser marker of FIG.

図6を参照すれば、レーザマーカ160は、レーザ発振器161とガルバノスキャナー163及びFシータレンズ164と、マーキング対象物であるトレイ110内の複数のチップcから光を受光して電気的映像信号を発生するビジョンカメラ165と、前記トレイ110に光を照射するライト167と、前記ビジョンカメラ165からのチップの位置情報によって前記ガルバノスキャナー163のxミラー163a及びyミラー163bを調節するコントローラ(図示せず)が設けられる。   Referring to FIG. 6, the laser marker 160 receives light from a laser oscillator 161, a galvano scanner 163, an F-theta lens 164, and a plurality of chips c in the tray 110, which is a marking object, and generates an electrical video signal. And a controller (not shown) for adjusting the x mirror 163a and the y mirror 163b of the galvano scanner 163 according to the chip position information from the vision camera 165. Is provided.

前記ガルバノスキャナー163は、xミラー163a及びyミラー163bと、これらをそれぞれ駆動させるモータ(図示せず)とを備え、これらミラーの位置を調整してレーザビームを所定領域にX−Y方向に走査させる。   The galvano scanner 163 includes an x mirror 163a and a y mirror 163b and motors (not shown) for driving the mirrors 163a and 163b, respectively, and adjusts the positions of these mirrors to scan a laser beam in a predetermined area in the XY direction. Let

前記Fシータレンズ164は、入射されたレーザビームがマーキング対象物110の全体に対して同じサイズの焦点を形成させる。   The F-theta lens 164 allows the incident laser beam to form a focal point of the same size with respect to the entire marking object 110.

前記ビジョンカメラ165は、前記ポストビジョンカメラ170と同じCCDカメラを使用し、望ましくはトレイ110の移送方向と垂直方向のトレイ110の表面全体を撮像するように一つまたは複数個が配置されることが望ましい。したがって、トレイのサイズ及びカメラの視野によって使われるビジョンカメラの数が決定される。例えば、トレイの長手方向の長さ(トレイ移送方向の長さ)が320mmであり、トレイの長手方向と垂直方向の長さとが150mmであり、ビジョンカメラの視野が100×100mmであり、ビジョンカメラをトレイ移送方向と垂直方向に2個配置された場合、ステップモータ150にトレイ110を4回移送して、トレイの全面をビジョンカメラで撮像しうる。もし、4個のビジョンカメラをトレイ移送方向と垂直方向に2×2配置すれば、ステップモータ150にトレイ110を2回移送してトレイの全面をビジョンカメラで撮像しうる。   The vision camera 165 uses the same CCD camera as the post vision camera 170, and preferably one or a plurality are arranged so as to image the entire surface of the tray 110 in the direction perpendicular to the transfer direction of the tray 110. Is desirable. Thus, the number of vision cameras used is determined by the size of the tray and the field of view of the camera. For example, the length of the tray in the longitudinal direction (the length in the tray transfer direction) is 320 mm, the length of the tray in the longitudinal direction and the vertical direction is 150 mm, and the vision camera has a field of view of 100 × 100 mm. When two trays are arranged in the direction perpendicular to the tray transfer direction, the tray 110 can be transferred four times to the step motor 150 and the entire surface of the tray can be imaged with a vision camera. If four vision cameras are arranged 2 × 2 in the direction perpendicular to the tray transfer direction, the tray 110 can be transferred twice to the step motor 150 and the entire surface of the tray can be imaged by the vision camera.

一方、ポストビジョンカメラ170は、一つ配置されて移送されるトレイ110を移送方向と垂直方向に移動させつつマーキング状態を観察することが望ましい。   On the other hand, it is desirable that the post vision camera 170 observes the marking state while moving the tray 110 arranged and transferred in the direction perpendicular to the transfer direction.

前記レーザマーキングシステムを使用して本発明のレーザマーキングシステムの補正方法を詳細に説明する。   The correction method of the laser marking system of the present invention using the laser marking system will be described in detail.

図7A及び図7Bは、本発明の望ましい実施例によるレーザマーキングシステムのマーキング補正方法を示すフローチャートである。   7A and 7B are flowcharts illustrating a marking correction method of a laser marking system according to a preferred embodiment of the present invention.

まず、各セルでのマーキング誤差を計算するか否かをチェックする(第10段階)。   First, it is checked whether or not the marking error in each cell is to be calculated (step 10).

第10段階で、マーキング誤差を計算すると判断されれば、複数のビジョンカメラ165、例えば4台のビジョンカメラ165を使用する場合、各ビジョンカメラ165で観察するチップを割り当てる(第11段階)。   If it is determined in the tenth stage that the marking error is calculated, when using a plurality of vision cameras 165, for example, four vision cameras 165, a chip to be observed by each vision camera 165 is assigned (step 11).

図8は、図7Aに示された第11段階の一実施例11Aを説明するフローチャートである。   FIG. 8 is a flowchart for explaining an example 11A of the eleventh stage shown in FIG. 7A.

図8を参照すれば、まず、トレイ110またはトレイと同じ形状のプレート(図示せず)の表面にマーキング用紙(図示せず)を付着してビジョンカメラ165の下部に配置する(第30段階)。マーキング用紙は、白色の用紙上に黒色が印刷された用紙を使用し、このマーキング用紙の表面がFシータレンズ164の焦点距離に位置するように配置することが望ましい。   Referring to FIG. 8, first, a marking sheet (not shown) is attached to the surface of the tray 110 or a plate (not shown) having the same shape as the tray, and is disposed below the vision camera 165 (step 30). . As the marking paper, it is desirable to use a paper in which black is printed on a white paper, and to arrange the marking paper so that the surface of the marking paper is located at the focal length of the F-theta lens 164.

次いで、レーザマーカ160で各セルの一点、例えば中央点をマーキングする(第32段階)。   Next, one point, for example, the center point of each cell is marked with the laser marker 160 (step 32).

そして、ビジョンカメラ165で前記中央点を探して各セルを観察するビジョンカメラ165を定める(第34段階)。   Then, the vision camera 165 is searched for the central point by the vision camera 165 and the respective cells are observed (step 34).

第11段階後に、各ビジョンカメラ165の座標系とレーザマーカ160の座標系とを一致させる(第12段階)。これは、ビジョンカメラ165で見る座標とレーザマーカ160で見る座標との単位が異なるので、これら座標を一致させる過程である。   After the eleventh step, the coordinate system of each vision camera 165 and the coordinate system of the laser marker 160 are matched (step 12). This is a process in which the coordinates of the coordinates viewed by the vision camera 165 and the coordinates of the coordinates viewed by the laser marker 160 are different from each other.

図9は、図7Aに示された第12段階の一実施例12Aを説明するフローチャートである。   FIG. 9 is a flowchart for explaining an embodiment 12A of the twelfth stage shown in FIG. 7A.

図9を参照すれば、まず、レーザマーカ160で前記第32段階の中央点を基準としてセルに該当する領域の四角形エッジに点をマーキングする(第42段階)。この時、エッジ点はレーザマーカ160の座標系によってマーキングされる。   Referring to FIG. 9, first, the laser marker 160 marks a square edge of a region corresponding to a cell with reference to the center point in the thirty-second step (step 42). At this time, the edge point is marked by the coordinate system of the laser marker 160.

次いで、選択されたセルの外郭点の位置を当該ビジョンカメラ165の座標系で検出して第42段階でのレーザマーカ160の座標系と比較して換算する(第44段階)。   Next, the position of the outline point of the selected cell is detected by the coordinate system of the vision camera 165 and compared with the coordinate system of the laser marker 160 in the forty-second stage (step 44).

第12段階後に、各チップに該当する位置に所定の第1シンボルS1をマーキングした後、当該ビジョンカメラ165で選択された第1シンボルS1をディスプレイ(図示せず)上で観察しつつポインティングデバイス(図示せず)で第1シンボルS1のエッジをポインティングして基準点P1とする(図10参照)。すなわち、基準点P1を当該ビジョンカメラ165にティーチングする(第13段階)。   After the twelfth stage, after marking a predetermined first symbol S1 at a position corresponding to each chip, a pointing device (not shown) is observed while observing the first symbol S1 selected by the vision camera 165 on the display (not shown). The edge of the first symbol S1 is pointed at a reference point P1 (not shown) (see FIG. 10). That is, the reference point P1 is taught to the vision camera 165 (13th stage).

次いで、当該ビジョンカメラ165で各セルを観察しつつ第1シンボルS1と基準点P1とを探して、基準点P1を基準として第2シンボルS2をマーキングする(第14段階)。   Next, the first symbol S1 and the reference point P1 are searched while observing each cell with the vision camera 165, and the second symbol S2 is marked using the reference point P1 as a reference (step 14).

前記マーキング過程を終えたトレイ110またはプレートは、ポストビジョンカメラ170の下方へ移送されてトレイ移送方向と垂直方向に移動するポストビジョンカメラ170によって望ましくは一つのセルずつ観察される。作業者は、このうち一つのチップをディスプレイで観察しつつポインティングデバイスで第2シンボルS2の一点P2をポインティングしてポストビジョンカメラ170に比較点P2をティーチングする(第15段階)。   The tray 110 or plate after the marking process is transferred to a position below the post vision camera 170 and is preferably observed one cell at a time by the post vision camera 170 moving in the direction perpendicular to the tray transfer direction. The operator points one point P2 of the second symbol S2 with a pointing device while teaching one of the chips on the display, and teaches the comparison point P2 to the post vision camera 170 (step 15).

次いで、ポストビジョンカメラ170で各セルの基準点P1とマーキング基準点P2とを検出して基準点P1から比較点P2の位置を測定した後、所定の二つの点間の偏差からマーキング誤差を計算してメモリに保存する(第16段階)。メモリに保存されたマーキング誤差は、ガルバノスキャナー163のxミラー163a及びyミラー163bの調節値を補正して前記マーキング誤差を補正したマーキングをする。   Next, after detecting the reference point P1 and the marking reference point P2 of each cell with the post vision camera 170 and measuring the position of the comparison point P2 from the reference point P1, the marking error is calculated from the deviation between two predetermined points. Then, it is stored in the memory (step 16). For the marking error stored in the memory, the marking error is corrected by correcting the adjustment values of the x mirror 163a and the y mirror 163b of the galvano scanner 163.

前記マーキング誤差の計算過程は、トレイ110の各セル内でチップが一定に配列された場合、またはストリップにチップが形成された場合には適用可能であるが、トレイ110内でチップが動く場合には再び各チップの整列誤差を測定して補正しなければならない。   The calculation process of the marking error is applicable when the chips are arranged uniformly in each cell of the tray 110 or when the chips are formed on the strip, but when the chips move in the tray 110. Again, the alignment error of each chip must be measured and corrected.

次いで、セル内にチップが積載されたトレイ110を第30段階と同じ位置に配置する(第17段階)。   Next, the tray 110 on which the chips are loaded in the cell is arranged at the same position as the 30th stage (17th stage).

次いで、マーキング基準点を新たに指定しなければならないかを判断する(第18段階)。一方、第10段階でメモリにあらかじめ保存された各セルでのマーキング誤差を使用する場合には、第18段階を行う。   Next, it is determined whether a new marking reference point has to be designated (step 18). On the other hand, when the marking error in each cell previously stored in the memory in the tenth step is used, the eighteenth step is performed.

第18段階で、新たにマーキング基準点を指定すると判断されれば、当該ビジョンカメラ165で選択されたチップをディスプレイで見つつポインティングデバイスで前記チップの一点、例えば一つのエッジ点P3をポインティングして当該ビジョンカメラ165にマーキング基準点P3としてティーチングする(第19段階)(図11を参照)。   If it is determined in the eighteenth step that a new marking reference point is designated, the point selected by the vision camera 165 is viewed on the display, and one point of the chip, for example, one edge point P3 is pointed with a pointing device. Teaching the vision camera 165 as the marking reference point P3 (step 19) (see FIG. 11).

次いで、各チップを当該ビジョンカメラ165で撮像して各チップの整列誤差を計算する(第20段階)。第18段階で、あらかじめ保存されたマーキング基準点P3を使用すると判断されれば、第20段階を行う。   Next, each chip is imaged by the vision camera 165 and the alignment error of each chip is calculated (step 20). If it is determined in the eighteenth step that the pre-stored marking reference point P3 is used, the twentieth step is performed.

図12は、図7Bに示された第20段階の一実施例20Aを説明するフローチャートである。   FIG. 12 is a flowchart for explaining an embodiment 20A of the twentieth stage shown in FIG. 7B.

図12を参照すれば、まず、各チップの位置をビジョンカメラ165で撮像する(第50段階)。   Referring to FIG. 12, first, the position of each chip is imaged by the vision camera 165 (step 50).

次いで、前記チップの標準イメージ(図12の点線表示)と測定された各チップのイメージ(図12の実線表示)とを比較して前記マーキング基準点P3に対応する標準イメージの一点P0からの前記マーキング基準点P3のx、y偏差dx,dyと前記測定されたチップの傾斜角度θとを測定する(第52段階)。   Next, the standard image of the chip (displayed with a dotted line in FIG. 12) is compared with the measured image of each chip (displayed with a solid line in FIG. 12), and the standard image corresponding to the marking reference point P3 from the point P0 is obtained. The x and y deviations dx and dy of the marking reference point P3 and the measured tip inclination angle θ are measured (step 52).

第20段階後に、各チップのマーキング基準点P3を基準として第32段階で計算された当該セルのマーキング誤差と、第52段階で計算された各チップの整列誤差を補正してマーキングする(第21段階)。すなわち、前記誤差を勘案してガルバノスキャナー163のxミラー163a及びyミラー163bを調節して各チップにマーキングする。   After the twentieth stage, marking is performed by correcting the marking error of the cell calculated in the thirty-second stage based on the marking reference point P3 of each chip and the alignment error of each chip calculated in the twenty-second stage (21st stage). Stage). That is, in consideration of the error, the x mirror 163a and the y mirror 163b of the galvano scanner 163 are adjusted to mark each chip.

前記実施例では、トレイのセル内の各チップをマーキングする方法を記述したが、ストリップに形成された各チップをマーキングする時にも各チップのマーキング誤差を検出してマーキング補正する方法にも適用可能である。   In the above embodiment, the method for marking each chip in the cell of the tray has been described. However, when marking each chip formed on the strip, the method can be applied to a method for detecting a marking error of each chip and correcting the marking. It is.

また、前記実施例では、複数のビジョンカメラを利用したマーキングシステムでのマーキング補正方法を説明したが、一つのビジョンカメラでトレイまたはストリップの一幅が観察される場合にも適用可能である。   In the above embodiment, the marking correction method in the marking system using a plurality of vision cameras has been described. However, the present invention is also applicable to the case where one width of the tray or strip is observed with one vision camera.

本発明は、図面を参照して実施例を参考として説明されたが、これは例示的なものに過ぎず、当業者ならば、これから多様な変形及び均等な他の実施例が可能であることが分かる。したがって、本発明の真の技術的保護範囲は、特許請求の範囲によって決定されなければならない。   The present invention has been described with reference to the embodiments with reference to the drawings. However, the embodiments are illustrative only, and various modifications and equivalent other embodiments may be made by those skilled in the art. I understand. Therefore, the true technical protection scope of the present invention must be determined by the claims.

一般的なリードフレームストリップの概略的な平面図である。1 is a schematic plan view of a general lead frame strip. FIG. 一般的なレーザマーキングシステムの概略図である。1 is a schematic view of a general laser marking system. 図2のレーザマーカの構成を概略的に示す図面である。It is drawing which shows the structure of the laser marker of FIG. 2 roughly. 一般的なトレイ及びその内部に位置するチップを示す図面である。2 is a diagram illustrating a general tray and a chip located therein. 本発明の望ましい実施例によるマーキング補正方法が適用されたレーザマーキングシステムの構成を概略的に示す図面である。1 is a diagram schematically illustrating a configuration of a laser marking system to which a marking correction method according to a preferred embodiment of the present invention is applied. 図5のレーザマーカの構成を概略的に示す図面である。6 is a drawing schematically showing a configuration of a laser marker in FIG. 5. 本発明の望ましい実施例によるレーザマーキングシステムの補正方法を示すフローチャートである。5 is a flowchart illustrating a correction method of a laser marking system according to a preferred embodiment of the present invention. 本発明の望ましい実施例によるレーザマーキングシステムの補正方法を示すフローチャートである。5 is a flowchart illustrating a correction method of a laser marking system according to a preferred embodiment of the present invention. 図7Aに示された第11段階の一実施例を説明するフローチャートである。FIG. 7B is a flowchart illustrating an example of an eleventh stage shown in FIG. 7A. 図7Aに示された第12段階の一実施例を説明するフローチャートである。It is a flowchart explaining one Example of the 12th step shown by FIG. 7A. 各セルでのマーキング誤差を測定することを説明する図面である。It is drawing explaining measuring the marking error in each cell. チップの整列誤差を測定することを説明する図面である。6 is a diagram illustrating measuring a chip alignment error. 図7Bに示された第20段階の一実施例を説明するフローチャートである。It is a flowchart explaining one Example of the 20th step shown by FIG. 7B.

符号の説明Explanation of symbols

10 ストリップ
12 チップ
14 認識タグ
20,120 供給マガジン
22,122 水平移送テーブル
30,130 ローダ
40 プレビジョンカメラ
50,150 ステップモータ
60,160 レーザマーカ
61,161 レーザ発振器
63,163 ガルバノスキャナー
63a,163a xミラー
63b,163b yミラー
64,164 Fシータレンズ
100 制御ユニット
110 トレイ
165 ビジョンカメラ
167 ライト
10 strip 12 chip 14 recognition tag 20,120 supply magazine 22,122 horizontal transfer table 30,130 loader 40 prevision camera 50,150 step motor 60,160 laser marker 61,161 laser oscillator 63,163 galvano scanner 63a, 163a x mirror 63b, 163b y mirror 64, 164 F-theta lens 100 control unit 110 tray 165 vision camera 167 light

Claims (10)

トレイの各セル内に積載されたチップを少なくとも一つのビジョンカメラで観察しつつマーキングするレーザマーカと、マーキングされた誤差を検出するポストビジョンカメラとを備えるレーザマーキングシステムのマーキング補正方法において、
(a)前記各ビジョンカメラに観察対象チップを割り当てる段階と、
(b)前記各ビジョンカメラ及びレーザマーカの座標を一致させる段階と、
(c)前記各チップまたは各チップに該当する位置に所定の第1シンボルをマーキングし、当該ビジョンカメラで選択された第1シンボルを観察し、そのシンボルの一点を基準点としてティーチングする段階と、
(d)当該ビジョンカメラで前記チップの前記第1シンボル及び基準点を観察して各チップに前記基準点を基準として第2シンボルをマーキングする段階と、
(e)選択されたチップ上の第2シンボルを観察してそのシンボルの比較点をティーチングする段階と、
(f)各チップ上の前記基準点から前記比較点の位置を検出して各セルでのマーキング誤差を検出する段階と、を備えることを特徴とするレーザマーキングシステムのマーキング補正方法。
In a marking correction method of a laser marking system, comprising a laser marker for marking while observing a chip loaded in each cell of a tray with at least one vision camera, and a post vision camera for detecting a marked error,
(A) assigning an observation target chip to each vision camera;
(B) matching the coordinates of each vision camera and laser marker;
(C) marking each chip or a predetermined first symbol at a position corresponding to each chip, observing the first symbol selected by the vision camera, and teaching one point of the symbol as a reference point;
(D) observing the first symbol and the reference point of the chip with the vision camera and marking the second symbol on each chip based on the reference point;
(E) observing a second symbol on the selected chip and teaching a comparison point of the symbol;
And (f) detecting a marking error in each cell by detecting the position of the comparison point from the reference point on each chip, and a marking correction method for a laser marking system.
前記(a)段階は、
(a1)前記トレイまたはそれと同じ形状のプレート表面にマーキング用紙を付着して複数のビジョンカメラの下方に配置する段階と、
(a2)前記レーザマーカで前記各セル内に一点をマーキングする段階と、
(a3)前記ビジョンカメラで前記点を探して前記各セルを観察するビジョンカメラを割り当てる段階と、を備えることを特徴とする請求項1に記載のレーザマーキングシステムのマーキング補正方法。
The step (a) includes:
(A1) a step of attaching a marking sheet to the surface of the tray or a plate having the same shape as the tray and disposing it below a plurality of vision cameras;
(A2) marking one point in each cell with the laser marker;
The method according to claim 1, further comprising: (a3) assigning a vision camera that looks for the point with the vision camera and observes each cell.
前記こと点は、前記セルの中央点であることを特徴とする請求項2に記載のレーザマーキングシステムのマーキング補正方法。   The marking correction method of the laser marking system according to claim 2, wherein the point is a center point of the cell. 前記(b)段階は、
(b1)前記レーザマーカで前記中央点を基準として当該セル領域の外郭エッジ点をマーキングする段階と、
(b2)当該ビジョンカメラで前記エッジ点の位置を検出して前記レーザマーカの座標系と当該ビジョンカメラの座標系とを一致させる段階と、を備えることを特徴とする請求項3に記載のレーザマーキングシステムのマーキング補正方法。
In step (b),
(B1) marking an outer edge point of the cell region with the laser marker as a reference with respect to the center point;
The laser marking according to claim 3, further comprising: (b2) detecting the position of the edge point with the vision camera to match the coordinate system of the laser marker with the coordinate system of the vision camera. System marking correction method.
前記(c)段階は、前記第1シンボルをディスプレイで見つつポインティングデバイスで前記第1シンボルのエッジをポインティングすることを特徴とする請求項1に記載のレーザマーキングシステムのマーキング補正方法。   2. The method of claim 1, wherein the step (c) includes pointing an edge of the first symbol with a pointing device while viewing the first symbol on a display. 前記(e)段階は、前記第2シンボルを前記ポストビジョンカメラに連結されたディスプレイで見つつポインティングデバイスで前記第2シンボルのエッジをポインティングすることを特徴とする請求項1に記載のレーザマーキングシステムのマーキング補正方法。   The laser marking system according to claim 1, wherein in the step (e), an edge of the second symbol is pointed with a pointing device while the second symbol is viewed on a display connected to the post vision camera. Marking correction method. (g)マーキング対象チップが積載されたトレイを前記ビジョンカメラの下方に配置する段階と、
(h)当該ビジョンカメラで選択されたチップを観察して前記チップの一点をマーキング基準点としてティーチングする段階と、
(i)各チップを当該ビジョンカメラで撮像して各チップの整列誤差を計算する段階と、
(j)各チップの前記マーキング基準点を基準として前記マーキング誤差及び前記整列誤差を補正してマーキングする段階と、をさらに備えることを特徴とする請求項4に記載のレーザマーキングシステムのマーキング補正方法。
(G) arranging a tray loaded with chips to be marked below the vision camera;
(H) observing a chip selected by the vision camera and teaching one point of the chip as a marking reference point;
(I) imaging each chip with the vision camera and calculating the alignment error of each chip;
5. The marking correction method for a laser marking system according to claim 4, further comprising: (j) marking by correcting the marking error and the alignment error with reference to the marking reference point of each chip. .
前記(g)段階は、前記(a1)段階の前記プレートの位置に前記トレイを配置することを特徴とする請求項7に記載のレーザマーキングシステムのマーキング補正方法。   8. The marking correction method for a laser marking system according to claim 7, wherein in the step (g), the tray is disposed at the position of the plate in the step (a1). 前記(h)段階は、前記チップをディスプレイで見つつポインティングデバイスで前記チップのエッジをポインティングすることを特徴とする請求項7に記載のレーザマーキングシステムのマーキング補正方法。   8. The marking correction method for a laser marking system according to claim 7, wherein, in the step (h), the edge of the chip is pointed with a pointing device while the chip is viewed on a display. 前記(i)段階は、
(i1)前記各チップを撮像する段階と、
(i2)前記撮像されたイメージを標準イメージと比較して前記標準イメージの前記マーキング基準点から前記撮像されたイメージの前記マーキング基準点のx、y偏差及び傾斜角度を測定する段階と、を備えることを特徴とする請求項7に記載のレーザマーキングシステムのマーキング補正方法。
In step (i),
(I1) imaging each of the chips;
(I2) comparing the captured image with a standard image and measuring the x, y deviation and tilt angle of the marking reference point of the captured image from the marking reference point of the standard image. The marking correction method for a laser marking system according to claim 7.
JP2004500330A 2002-04-26 2003-04-25 Marking correction method for laser marking system Pending JP2005523820A (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
KR1020020023108A KR20030084406A (en) 2002-04-26 2002-04-26 Laser marking method using camera
KR20030009877A KR100520899B1 (en) 2003-02-17 2003-02-17 Calibrating method of marking for lazer marking system
PCT/KR2003/000841 WO2003092069A1 (en) 2002-04-26 2003-04-25 Method of calibrating marking in laser marking system

Publications (1)

Publication Number Publication Date
JP2005523820A true JP2005523820A (en) 2005-08-11

Family

ID=29272439

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004500330A Pending JP2005523820A (en) 2002-04-26 2003-04-25 Marking correction method for laser marking system

Country Status (5)

Country Link
JP (1) JP2005523820A (en)
CN (1) CN100358142C (en)
AU (1) AU2003222499A1 (en)
TW (1) TW592866B (en)
WO (1) WO2003092069A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101061056B1 (en) 2011-03-29 2011-09-01 주식회사 투아이스펙트라 Glass plate inside marking system

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040144760A1 (en) * 2002-05-17 2004-07-29 Cahill Steven P. Method and system for marking a workpiece such as a semiconductor wafer and laser marker for use therein
CN101327483B (en) * 2007-06-20 2012-02-08 京元电子股份有限公司 Device and method for classifying chips
CN101355011B (en) * 2007-07-24 2010-11-17 京元电子股份有限公司 Method and system for stamping wafer laser
CN101832772B (en) * 2010-06-01 2012-05-02 郑州辰维科技有限公司 Calibrating method of laser dot-matrix device of obstacle avoidance system of lunar rover
TWI543830B (en) 2013-05-10 2016-08-01 財團法人工業技術研究院 Visual error correction method
TW201532855A (en) * 2013-10-23 2015-09-01 Automation Tooling Syst Multiple part decoration system and method
US9950389B1 (en) 2014-09-19 2018-04-24 EMC IP Holding Company LLC Laser calibration
US20170323708A1 (en) * 2016-05-03 2017-11-09 Texas Instruments Incorporated Component sheet and method of singulating
CN108074853A (en) * 2017-04-27 2018-05-25 深圳市东飞凌科技有限公司 Wafer alignment method and device
CN115195322B (en) * 2022-07-29 2023-06-06 韦孚智能科技(上海)有限公司 Visual marking method

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01194322A (en) * 1988-01-29 1989-08-04 Canon Inc Semiconductor printer
JPH01318947A (en) * 1988-06-20 1989-12-25 Hitachi Ltd X-ray inspecting apparatus
JPH04330754A (en) * 1991-03-02 1992-11-18 Toshiba Corp Identifyer of carrier box semiconductor substrate
KR20000008807A (en) * 1998-07-16 2000-02-15 한영수 Method and device for manufacturing resin plate for decoration
US6160831A (en) * 1998-10-26 2000-12-12 Lambda Physik Gmbh Wavelength calibration tool for narrow band excimer lasers
KR20000008807U (en) * 1998-10-28 2000-05-25 김영환 Marking device for semiconductor package
KR100327101B1 (en) * 1999-08-11 2002-03-18 김주환 An automatic teaching method in marking inspection process of a semiconductor packages

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101061056B1 (en) 2011-03-29 2011-09-01 주식회사 투아이스펙트라 Glass plate inside marking system

Also Published As

Publication number Publication date
CN100358142C (en) 2007-12-26
AU2003222499A1 (en) 2003-11-10
TW200305475A (en) 2003-11-01
CN1650428A (en) 2005-08-03
WO2003092069A1 (en) 2003-11-06
TW592866B (en) 2004-06-21

Similar Documents

Publication Publication Date Title
US7251883B2 (en) Electronic-component alignment method and apparatus therefor
TWI727313B (en) Method and system for automatically correcting needle position
US9360776B2 (en) Alignment correction method for substrate to be exposed, and exposure apparatus
JP2005523820A (en) Marking correction method for laser marking system
US6229629B1 (en) Device and method for quick and precise determination of scan start point for image scanner
KR100771496B1 (en) Calibrating apparatus and method of marking for laser marking system
JP6684684B2 (en) Position detection method for sample container, imaging method using the same, and position detection device for sample container
KR100520899B1 (en) Calibrating method of marking for lazer marking system
KR100628459B1 (en) Method of marking of laser marking system
JP5015552B2 (en) Pattern correction device
JPH0969973A (en) Position adjusting method for solid-state image pickup element
KR100486088B1 (en) Calibrating method of marking for lazer marking system using post vision camera
JP2006267191A (en) Exposure device
KR101665764B1 (en) Drawing apparatus, substrate processing system and drawing method
JP2008124336A (en) Shape recognition method and position correction method for semiconductor chip
US20090027737A1 (en) Method for correcting an overlap region and scanning device
CN105989587A (en) Automatic calibration method of multifunctional OCT (optical coherence tomography) system
KR20030084406A (en) Laser marking method using camera
JP7464500B2 (en) Method for processing defective pixels in an imaging device for a component mounting device, imaging device for a component mounting device, and component mounting device
KR20030084407A (en) Laser marking method using eccentric camera lens
JPH05196420A (en) Method and device for alignment of semiconductor exposure device
JP3519568B2 (en) Alignment method and mounting method of semiconductor pellet
JP3808887B2 (en) Component recognition device
JP2000258744A (en) State detection mechanism and method therefor
JP2007333683A (en) Method of adjusting photographing attitude of line color camera

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20060825

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060905

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20061205

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20070720

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20071018

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20071022

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20071127

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20080725