JP2004530922A - Process for forming sublithographic photoresist features - Google Patents

Process for forming sublithographic photoresist features Download PDF

Info

Publication number
JP2004530922A
JP2004530922A JP2002578554A JP2002578554A JP2004530922A JP 2004530922 A JP2004530922 A JP 2004530922A JP 2002578554 A JP2002578554 A JP 2002578554A JP 2002578554 A JP2002578554 A JP 2002578554A JP 2004530922 A JP2004530922 A JP 2004530922A
Authority
JP
Japan
Prior art keywords
features
photoresist layer
patterned
layer
trimming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2002578554A
Other languages
Japanese (ja)
Inventor
エイ. シールズ ジェフリー
オコロアンヤンウ ウゾディンマ
ヤン チ−ユ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Micro Devices Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Publication of JP2004530922A publication Critical patent/JP2004530922A/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S430/00Radiation imagery chemistry: process, composition, or product thereof
    • Y10S430/143Electron beam

Abstract

集積回路内にサブリソグラフィックィフィーチャーを形成するプロセスである。このプロセスは、パターニングおよびパターン現像後で、下地層をパターン化する前に、フォトレジスト層(16)を変質させる過程を含む。前述の変質されたフォトレジスト層(16)は、垂直方向および横方向において異なるエッチング速度を有する。改変されたフォトレジスト層(16)は、プラズマエッチングでトリミングされる。トリミングされたフォトレジスト層(16)に含まれるフィーチャーは、その横方向のサイズが、サブリソグラフィックサイズとなっている。A process for forming sublithographic features in an integrated circuit. This process involves altering the photoresist layer (16) after patterning and pattern development and before patterning the underlayer. The altered photoresist layer (16) has different etch rates in the vertical and lateral directions. The modified photoresist layer (16) is trimmed by plasma etching. The features included in the trimmed photoresist layer (16) have a sublithographic size in the lateral direction.

Description

【関連出願の表示】
【0001】
本願は、オコロアニャンウ(Okoroanyanwu)その他によって出願された米国出願番号09/819,692(代理人ドケットNo.39153/404(F0943))「電子ビーム安定化によるパターン化された形状破壊を防止するプロセス」(Process for Preventing Deformation of Pattered Photoresist Features by Electron Beam stabilization)」、及び米国出願番号09/820,143(代理人ドケットNo.39153/405(F0945))「パターン化されたフォトレジストフィーチャーのSEM検査および分析を向上させる過程」(Improving SEM Inspection and Analysis of Paterned Photoresist Features)及び米国出願番号09/819,344(代理人ドケットNo.39153/406(F1061))「集積回路デバイスフィーチャーの臨界次元サイズを減少するためのプロセス」(Process for reducing the Critical Dimensions of Intergrated Circuit )及びガブリエル(Gabriel)その他によって出願された米国出願番号09/819,343(代理人ドケットNo.39153/298(F0785))「横面トリミングを容易に実行するための選択されたフォトレジスト硬化剤」(Selective Photoresist Hardening to Faciliate Lateral Trimming)及び米国出願番号09/819,552(代理人ドケットNo.39153/310(F0797))「超薄膜フォトレジストのエッチング安定性を向上させるプロセス」(Process for Improving the Etch Stability of Ultra-Thin Photoresist)に基づいた出願であり、これらの出願の内容は、参照として本願に包含される。
【技術分野】
【0002】
本発明は、概して集積回路(IC)の製造に関する。より具体的には、改変されたフォトレジスト表面を用いて幅方向寸法がサブリソグラフィックィレベルとなっているICフィーチャの製造に関する。
【背景技術】
【0003】
半導体もしくは集積回路(IC)業界では、ICのさらなる高性能を達成するために、また製造コストを削減するためにデバイスの密度をより一層高くしてかつチップをより小さくしたICを製造することを目的としている。大型回路に関するこの趣旨は、回路サイズおよびデバイスフィーチャのさらなる小型化を必要としている。電界効果型トランジスタ(field-effect transistor:FET)におけるゲート長および導線幅のような構造サイズを減少させるこの能力は、リソグラフィのパフォーマンスにより推進される。
【0004】
IC製造技術では、フォトマスク(マスクとも称される)もしくはレチクルがよく使用される。半導体ウェハ上にイメージを形成するために放射がマスクもしくはレチクルを通して施されるかもしくは離れて照射される。概略的に前述のイメージは、ウェハ上のフォトレジスト材料のような材料層上に投影されて、パターン化される。次に前述のパターン化されたフォトレジスト材料は、ドーピング領域、析出領域、エッチング領域、およびもしくは他のIC構造の領域を限定するために用いられる。前述のパターン化されたフォトレジスト材料は、また導線もしくは前述ICの金属層と関連する導線パッドの領域も限定する。さらに、このパターン化されたフォトレジスト材料は、アイソレーション領域、トランジスタゲート、もしくは他のデバイス構造および構成要素の限定が可能である。
【0005】
前述のフォトレジスト材料上にイメージもしくはパターンを転写するためにリソグラフィシステムは、一つ以上の波長で電磁放射もしくは光を照射するために構成された光源を含む。この光源は、365ナノメータ(以下nmと称する)、248nm、およびもしくは193nmの波長で放射を発生する。このような放射によってパターン化されたフォトレジスト材料は、放射の波長に対応するように選択される。好適には、放射が投射されるこのフォトレジスト材料の領域では、次の展開プロセス工程において適切に溶解可能もしくは溶解不可能になるような光化学的改変が起こる。
【発明の開示】
【発明が解決しようとする課題】
【0006】
ICデバイスのサイズが減少するにつれて、従来のリソグラフィ技術において可能なものよりも更に小さなサイズでの形成を行う必要がでてきている。サブリソグラフィックィデバイスサイズ、即ち通常のリソグラフで形成されるデバイスのサイズよりも小さいサイズを達成するための1つの方法は、パターン化されたフォトレジスト材料上に形成されるフィーチャを、下地層上にパターン化される前に減縮する、あるいは“トリミング”することである。この方法は、通常、レジストトリムもしくはトリミングプロセスと称され、トリミングされたフィーチャのサイズが、マスクもしくはレチクルを用いてリソグラフィでパターン化されたオリジナルのフィーチャよりも小さくなるようにする。
この方法では、パターン化されたフォトレジスト材料の一部をプラズマエッチングを用いて除去する。従来のレジストトリミングプロセスでは、パターン化された前述のフォトレジスト材料の側面あるいはラテラル(lateral)面だけでなく最上面もエッチングされるように、パターン化された前述フォトレジスト材料の全面にプラズマが照射される。
従って、幅方向のサイズをさらに減少させるために(即ち、さらに側面をエッチングして、接触線のようなフィーチャの幅を減少させるために)トリミング時間は長くなり(すなわち、パターン化されたフォトレジスト材料はより長い時間、プラズマエッチングに露光される)、パターン化されたフォトレジスト材料の膜厚も減少される。残念なことに、パターン化された前述のフォトレジスト材料を十分に減縮させると、フォトレジストの膜が残らないか、もしくは、その後のプロセス、例えばエッチングプロセスを通して下地層に転写するようなプロセス、を実施するために十分な膜厚が残らなくなってしまう。
【0007】
フォトレジストの薄膜化のこのような課題に対処するために、フォトレジスト材料の膜をより厚くすることが行われている。フォトレジスト材料の膜を厚くするのは、トリミングプロセスの際に起こるレジスト薄膜化に対抗するためであるが、フォトレジスト材料の膜を厚くすると、パターンの変形およびもしくは不完全なパターン転写が起こりやすくなる。フィーチャの解像度は、ある程度、露光もしくはリソグラフィ波長に逆比例することから、フォトレジスト材料のパターン化における露光波長をより短くすることが望ましい(例えば157nm、126nm、もしくは13.4nm)。現在では、具体的にそのような短い露光波長に適したフォトレジスト材料は存在しない。その代わりに、従来で265nm、248nm、もしくは193nmリソグラフィにおいて用いられたフォトレジスト材料が使用されている。これらの長い波長のフォトレジスト材料は、露光波長が短くなると、単位厚みあたりの光線の吸収が大きくなる。前述のようにフォトレジスト材料を厚くすると、放射される波長が短くなるにつれてますます不透明になり、上述の材料の膜厚全体にわたって必要とされる光化学変化が生じない。フォトレジスト材が膜厚となるに従って、材料の全膜厚を通して不完全なパターン転写が起こりやすくなってしまう。
【0008】
一方、たとえ完全なパターン転写が実行されたとしても、(前述のフォトレジスト材料内にかなり狭軌なフィーチャを達成するために)長時間のトリミングにより、パターン形状破壊、パターン曲折、もしくはパターン破損のようなパターン変形を起こす可能性がある。パターン化されたフィーチャにおけるパターン変形は、アスペクト比(すなわち、パターン化されたフィーチャーの高さ対幅の率)の関数となっているので、フォトレジスト材料が膜厚であるほど、結果としてパターン変形を起こしやすい。従って、フォトレジスト材料の膜厚を従来の厚みとすると、垂直方向の厚みの消耗量の関係から、横方向のトリミング量が少なくなる。特にプラズマエッチングによっても、パターン化されたフィーチャを狭くするのに伴ってフォトレジストが薄くしてしまうので、トリミングプロセスは、パターン化された十分な膜厚の層が後続のプロセス(例えば、ポリシリコンゲート形成のように下地層にパターンを転写するエッチングプロセス)のために確実に残るように、横方向の厚みがぎりぎりまで減少する以前の段階でストップされなくてはならない。一方では、トリミング開始時におけるフォトレジスト材料層を厚くして、長時間のトリミングを可能にした場合では、パターン転写が不完全になること、及び/又はパターン変形が生じることが問題となる。
【0009】
従って、従来のフォトレジストトリミングプロセスを最大限に利用するためのプロセスが必要である。更に、後続のリソグラフィプロセスに関してフォトレジスト材料を、パターン変形、不完全な転写、もしくは垂直面での厚さが不十分な膜の発生に関連することなくフォトレジスト材料上にパターン化されたフィーチャーを横方向のトリミングを行うためのさらなるプロセスが必要である。さらにまだ、従来のリソグラフィフォト技術、手段、材料、もしくは設備に大きな改変をすることなく、また、実質的に処理能力を減少することなく、サブリソグラフィックィフィーチャーを形成するためのプロセスが必要である。
【課題を解決するための手段】
【0010】
本発明の一形態は、フォトレジスト層上にパターン化されたフィーチャーをトリミングする方法に関する。前述のフォトレジスト層は、基板上に配置され、前述のフィーチャは、最上部と側面を有する。この方法は変質された最上部を形成するために前述のフォトレジスト層上にパターン化されたフィーチャーの最上部を変質させる過程を含む。前述の方法はさらにトリミングされたフィーチャを形成するためにフォトレジスト層上にパターン化されたフィーチャーをトリミングする過程を含む。垂直面でのトリミング速度および側面でのトリミング速度は前述のフィーチャと関連している。最上部が改質されていることで、垂直面でのトリミング速度は、側面のトリミング速度より遅くなる。
【0011】
他の形態では、集積回路製造プロセスに関する。このプロセスはパターン化されたフォトレジスト層を現像させて、少なくとも1つのフィーチャーの最上部と底部を形成するために前述のフォトレジスト層を変質させる過程を含む。パターン化されたフォトレジスト層は、少なくとも1つのフィーチャーを含む。前述の最上部のエッチング速度は、底部のエッチング速度とは異なるようにされている。このプロセスは、さらに横方向の寸法をサブリソグラフィックサイズとするための、およびパターンの完全性を保持するため垂直面での十分な膜厚を有するために少なくとも1つのフィーチャーを改変するためにパターン化されたフォトレジスト層をエッチングする過程を含む。
【0012】
さらに他の形態は、サブリソグラフィックのフィーチャーを有する集積回路に関する。このフィーチャーは、基板上に配置されたフォトレジスト層上のフィーチャーをパターニングし、前述のフォトレジスト層上にパターン化されたフィーチャーを現像し、フォトレジスト層の少なくとも一部分を変質させるプロセスによって形成される。前述のフィーチャーは、リソグラフィ波長での放射、およびマスクもしくはレチクル上に施されたパターンに従い、パターン化される。フォトレジスト層上のフィーチャの最上部は、フォトレジスト層上にパターン化されたフィーチャの底部とは相違するエッチング速度を有するように変質される。このプロセスは、さらにフォトレジスト層にパターン化されたフィーチャを、サブリソグラフィックサイズにトリミングして、フォトレジスト層上のトリミングされたフィーチャを基板に転写する過程を含む。
【発明を実施するための最良の形態】
【0013】
上記の各形態は、添付した図面を参照することで以下の詳細な記載によってより完全に理解できるであろう。なお、図面において、同じ構成要素には同じ参照番号を付している。
図1に、リソグラフィシステム10内のウェハ13が示される。リソグラフィシステム10は、チャンバ50と、光源22と、コンデンサレンズアッセンブリ24と、マスクもしくはレチクル18と、対物レンズアッセンブリ26と、およびステージ11を有する。リソグラフィシステム10は、リソグラフィカメラもしくはステッパーユニットでよい。例えば、リソグラフィシステム10は、ASMLによって製造されたPAS5000/900シリーズの機器、シリコンバレーグループ(Silicon Valley Group)によって製造されたマイクロスキャンDUVシステム、もしくは韓国の会社インテグレイテッドソリューションズ会社によって製造されたXLS属マイクロリソグラフィシステムでよい。
【0014】
ウェハ13は基板12と、層14と、およびフォトレジスト層16とを有する。フォトレジスト層16は、層14上に配置されて、層14は、基板12上に配置される。ウェハ13は集積(IC)回路全体のウェハもしくはICウェハの一部分であってもよい。ウェハ13はメモリ、プロセスユニット、入出力デバイス等のようなICの一部分であってもよい。基板12はシリコン、ガリウムヒ素、ゲルマニウム、もしくは他の基板材料のような半導体基板であってよい。基板12は、ライン、配線、バイアス、ドープ領域等のような1つ以上材料層およびもしくはフィーチャー層を有してよいし、さらにトランジスタ、マイクロアクチュエイタ、マイクロセンサ、キャパシタ、レジスタ、ダイオード等のようなデバイスを有してもよい。
【0015】
層14は、絶縁層、導体層、バリア層、もしくはエッチングされ、ドープされ、もしくは層状にされるための他の材料層であってよい。一実施形態によれば、層14は、一層または複数層の材料で構成され、例えば、ドープされているかもしくはドープされていないポリシリコン上に有機又は非有機耐反射コーティング(ARC)が複数交互に形成されたポリシリコンスタックにより構成される。他の例によれば、層14は、窒化シリコン層、金属層のようなハードマスク層である。このハードマスク層は、基板12もしくは基板12上の層の処理のためのパターン層として用いることができる。さらに他の例によれば、層14は、耐反射コーティング(ARC)である。基板12および層14は、本発明の限定のために開示するわけではなく、また、それぞれ導体材料、半導体材料、もしくは絶縁体材料を含有してよい。
【0016】
フォトレジスト層16は、リソグラフィ応用に適切な様々な化学フォトレジスト含むことができる。フォトレジスト層16は光源22から照射される電磁放射に応じて光化学反応を有するように選択される。フォトレジスト層16を含む材料は、なかでもマトリックス材料もしくは樹脂、センシタイザーもしくは抑制剤、および溶媒の含有が可能である。フォトレジスト層16は、好適には化学的に増幅されて、ポジ型、ネガ型かを問わず有機質ベースのフォトレジストである。例えば、層16は、住友化学工業株式会社により製造されたPAR700フォトレジストを有してよい。フォトレジスト層16は、例えば、層14上にスピンコーティングすることによって堆積される。フォトレジスト層16は、1.0μmより薄い膜厚が施される。
【0017】
リソグラフィシステム10のチャンバ50は、真空紫外線(vacuum ultraviolet :VUV)リソグラフィ過程において使用されるために真空もしくは低圧チャンバとしても良い。チャンバ50は、窒素等のような大気中のいずれの気体を含有してもよい。他の形態では、リソグラフィシステム10は、あらゆる波長幅で電磁放射を使用するリソグラフィを含む様々なリソグラフィ過程に用いても良い。
【0018】
光源22は、コンデンサレンズアッセンブリ24,マスクもしくはレチクル18、および対物レンズアッセンブリ26を通してフォトレジスト層16に光もしくは電磁放射を照射する。一実施形態において、光源22はエキシマーレーザーであり、365nm、248nm、193nm、もしくは157nmの波長を有する。他の形態では、光源22は、紫外線(UV)、真空紫外線(VUV)、遠紫外線(DUV)、もしくは極紫外線域内(EUV)で波長を有する放射の照射が可能である他の様々な光源とすることもできる。
【0019】
アッセンブリ24および26は、フォトレジスト層16上に適切にパターン放射(すなわちマスクもしくはレチクル18上に照射されたパターンもしくはイメージにより改変された光源22からの放射)における焦点合わせを行うためのレンズと、ミラーと、コリメータと、光線スピリッタと、およびもしくは他の光学構成要素を含む。ステージ11は、ウェハ13を支持して、アッセンブリ26に対してウェハ13を移動させる。
【0020】
一実施形態において、マスクもしくはレチクル18はバイナリーマスクである。マスクもしくはレチクル18は、半透明基板21(例;ガラスもしくは水晶)を有して、その上に不透明層もしくはパターン化された層20(例;クロミウムもしくは酸化クロミウム)を有する。不透明層20は、適切な回路パターン、フィーチャーもしくはデバイスに関連するパターンもしくはイメージをフォトレジスト層16上に投影されるように提供する。他の実施形態においては、マスクもしくはレチクル18は、減衰位相シフトマスク、代わりの位相シフトマスク、もしくは他の型のマスクもしくはレチクルとしてもよい。
【0021】
マスクもしくはレチクル18上のパターンもしくはイメージは、リソグラフィシステム10を用いて、フォトレジスト層16上にパターン化される。パターン化されたフォトレジスト層16が現像された後、しかし層14のような下地層上にそのようなパターンが転写される前に、図2に示される電子ビーム露光過程が実行される。ウェハ13は、この電子ビーム露光過程でチャンバ50から除去されて異なるチャンバ内およびもしくは異なる外部環境に散光型電子ビーム光源(flood electron beam:図示せず)のような手段で配置されることが理解されよう。
【0022】
電子ビーム硬化過程もしくはレジスト硬化過程とも称される電子ビーム露光過程において、ウェハ13は電子ビーム52にフラッドライト式でさらされる。図2に、ウェハ13の一部分の断面図、具体的には層16上にパターン化されたラインフィーチャー54の硬化プロセスにおける断面図が示される。一実施形態によれば、ラインフィーチャー54は、193nmリソグラフィシステム10に関して初期のもしくは公称の横方向のサイズが約150nmとなっている。
【0023】
電子ビーム52は好適には延長されたエリア電子ソース(図示されていない)から放射して、ウェハ13全体の上に散乱露光(flood epxposed)される一様平行ビームである。この延長されたエリア電子ソースは冷陰極型を有して、イオンの衝突エネルギーによって電子ビーム52を生成する。電子ビーム52を生成するために適切な延長されたエリア電子ソースの一例は、エレクトロンビジョンコーポレイション(Electron Vision Corporation)によって製造されている。
【0024】
十分なエネルギーを有する電子ビーム52が層16のポリマー材料を構成する分子に照射されると、その分子では、ポリマー材の官能基が完全に分解するまで化学反応、すなわち架橋結合が生じる。ラインフィーチャー54における完全に分解した部位は、斜線型で示され最上部58(図2参照)を有する。ラインフィーチャー54において電子ビーム52の浸透あるいは打ち込まれなかった部分、すなわち底部60、は、上記照射の影響を受けないままとなる(すなわち底部60内のポリマー官能基が完全に分解するまでの架橋結合がなされない)。底部60は、最上部58のすぐ下に配置される。
【0025】
最上部58は、底部60とは異なる電気特性、光学特性、および材料特性を有する。ポリマー材料の官能基が完全分解すると、最上部58の電気および光学の特性が異なるものとなり、最上部58の密度が増して、底部60に比較して最上部58の空孔率が減少する。硬化された最上部58は、硬化されない底部60よりもエッチへの耐性が高い(侵食もしくはエッチング速度が遅いことと同値である)。従って、電子ビーム露光もしくは硬化過程(図2)に続くレジストトリミング過程(図3,図4参照)において、層16におけるフィーチャーの横方向のトリミング量を従来よりも大きくすることができ、なおかつ、層16の厚みが薄くなりすぎることもない。
【0026】
図3は、レジストトリミング過程におけるウェハ13の一部分の断面図を示す。レジストトリミング過程は、好適にはプラズマエッチング過程である。ウェハ13は、層16上にパターン化されたフィーチャーのサイズをトリミングする、もしくは減少させるためにプラズマエッチング剤62にさらされる。プラズマエッチング剤62は、O2,HBr/O2 もしくはCl2/O2のような様々なプラズマ化学エッチングを含有できる。一実施形態において、ウェハ13は、図2の電子ビーム硬化過程と比べると異なるプロセス周囲環境にある(例えば、チャンバが異なる)。プラズマエッチング剤62を提供するために、カリフォルニア州サンタクララのApplied Material社,もしくはフレモントのLam Research社によって製造されるような様々なエッチングシステムを用いることができる。
【0027】
プラズマエッチング剤62は、最上部および側面を含む層16上のすべての露出した表面をエッチングする。しかしながら、層16では、部位によって、電子ビーム硬化過程におけるエッチング速度が異なる(例えば、最上部58と底部60)ので、層16のすべての面においてその寸法が減少するわけではない。図3に示すようにラインフィーチャー54の垂直方向における減少量は、横断方向の減少量よりも少ない。具体的には、最上部58の垂直方向におけるトリミング速度は、底部60側の側面がトリミングされる速度よりも遅いので、一時的にラインフィーチャー54がT型フィーチャーとなる。図3の点線は、レジストトリミング過程が始まる前のラインフィーチャー54を示す。
【0028】
好適には、最上部58の膜厚は、底部60の横方向の所望量のトリミング完了すると同時に、実質的に最上部58全体が消耗される、あるいはエッチングにより除去されるように選択される。最上部58の膜厚は、電子ビーム52の層16内への打ち込み深さにより決定される。エネルギー、加速電圧、電子ビーム52の電流量を変化させることにより、および/又は電子ビーム硬化過程に関連する処理ガスもしくはウェハ温度を変化させることにより、電子ビーム52の光線浸透厚のコントロールが可能であり、換言すれば、最上部58の膜厚の選択が可能である。近似的には、最上部58の膜厚は、電子ビーム52の加速電圧の関数であり、その関係は、下記のように表される。
Rg=(0.046Va 1.75)/d
Rgはビーム浸透厚(単位:ミクロン)であり、Vaは前述の加速電圧もしくはエネルギー(単位:KeV)であり、dは目標材料、例えば層16の密度である(単位:g/cm)。
【0029】
層16の硬化された部分の腐食もしくはエッチング速度は、電子ビーム52のドーズ量によって決定される。層16をドーズ量が約1000μC/cmである電子ビーム52によって硬化する場合、ポリシリコンエッチングもしくは酸化エッチングプラズマケミストリを用いての層16の硬化部分(例えば、最上部58)におけるエッチング速度は、層16の硬化されていないもしくは未処理の部分(例、底部60)よりも約35%から50%遅くなっている。ポリシリコンエッチングは、典型的にはHBr/Cl/OまたはHBr/O化学エッチング剤の使用を含む。酸化物エッチングは、典型的にC/Ar/Oのようなフッ素ベースの化学エッチングを含む。
【0030】
エッチング速度の減少は、ドーズ量が約2000μC/cmより大きくなると、飽和状態となり、それ以上はあまり変化しなくなる。図6に、PAR700フォトレジストを様々なドーズ量の電子ビームで硬化した場合におけるエッチング速度を示す。図6で示されるPAR700フォトレジストは、シリコン基板上に形成されている。プロットライン100,102,104および106のそれぞれは電子ビームドーズの関数としてエッチング速度を示す。プロットライン100はHBr/O化学エッチングにさらされたときのPAR700フォトレジストのエッチング速度を表示している。プロットライン102は、HBr/Cl/HeO化学エッチングにさらされたときのPAR700フォトレジストのエッチング速度を表示している。プロットライン106は、C/Ar/O化学エッチングにさらされたときのPAR700フォトレジストのエッチング速度を表示している。一実施形態によれば、化学エッチングパラメータは下記の通りである。
1.HBr/O化学エッチング(プロットライン100):15mT、100/20W(ソース/バイアス)、HBr/O比=15/25sccm
2.HBr/Cl/HeO化学エッチング(プロットライン102):20mT、100/20W(ソース/バイアス)、HBr/Cl2/HeO比=150/30/15
3.HBr/HeO化学エッチング(プロットライン104):60mT、200/90(ソース/バイアス)、HBr/HeO/He比=200/10/100sccmである。
4.C/Ar/O化学エッチング(プロットライン106):60mT、1700W、C/Ar/O比=7/500/2sccmである。
【0031】
図4は、レジストトリミング過程(図3)の完了したときのウェハ13部分の断面図を示す。ラインフィーチャー54は、底部60が横方向にトリミングされており、トップ部分58は、プラズマエッチング剤により完全にエッチングにより除去されている。ラインフィーチャー54は、プラズマエッチング後、その横方向のサイズ64および垂直膜の厚みは、トリミング処理後を受けたものとなっている。例えば、初期のもしくは公称の横方向のサイズ56が150nmである場合、トリミングされた横方向のサイズ64は、ほぼ70nmあるいはそれ以下であり、垂直膜厚66は、1000Åから6000Åの範囲となり得る。
【0032】
従来は、電子ビームでの硬化なしでの193nmリソグラフィでパターン化された横方向の公称サイズが約150nmであるフィーチャーは、エッチングプロセスのような後続プロセスにおけるフィーチャーの破壊を起こさずに約110nm以下にトリミングすることはできなかった(すなわち残っている層16の膜厚が不十分なためである)。一方、レジストトリミング過程において前述の垂直方向でのレジスト膜が薄くなる速度が小さくなるように層16のトップ部分を変質あるいは変性させることによって、層16上にパターン化されて結果として得られたフィーチャーは、従来のレジストトリミングプロセスで達成可能であったのと同様に横方向のサイズにおけるトリミングを達成することが可能であるうえに、従来よりも厚いレジスト膜を残存させることができる。他の形態では、層16上にパターン化されて結果として得られたフィーチャーは、そのレジスト膜厚は従来のものと同様とすることができるうえに、横方向のサイズをより狭くすることができる。レジスト膜厚が厚いことにより、トリミングされたフィーチャーが後続のプロセスにおいても損傷をうけず、下地層上に着実にパターン化される確率が高くなる。
【0033】
図5では、図4に示されるトリミングされた前述のラインフィーチャー54がエッチング過程によって層14上にパターン化される。ラインフィーチャー54において垂直方向の膜厚66が十分に残っていることから、ラインフィーチャー54の形状(横方向のサイズが小さくなっている)が、エッチング過程のような次に続くリソグラフィプロセス後でも残っており、パターンフィーチャー68のパターンが層14に形成される。フィーチャー68は、ラインフィーチャー54と同様の形状になり、その横方向のサイズは、トリミングされた横方向サイズ64と同様となっている。フィーチャー68は、これに限定される訳ではないが、導線、トランジスタゲート、絶縁線等であってもよい。
【0034】
このようにして、従来のフォトレジスト材料、従来のフォトレジスト膜厚、およびもしくは従来のレジストトリミングプロセスを用いて、サブリソグラフィックを有する集積回路(IC)フィーチャーを形成することができる。この際、下地層へのパターン転写の際にパターンの変形もしくは形状破壊等の問題が生じることもない。さらに、レジストの膜厚が不十分であることによりパターンが分解するような破壊が発生するような事態を招くことなく、より激しいレジストトリミング(例えば、トリミング時間の延長)を行うことが可能である。現像されたフォトレジスト層16において、フォトレジスト層16の表面を適切に変質させたことにより、特定のビーム特性を有するように選択された電子ビームによって硬化を行うことで、フィーチャーサイズは、マスクもしくはレチクル18上に形成されたサイズより約半分ほど減少する。
【0035】
層16の表面は、レジストトリミング過程の際に垂直方向のレジスト膜厚のロスを遅くするために他の様々な処理によって改変することも可能である。例えば、層16は、パターン化及び現像の後であって、かつ、トリミングを行う前の段階で、層16を構成する材料が不透明となる波長紫外線(UV)にさらすようにしても良い。他の例では、層16は、N、H、Ar、もしくは様々なフッ素、塩素、混合ガスを含有する臭素のような異方性のプラズマで硬化されてよい。更に他の例では、層16は、現像溶液に露出される前もしくは層16がN、B、P、As等の低エネルギー注入にさらされる前に、化学的処理を行う。
【0036】
好適な実施形態および具体的な実施例が挙げられたが、これらは、説明を目的としたもので上記に記載の厳密な詳細に限定されるものではない。様々な改変が請求項の範囲から逸脱することなしに請求項の範囲内で詳細に実行されてよい。
【図面の簡単な説明】
【0037】
【図1】ウェハをパターニングするためのリソグラフィシステムの概略ブロック図である。
【図2】電子ビームキュア工程における図1に係るウェハの概略断面図。
【図3】部分レジストトリミング工程における図2に係るウェハの概略断面図。
【図4】全レジストトリミング工程における図3に係るウェハの概略断面図。
【図5】エッチング工程における図4に係るウェハの概略断面図。
【図6】化学エッチング種ごとに電子ビームのドーズ量を変えて硬化を行って得られたフォトレジスト材料のエッチング率を示すプロットの説明図。
[Display of related application]
[0001]
No. 09 / 819,692 (Attorney Docket No. 39153/404 (F0943)) filed by Okoroanyanwu et al., "Process for Preventing Patterned Shape Destruction by Electron Beam Stabilization". (Process for Preventing Deformation of Pattered Photoresist Features by Electron Beam stabilization), and U.S. Application No. 09 / 820,143 (Attorney Docket No. 39153/405 (F0945)) "SEM inspection of patterned photoresist features and "Improving SEM Inspection and Analysis of Paterned Photoresist Features" and U.S. Ser. No. 09 / 819,344 (Attorney Docket No. 39153/406 (F1061)) "Reducing the critical dimension size of integrated circuit device features." "Process for reducing the Critical Dimensions of Intergrated Circuit" and U.S. Application No. 09 / 819,343 filed by Gabriel et al. (Attorney Docket No. 39153/298 (F0785)) "Selective Photoresist Hardening to Faciliate Lateral Trimming" and U.S. Ser. No. 09 / 819,552 (Attorney Docket No. 39153/310 (F0797)) "Ultra Thin Film" These applications are based on "Process for Improving the Etch Stability of Ultra-Thin Photoresist", the contents of which are incorporated herein by reference.
【Technical field】
[0002]
The present invention generally relates to integrated circuit (IC) manufacturing. More specifically, the present invention relates to the fabrication of IC features having sub-lithographic levels in width dimension using a modified photoresist surface.
[Background Art]
[0003]
In the semiconductor or integrated circuit (IC) industry, there is a need to produce ICs with higher device densities and smaller chips to achieve higher performance ICs and to reduce manufacturing costs. The purpose is. This intent for large circuits requires further miniaturization of circuit size and device features. This ability to reduce structure size, such as gate length and conductor width, in field-effect transistors (FETs) is driven by lithographic performance.
[0004]
In an IC manufacturing technique, a photomask (also called a mask) or a reticle is often used. Radiation is applied through a mask or reticle or irradiated remotely to form an image on a semiconductor wafer. Generally, the aforementioned image is projected and patterned onto a layer of material, such as a photoresist material on a wafer. The patterned photoresist material described above is then used to define doping, deposition, etching, and / or other areas of the IC structure. The aforementioned patterned photoresist material also limits the area of the conductor or the conductor pad associated with the metal layer of the IC. Further, the patterned photoresist material can define isolation regions, transistor gates, or other device structures and components.
[0005]
A lithographic system for transferring an image or pattern onto the aforementioned photoresist material includes a light source configured to emit electromagnetic radiation or light at one or more wavelengths. This light source emits radiation at wavelengths of 365 nanometers (hereinafter nm), 248 nm, and / or 193 nm. The photoresist material patterned by such radiation is selected to correspond to the wavelength of the radiation. Preferably, in the area of the photoresist material where the radiation is projected, a photochemical modification occurs such that it becomes properly soluble or insoluble in the next development process step.
DISCLOSURE OF THE INVENTION
[Problems to be solved by the invention]
[0006]
As the size of IC devices has decreased, it has become necessary to make features smaller than possible with conventional lithographic techniques. One method for achieving sublithographic device sizes, i.e., smaller than the size of devices formed by conventional lithography, is to use features formed on the patterned photoresist material on an underlying layer. Shrinking or "trimming" before being patterned into a pattern. This method, commonly referred to as a resist trim or trimming process, allows the size of the trimmed features to be smaller than the original features lithographically patterned using a mask or reticle.
In this method, a portion of the patterned photoresist material is removed using plasma etching. In a conventional resist trimming process, plasma is applied to the entire surface of the patterned photoresist material so that the top surface as well as the side or lateral surface of the patterned photoresist material is etched. Is done.
Therefore, the trimming time is increased (ie, the patterned photoresist is further reduced) to further reduce the widthwise size (ie, to further etch the sides and reduce the width of features such as contact lines). The material is exposed to plasma etching for a longer period of time), and the thickness of the patterned photoresist material is also reduced. Unfortunately, sufficient shrinkage of the patterned photoresist material does not leave a film of the photoresist or a subsequent process, such as transferring to the underlying layer through an etching process. A film thickness sufficient for implementation is not left.
[0007]
In order to address such a problem of thinning the photoresist, a thicker photoresist material film has been used. The thickness of the photoresist material is increased in order to resist the thinning of the resist that occurs during the trimming process.However, when the thickness of the photoresist material is increased, pattern deformation and / or incomplete pattern transfer are likely to occur. Become. Since the feature resolution is to some extent inversely proportional to the exposure or lithography wavelength, it is desirable to have a shorter exposure wavelength (eg, 157 nm, 126 nm, or 13.4 nm) in patterning the photoresist material. At present, there is no photoresist material specifically suited for such a short exposure wavelength. Instead, photoresist materials conventionally used in 265 nm, 248 nm, or 193 nm lithography are used. These longer wavelength photoresist materials have greater light absorption per unit thickness as the exposure wavelength is reduced. As noted above, thickening the photoresist material becomes increasingly opaque as the emitted wavelength is reduced, and does not produce the required photochemical change over the entire thickness of the material. As the thickness of the photoresist material increases, incomplete pattern transfer tends to occur throughout the thickness of the material.
[0008]
On the other hand, even if a complete pattern transfer is performed, prolonged trimming (to achieve fairly narrow gauge features in the aforementioned photoresist material) may result in pattern shape breakage, pattern bending, or pattern breakage. There is a possibility of causing significant pattern deformation. Since the pattern deformation in the patterned features is a function of the aspect ratio (ie, the height-to-width ratio of the patterned features), the thicker the photoresist material, the higher the resulting pattern deformation Easy to cause. Accordingly, when the thickness of the photoresist material is set to the conventional thickness, the amount of trimming in the horizontal direction is reduced due to the consumption of the thickness in the vertical direction. The trimming process requires a patterned, sufficiently thick layer to be deposited in a subsequent process (e.g., polysilicon), especially as the plasma etching also causes the photoresist to become thinner as the patterned features become narrower. It must be stopped before the lateral thickness is barely reduced to ensure that it remains for the etching process that transfers the pattern to the underlying layer, such as gate formation. On the other hand, when the photoresist material layer at the start of trimming is made thicker to enable trimming for a long time, there is a problem that pattern transfer becomes incomplete and / or pattern deformation occurs.
[0009]
Therefore, there is a need for a process for maximizing the use of conventional photoresist trimming processes. In addition, the photoresist material can be used for subsequent lithographic processes to remove features that have been patterned on the photoresist material without being associated with pattern deformation, incomplete transfer, or the formation of films with insufficient thickness in the vertical plane. Further processing is needed to perform the lateral trimming. Still further, there is a need for a process for forming sublithographic features without significant modifications to conventional lithographic photo techniques, means, materials, or equipment, and without substantially reducing throughput. is there.
[Means for Solving the Problems]
[0010]
One aspect of the invention relates to a method of trimming a patterned feature on a photoresist layer. The aforementioned photoresist layer is disposed on a substrate, and the aforementioned features have a top and side surfaces. The method includes altering a top of a feature patterned on the aforementioned photoresist layer to form an altered top. The method further includes trimming the patterned features on the photoresist layer to form the trimmed features. The vertical trimming speed and the side trimming speed are related to the aforementioned features. Since the uppermost portion is modified, the trimming speed on the vertical surface is lower than the trimming speed on the side surface.
[0011]
Another aspect relates to an integrated circuit manufacturing process. The process includes developing the patterned photoresist layer to alter the aforementioned photoresist layer to form a top and a bottom of at least one feature. The patterned photoresist layer includes at least one feature. The etching rate at the top is different from the etching rate at the bottom. The process is further performed to modify the at least one feature to have a sublithographic size in the lateral dimension and to have a sufficient thickness in the vertical plane to maintain pattern integrity. Etching the patterned photoresist layer.
[0012]
Yet another aspect relates to an integrated circuit having sublithographic features. The features are formed by a process of patterning features on a photoresist layer disposed on a substrate, developing the features patterned on the photoresist layer, and altering at least a portion of the photoresist layer. . The aforementioned features are patterned according to radiation at the lithographic wavelength and a pattern applied to the mask or reticle. The top of the features on the photoresist layer is altered to have a different etch rate than the bottom of the features patterned on the photoresist layer. The process further includes trimming the features patterned in the photoresist layer to a sublithographic size and transferring the trimmed features on the photoresist layer to a substrate.
BEST MODE FOR CARRYING OUT THE INVENTION
[0013]
The above aspects will be more fully understood from the following detailed description, taken in conjunction with the accompanying drawings. In the drawings, the same components are denoted by the same reference numerals.
FIG. 1 shows a wafer 13 in a lithography system 10. The lithography system 10 includes a chamber 50, a light source 22, a condenser lens assembly 24, a mask or reticle 18, an objective lens assembly 26, and the stage 11. Lithographic system 10 may be a lithographic camera or a stepper unit. For example, the lithography system 10 may be a PAS5000 / 900 series instrument manufactured by ASML, a microscan DUV system manufactured by Silicon Valley Group, or an XLS family manufactured by the Korean company Integrated Solutions Company. It may be a microlithography system.
[0014]
The wafer 13 has a substrate 12, a layer 14, and a photoresist layer 16. A photoresist layer 16 is disposed on layer 14, which is disposed on substrate 12. Wafer 13 may be the entire integrated (IC) circuit wafer or a portion of an IC wafer. Wafer 13 may be part of an IC such as a memory, process unit, input / output device, and the like. Substrate 12 may be a semiconductor substrate such as silicon, gallium arsenide, germanium, or other substrate material. Substrate 12 may have one or more layers of materials and / or features, such as lines, interconnects, biases, doped regions, etc., and may further include transistors, microactuators, microsensors, capacitors, resistors, diodes, etc. Device.
[0015]
Layer 14 may be an insulating layer, a conductor layer, a barrier layer, or another layer of material to be etched, doped, or layered. According to one embodiment, layer 14 is comprised of one or more layers of material, for example, alternating alternating organic or non-organic anti-reflective coatings (ARCs) on doped or undoped polysilicon. It is constituted by the formed polysilicon stack. According to another example, layer 14 is a hard mask layer, such as a silicon nitride layer, a metal layer. This hard mask layer can be used as a pattern layer for processing the substrate 12 or a layer on the substrate 12. According to yet another example, layer 14 is an anti-reflective coating (ARC). Substrate 12 and layer 14 are not disclosed for purposes of limiting the invention, and may each include a conductor, semiconductor, or insulator material.
[0016]
Photoresist layer 16 can include various chemical photoresists suitable for lithographic applications. Photoresist layer 16 is selected to have a photochemical reaction in response to electromagnetic radiation emitted from light source 22. The material comprising the photoresist layer 16 can include, among other things, a matrix material or resin, a sensitizer or inhibitor, and a solvent. Photoresist layer 16 is preferably chemically amplified and is an organic-based photoresist, whether positive or negative. For example, layer 16 may include PAR700 photoresist manufactured by Sumitomo Chemical Co., Ltd. Photoresist layer 16 is deposited, for example, by spin coating on layer 14. The photoresist layer 16 has a thickness smaller than 1.0 μm.
[0017]
The chamber 50 of the lithography system 10 may be a vacuum or low pressure chamber for use in a vacuum ultraviolet (VUV) lithography process. The chamber 50 may contain any gas in the atmosphere, such as nitrogen. In other forms, the lithographic system 10 may be used in various lithographic processes, including lithography using electromagnetic radiation at any wavelength width.
[0018]
The light source 22 irradiates the photoresist layer 16 with light or electromagnetic radiation through the condenser lens assembly 24, the mask or reticle 18, and the objective lens assembly 26. In one embodiment, light source 22 is an excimer laser and has a wavelength of 365 nm, 248 nm, 193 nm, or 157 nm. In other embodiments, the light source 22 comprises various other light sources capable of emitting radiation having a wavelength in the ultraviolet (UV), vacuum ultraviolet (VUV), deep ultraviolet (DUV), or extreme ultraviolet (EUV). You can also.
[0019]
Assemblies 24 and 26 include lenses for properly focusing on the photoresist layer 16 in pattern radiation (ie, radiation from a light source 22 modified by a pattern or image illuminated on a mask or reticle 18); Includes mirrors, collimators, beam splitters, and / or other optical components. The stage 11 supports the wafer 13 and moves the wafer 13 with respect to the assembly 26.
[0020]
In one embodiment, mask or reticle 18 is a binary mask. The mask or reticle 18 has a translucent substrate 21 (eg, glass or quartz) with an opaque or patterned layer 20 (eg, chromium or chromium oxide) thereon. Opaque layer 20 provides a pattern or image associated with a suitable circuit pattern, feature or device to be projected onto photoresist layer 16. In other embodiments, mask or reticle 18 may be an attenuated phase shift mask, an alternative phase shift mask, or another type of mask or reticle.
[0021]
A pattern or image on a mask or reticle 18 is patterned on the photoresist layer 16 using the lithography system 10. After the patterned photoresist layer 16 has been developed, but before such a pattern has been transferred onto an underlayer, such as layer 14, the electron beam exposure process shown in FIG. 2 is performed. It is understood that the wafer 13 is removed from the chamber 50 during the electron beam exposure process and is disposed in a different chamber and / or a different external environment by means such as a diffused electron beam light source (flood electron beam: not shown). Let's do it.
[0022]
The wafer 13 is exposed to the electron beam 52 in a floodlight manner in an electron beam exposure process also called an electron beam curing process or a resist curing process. FIG. 2 shows a cross-sectional view of a portion of the wafer 13, specifically, a cross-sectional view of the curing process of the line features 54 patterned on the layer 16. According to one embodiment, line features 54 have an initial or nominal lateral size of about 150 nm for 193 nm lithography system 10.
[0023]
The electron beam 52 is preferably a uniform collimated beam emanating from an extended area electron source (not shown) and being flood exposed onto the entire wafer 13. The extended area electron source has a cold cathode type, and generates an electron beam 52 by ion collision energy. One example of an extended area electron source suitable for producing the electron beam 52 is manufactured by Electron Vision Corporation.
[0024]
When an electron beam 52 having sufficient energy is applied to the molecules that make up the polymer material of layer 16, the molecules undergo a chemical reaction, ie, cross-linking, until the functional groups of the polymer material are completely decomposed. The completely disassembled portion of the line feature 54 is shown in oblique lines and has a top portion 58 (see FIG. 2). The portions of the line feature 54 that have not been penetrated or driven by the electron beam 52, ie, the bottom 60, remain unaffected by the irradiation (ie, cross-linking until the polymer functional groups in the bottom 60 are completely degraded). Is not done). The bottom 60 is located just below the top 58.
[0025]
The top 58 has different electrical, optical, and material properties than the bottom 60. When the functional groups of the polymer material are completely degraded, the electrical and optical properties of the top 58 will be different, increasing the density of the top 58 and decreasing the porosity of the top 58 compared to the bottom 60. The cured top 58 is more resistant to etch (equivalent to a slower erosion or etch rate) than the uncured bottom 60. Accordingly, in the resist trimming process (see FIGS. 3 and 4) following the electron beam exposure or curing process (FIG. 2), the lateral trimming amount of the feature in the layer 16 can be made larger than before, and the layer 16 does not become too thin.
[0026]
FIG. 3 is a cross-sectional view of a part of the wafer 13 during the resist trimming process. The resist trimming process is preferably a plasma etching process. Wafer 13 is exposed to a plasma etchant 62 to trim or reduce the size of the features patterned on layer 16. The plasma etching agent 62 is OTwo, HBr / OTwo  Or ClTwo/ OTwoAnd various plasma chemical etchings. In one embodiment, the wafer 13 is in a different process environment (eg, a different chamber) as compared to the e-beam curing process of FIG. Various etching systems can be used to provide the plasma etchant 62, such as those manufactured by Applied Materials, Inc. of Santa Clara, Calif., Or Lam Research of Fremont, CA.
[0027]
The plasma etchant 62 etches all exposed surfaces on layer 16, including the top and sides. However, the dimensions of layer 16 are not reduced on all sides of layer 16 because the etch rate during the e-beam curing process varies from site to site (e.g., top 58 and bottom 60). As shown in FIG. 3, the amount of decrease in the vertical direction of the line feature 54 is smaller than the amount of decrease in the transverse direction. Specifically, the vertical trimming speed of the uppermost portion 58 is lower than the trimming speed of the side surface on the bottom portion 60 side, so that the line feature 54 temporarily becomes a T-shaped feature. The dotted line in FIG. 3 shows the line feature 54 before the resist trimming process starts.
[0028]
Preferably, the thickness of top 58 is selected such that substantially the entire top 58 is depleted or etched away upon completion of the desired amount of lateral trimming of bottom 60. The thickness of the uppermost portion 58 is determined by the depth of the electron beam 52 implanted into the layer 16. The beam penetration thickness of the electron beam 52 can be controlled by changing the energy, acceleration voltage, amount of current of the electron beam 52, and / or by changing the processing gas or wafer temperature associated with the electron beam curing process. Yes, in other words, the thickness of the uppermost portion 58 can be selected. Approximately, the thickness of the uppermost portion 58 is a function of the acceleration voltage of the electron beam 52, and the relationship is expressed as follows.
Rg = (0.046Va 1.75) / D
Rg is the beam penetration thickness (unit: microns), and VaIs the aforementioned acceleration voltage or energy (unit: KeV), and d is the density of the target material, for example, the layer 16 (unit: g / cm)3).
[0029]
The erosion or etching rate of the cured portion of layer 16 is determined by the dose of electron beam 52. Layer 16 is dosed at about 1000 μC / cm.2When cured by an electron beam 52, the etch rate at the cured portion (eg, top 58) of layer 16 using a polysilicon etch or an oxidized etch plasma chemistry may be an uncured or untreated layer 16 It is about 35% to 50% slower than the part (eg, bottom 60). The polysilicon etch is typically HBr / Cl2/ O2Or HBr / O2Including the use of chemical etchants. Oxide etching typically involves C4F8/ Ar / O2And fluorine-based chemical etching.
[0030]
The decrease in the etching rate is caused by the fact that the dose amount is about2When it becomes larger, it becomes saturated and does not change much after that. FIG. 6 shows the etching rate when the PAR700 photoresist is cured with various doses of the electron beam. The PAR700 photoresist shown in FIG. 6 is formed on a silicon substrate. Each of plot lines 100, 102, 104 and 106 shows the etch rate as a function of the electron beam dose. Plot line 100 is HBr / O2Shows the etch rate of PAR700 photoresist when exposed to chemical etching. Plot line 102 is HBr / Cl2/ HeO2Shows the etch rate of PAR700 photoresist when exposed to chemical etching. Plot line 106 represents C4F8/ Ar / O2Shows the etch rate of PAR700 photoresist when exposed to chemical etching. According to one embodiment, the chemical etching parameters are as follows:
1. HBr / O2Chemical etching (plot line 100): 15 mT, 100/20 W (source / bias), HBr / O2Ratio = 15/25 sccm
2. HBr / Cl2/ HeO2Chemical etching (plot line 102): 20mT, 100 / 20W (source / bias), HBr / Cl2 / HeO2Ratio = 150/30/15
3. HBr / HeO2Chemical etching (plot line 104): 60 mT, 200/90 (source / bias), HBr / HeO2/ He ratio = 200/10/100 sccm.
4. C4F8/ Ar / O2Chemical etching (plot line 106): 60 mT, 1700 W, C4F8/ Ar / O2Ratio = 7/500/2 sccm.
[0031]
FIG. 4 is a sectional view of the wafer 13 when the resist trimming process (FIG. 3) is completed. The line feature 54 has a bottom 60 that is laterally trimmed and a top portion 58 that is completely etched away with a plasma etchant. After the plasma etching, the line feature 54 has a size 64 in the lateral direction and a thickness of the vertical film after the trimming process. For example, if the initial or nominal lateral size 56 is 150 nm, the trimmed lateral size 64 may be approximately 70 nm or less, and the vertical film thickness 66 may range from 1000 to 6000.
[0032]
Conventionally, features having a nominal lateral size of about 150 nm patterned with 193 nm lithography without curing with an electron beam are reduced to about 110 nm or less without causing feature destruction in subsequent processes such as etching processes. Trimming could not be performed (that is, the thickness of the remaining layer 16 was insufficient). On the other hand, the resulting feature patterned on layer 16 is altered or modified by altering or modifying the top portion of layer 16 so that the rate of thinning of the resist film in the vertical direction during the resist trimming process is reduced. Can achieve the trimming in the lateral size in the same manner as can be achieved by the conventional resist trimming process, and can leave a resist film thicker than before. In other embodiments, the resulting features patterned on layer 16 can have a resist thickness similar to conventional ones, and can have a smaller lateral size. . The increased resist thickness increases the probability that the trimmed features will be undamaged in subsequent processes and will be steadily patterned on the underlayer.
[0033]
In FIG. 5, the aforementioned trimmed line features 54 shown in FIG. 4 are patterned on layer 14 by an etching process. Due to the sufficient vertical thickness 66 remaining in the line feature 54, the shape of the line feature 54 (having a reduced lateral size) remains after a subsequent lithography process such as an etching process. And a pattern of pattern features 68 is formed in layer 14. The feature 68 has a shape similar to that of the line feature 54, and its horizontal size is the same as the trimmed horizontal size 64. The features 68 may be, but are not limited to, wires, transistor gates, insulated wires, and the like.
[0034]
In this manner, integrated circuit (IC) features having sublithographic characteristics can be formed using conventional photoresist materials, conventional photoresist thicknesses, and / or conventional resist trimming processes. At this time, there is no problem such as pattern deformation or shape destruction when transferring the pattern to the underlying layer. Further, it is possible to perform more intense resist trimming (for example, to extend the trimming time) without causing a situation in which the pattern is decomposed due to an insufficient resist film thickness. . In the developed photoresist layer 16, by appropriately modifying the surface of the photoresist layer 16, curing is performed by an electron beam selected to have a specific beam characteristic, so that the feature size is reduced by a mask or a mask. It is reduced by about half from the size formed on the reticle 18.
[0035]
The surface of layer 16 can be modified by various other treatments to slow down the resist thickness in the vertical direction during the resist trimming process. For example, layer 16 may be exposed, after patterning and development, and prior to trimming, to ultraviolet light (UV) at a wavelength at which the material comprising layer 16 becomes opaque. In another example, layer 16 comprises N2, H2, Ar, or anisotropic plasma such as bromine containing various fluorine, chlorine, and gas mixtures. In yet other examples, layer 16 may be coated before exposure to a developer solution or when layer 16 is N2, B, P, As, etc., before exposure to low energy implantation.
[0036]
While the preferred embodiments and specific examples have been given, they are for the purpose of explanation and are not limited to the exact details described above. Various modifications may be made in the details within the scope of the claims without departing from the scope of the claims.
[Brief description of the drawings]
[0037]
FIG. 1 is a schematic block diagram of a lithography system for patterning a wafer.
FIG. 2 is a schematic sectional view of the wafer according to FIG. 1 in an electron beam curing step.
FIG. 3 is a schematic sectional view of the wafer according to FIG. 2 in a partial resist trimming step.
FIG. 4 is a schematic sectional view of the wafer according to FIG. 3 in an entire resist trimming step.
FIG. 5 is a schematic sectional view of the wafer according to FIG. 4 in an etching step.
FIG. 6 is an explanatory diagram of a plot showing an etching rate of a photoresist material obtained by performing curing while changing the dose of an electron beam for each type of chemical etching.

Claims (10)

基板(12)上に配置されたフォトレジスト層(16)にパターン化されたフィーチャー(54)をトリミングする方法であって、前記フィーチャーは、最上部(58)と、側面とを含むものであり、
前記フォトレジスト層上にパターン化された前記フィーチャー(54)の最上部(58)を変質させて、変質された最上部を形成するする過程と、
前記フォトレジスト上にパターン化されたフィーチャをトリミングしてトリミングされたフィーチャを形成する過程と、を有し、
前記最上部の変質によって、前記フィーチャにおける垂直トリミング速度は、横方向トリミング速度よりも遅くされている、方法。
A method for trimming features (54) patterned in a layer of photoresist (16) disposed on a substrate (12), said features including a top (58) and side surfaces. ,
Altering a top portion (58) of the feature (54) patterned on the photoresist layer to form an altered top portion;
Trimming the patterned features on the photoresist to form trimmed features.
The method wherein the top trimming causes a vertical trimming speed at the feature to be lower than a lateral trimming speed.
前記垂直トリミング速度は、電子ビーム(52)のドーズ量の関数であり、変質された最上部の垂直方向の厚みは、前記電子ビームの電流または加速電圧の関数である、請求項1記載の方法。The method of claim 1, wherein the vertical trimming speed is a function of the dose of the electron beam (52), and the altered top vertical thickness is a function of the current or acceleration voltage of the electron beam. . 前記トリミングされたフィーチャの横方向のサイズ(64)がサブリソグラフィックサイズである請求項1記載の方法。The method of claim 1, wherein the lateral size (64) of the trimmed features is a sublithographic size. 集積回路製造方法であって、
少なくとも一つのフィーチャーを含む、パターン形成されたフォトレジスト層(16)の現像を行う過程を有し、
前記パターン形成されたフォトレジスト層(16)の変質を行って、前記少なくとも一つのフィーチャー(54)の最上部(58)および底部(60)を形成する過程を有し、前記最上部(58)のエッチング速度は、前記底部のエッチング速度とは異なっており、
前記フォトレジスト層(16)をエッチングして、前記少なくとも一つのフィーチャー(54)を、その横方向サイズがサブリソグラフィックサイズ(64)となり、かつパターンの一体性を維持するために十分な垂直膜厚となるように変化させる過程と、を含む方法。
An integrated circuit manufacturing method,
Developing a patterned photoresist layer (16) comprising at least one feature,
Altering the patterned photoresist layer (16) to form a top (58) and a bottom (60) of the at least one feature (54), wherein the top (58) Etching rate is different from the etching rate of the bottom,
The photoresist layer (16) is etched to expose the at least one feature (54) to a vertical film whose lateral size is sublithographic (64) and sufficient to maintain pattern integrity. Changing to a thickness.
前記変質させる過程は、前記最上部(58)を構成する材料の官能基が分解されるまで、当該最上部(58)において架橋反応を行わせる過程を含む、請求項1または4記載の方法。The method according to claim 1 or 4, wherein the step of altering comprises the step of causing a cross-linking reaction at the top (58) until the functional groups of the material constituting the top (58) are decomposed. 前記変質させる過程は、電子ビームに対して前記フォトレジスト層(16)をフラッド露光させる過程を含む請求項1または4記載の方法。The method of claim 1 or 4, wherein the altering comprises flood exposing the photoresist layer (16) to an electron beam. 前記パターンが形成されたフォトレジスト層のエッチングでは、前記最上部(58)のエッチングと、前記底部(60)の横方向のエッチングと、がなされる、請求項4記載の方法。The method of claim 4, wherein etching the patterned photoresist layer comprises etching the top (58) and laterally etching the bottom (60). 前記最上部(58)のエッチング速度と膜厚の少なくとも一方に応じて、電子ビームに関するパラメータを選択する過程を含む請求項4記載の方法。The method of claim 4 including selecting parameters related to the electron beam according to at least one of an etch rate and a film thickness of the uppermost portion (58). サブリソグラフィックサイズ(69)のフィーチャー(54)を有する集積回路であって、前記フィーチャ(54)は、
基板(12)上に配置されたフォトレジスト層(16)上に、マスクまたはレチクル(18)によるパターンとリソグラフィで用いられる波長での放射とによって前記フィーチャー(54)のパターンを形成する過程と、
前記フォトレジスト層(16)上にパターン化された前記フィーチャー(54)を現像する過程と、
前記フォトレジスト層(16)の少なくとも一部分を変質させて、前記フォトレジスト層(16)上にパターン化された前記フィーチャー(54)の最上部分(58)が、前記フォトレジスト層(16)上にパターン化された前記フィーチャー(54)の底部(160)とは異なるエッチング速度を有するようにする過程と、
前記フォトレジスト層(16)上にパターン化された前記フィーチャー(54)をサブリソグラフィックサイズ(64)にトリミングする過程と、
前記フォトレジスト層(16)上にパターン化されて前記トリミングされた前記フィーチャー(54)を前記基板(14)に転写する過程と、を有するプロセスにより形成され、更に、前記基板内の前記フィーチャー(68)がサブリソグラフィックとなっている、集積回路。
An integrated circuit having features (54) of sublithographic size (69), said features (54) comprising:
Forming a pattern of said features (54) on a photoresist layer (16) disposed on a substrate (12) by patterning with a mask or reticle (18) and radiation at a wavelength used in lithography;
Developing the features (54) patterned on the photoresist layer (16);
At least a portion of the photoresist layer (16) is altered such that a top portion (58) of the features (54) patterned on the photoresist layer (16) is overlaid on the photoresist layer (16). Having a different etch rate from the bottom (160) of the patterned feature (54);
Trimming the features (54) patterned on the photoresist layer (16) to a sublithographic size (64);
Transferring the patterned and trimmed features (54) on the photoresist layer (16) to the substrate (14). 68) is an integrated circuit that is sublithographic.
前記変質させる過程では、さらに前記最上部(58)を形成するために電子ビーム(52)で前記フォトレジスト層(16)を硬化する、請求項16記載の方法。17. The method of claim 16, wherein the altering further comprises curing the photoresist layer (16) with an electron beam (52) to form the top (58).
JP2002578554A 2001-03-28 2001-12-12 Process for forming sublithographic photoresist features Pending JP2004530922A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/819,342 US6630288B2 (en) 2001-03-28 2001-03-28 Process for forming sub-lithographic photoresist features by modification of the photoresist surface
PCT/US2001/048509 WO2002080239A2 (en) 2001-03-28 2001-12-12 Process for forming sub-lithographic photoresist features

Publications (1)

Publication Number Publication Date
JP2004530922A true JP2004530922A (en) 2004-10-07

Family

ID=25227873

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002578554A Pending JP2004530922A (en) 2001-03-28 2001-12-12 Process for forming sublithographic photoresist features

Country Status (7)

Country Link
US (1) US6630288B2 (en)
EP (1) EP1374287A2 (en)
JP (1) JP2004530922A (en)
KR (1) KR100836948B1 (en)
CN (1) CN1333436C (en)
TW (1) TW533505B (en)
WO (1) WO2002080239A2 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007522673A (en) * 2004-02-13 2007-08-09 フリースケール セミコンダクター インコーポレイテッド Method for forming a semiconductor device using a treated photoresist
US7803518B2 (en) 2005-08-15 2010-09-28 Fujitsu Semiconductor Limited Method for manufacturing micro structure
JP2011530168A (en) * 2008-07-30 2011-12-15 フリースケール セミコンダクター インコーポレイテッド Semiconductor device having widened active region
JP2015115524A (en) * 2013-12-13 2015-06-22 大日本印刷株式会社 Method of manufacturing imprint mold

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6869899B2 (en) * 2001-07-12 2005-03-22 International Business Machines Corporation Lateral-only photoresist trimming for sub-80 nm gate stack
KR100390918B1 (en) * 2001-08-30 2003-07-12 주식회사 하이닉스반도체 a method for manufacturing of semiconductor memory device
US6923920B2 (en) * 2002-08-14 2005-08-02 Lam Research Corporation Method and compositions for hardening photoresist in etching processes
US20040038537A1 (en) * 2002-08-20 2004-02-26 Wei Liu Method of preventing or suppressing sidewall buckling of mask structures used to etch feature sizes smaller than 50nm
US6867063B1 (en) * 2002-09-30 2005-03-15 Advanced Micro Devices, Inc. Organic spin-on anti-reflective coating over inorganic anti-reflective coating
WO2004066389A2 (en) * 2003-01-22 2004-08-05 Koninklijke Philips Electronics N.V. Floating gate isolation and method of making
US6962878B2 (en) * 2003-04-17 2005-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method to reduce photoresist mask line dimensions
KR100539962B1 (en) * 2003-07-03 2005-12-28 매그나칩 반도체 유한회사 Method of manufacturing a semiconductor device using photoresist trimming process
US7294440B2 (en) * 2004-07-23 2007-11-13 International Business Machines Corporation Method to selectively correct critical dimension errors in the semiconductor industry
US7384726B2 (en) * 2005-01-25 2008-06-10 Taiwan Semiconductor Manufacturing Co., Ltd. Resist collapse prevention using immersed hardening
US7592247B2 (en) * 2006-10-04 2009-09-22 International Business Machines Corporation Sub-lithographic local interconnects, and methods for forming same
US20080138986A1 (en) * 2006-12-06 2008-06-12 International Business Machines Corporation Mask layer trim method using charged particle beam exposure
CN101593685B (en) * 2008-05-29 2011-05-04 中芯国际集成电路制造(北京)有限公司 Method for forming grid
US8298958B2 (en) 2008-07-17 2012-10-30 Lam Research Corporation Organic line width roughness with H2 plasma treatment
EP2351062A4 (en) * 2008-10-31 2012-10-31 Fei Co Measurement and endpointing of sample thickness
KR101662702B1 (en) * 2009-12-31 2016-10-06 삼성전자 주식회사 Method for manufacturing semiconductor device
US8975189B2 (en) * 2012-09-14 2015-03-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming fine patterns
TW201441345A (en) * 2013-04-16 2014-11-01 Polychem Uv Eb Internat Corp Aqueous etchant composition containing strong oxide precursor, structure thereof and conductive circuit patterning process
US9953802B2 (en) 2014-01-21 2018-04-24 Ramot At Tel-Aviv University Ltd. Method and device for manipulating particle beam
CN105652590A (en) * 2014-12-04 2016-06-08 北京北方微电子基地设备工艺研究中心有限责任公司 Manufacturing method of coining template
US11131919B2 (en) * 2018-06-22 2021-09-28 International Business Machines Corporation Extreme ultraviolet (EUV) mask stack processing
CN111769037B (en) * 2020-05-29 2021-10-29 长江存储科技有限责任公司 Etching method for semiconductor structure and manufacturing method of 3D memory device

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02252233A (en) * 1989-03-27 1990-10-11 Matsushita Electric Ind Co Ltd Fine pattern forming method
JPH02303022A (en) * 1989-04-28 1990-12-17 Internatl Business Mach Corp <Ibm> Datter formation metaod
JPH06244156A (en) * 1993-02-15 1994-09-02 Nippon Telegr & Teleph Corp <Ntt> Formation of pattern
US5468595A (en) * 1993-01-29 1995-11-21 Electron Vision Corporation Method for three-dimensional control of solubility properties of resist layers
JPH0845906A (en) * 1994-07-28 1996-02-16 Sony Corp Pattern forming method and manufacture of semiconductor device using this method
JP2000181082A (en) * 1998-12-10 2000-06-30 Sony Corp Production of semiconductor device
WO2000077577A1 (en) * 1999-06-11 2000-12-21 Electron Vision Corporation Modification of 193 nm sensitive photoresist materials by electron beam exposure
JP2002023390A (en) * 2000-06-28 2002-01-23 Hynix Semiconductor Inc Method for forming photosensitive film pattern of semiconductor device
JP2002217170A (en) * 2001-01-16 2002-08-02 Semiconductor Leading Edge Technologies Inc Method of forming fine pattern, method of fabricating semiconductor device and semiconductor device
JP2002231608A (en) * 2001-02-02 2002-08-16 Hitachi Ltd Method of manufacturing semiconductor device

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3997367A (en) 1975-11-20 1976-12-14 Bell Telephone Laboratories, Incorporated Method for making transistors
US5003178A (en) 1988-11-14 1991-03-26 Electron Vision Corporation Large-area uniform electron source
US5658469A (en) * 1995-12-11 1997-08-19 Quantum Peripherals Colorado, Inc. Method for forming re-entrant photoresist lift-off profile for thin film device processing and a thin film device made thereby
TW451355B (en) 1996-09-10 2001-08-21 United Microelectronics Corp Method for increasing the etching selectivity
US5876903A (en) 1996-12-31 1999-03-02 Advanced Micro Devices Virtual hard mask for etching
US6232048B1 (en) 1996-12-31 2001-05-15 Advanced Micro Devices Method for preparing narrow photoresist lines
US6107172A (en) * 1997-08-01 2000-08-22 Advanced Micro Devices, Inc. Controlled linewidth reduction during gate pattern formation using an SiON BARC
US5965461A (en) * 1997-08-01 1999-10-12 Advanced Micro Devices, Inc. Controlled linewidth reduction during gate pattern formation using a spin-on barc
US5962195A (en) * 1997-09-10 1999-10-05 Vanguard International Semiconductor Corporation Method for controlling linewidth by etching bottom anti-reflective coating
JPH1197328A (en) 1997-09-17 1999-04-09 Toshiba Corp Method for forming resist pattern
US6103457A (en) 1998-05-28 2000-08-15 Philips Electronics North America Corp. Method for reducing faceting on a photoresist layer during an etch process
US6110837A (en) 1999-04-28 2000-08-29 Worldwide Semiconductor Manufacturing Corp. Method for forming a hard mask of half critical dimension
US6197687B1 (en) 1999-09-13 2001-03-06 Advanced Micro Devices, Inc. Method of patterning field dielectric regions in a semiconductor device
US6174818B1 (en) * 1999-11-19 2001-01-16 Taiwan Semiconductor Manufacturing Company Method of patterning narrow gate electrode
US6358670B1 (en) * 1999-12-28 2002-03-19 Electron Vision Corporation Enhancement of photoresist plasma etch resistance via electron beam surface cure

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02252233A (en) * 1989-03-27 1990-10-11 Matsushita Electric Ind Co Ltd Fine pattern forming method
JPH02303022A (en) * 1989-04-28 1990-12-17 Internatl Business Mach Corp <Ibm> Datter formation metaod
US5468595A (en) * 1993-01-29 1995-11-21 Electron Vision Corporation Method for three-dimensional control of solubility properties of resist layers
JPH06244156A (en) * 1993-02-15 1994-09-02 Nippon Telegr & Teleph Corp <Ntt> Formation of pattern
JPH0845906A (en) * 1994-07-28 1996-02-16 Sony Corp Pattern forming method and manufacture of semiconductor device using this method
JP2000181082A (en) * 1998-12-10 2000-06-30 Sony Corp Production of semiconductor device
WO2000077577A1 (en) * 1999-06-11 2000-12-21 Electron Vision Corporation Modification of 193 nm sensitive photoresist materials by electron beam exposure
JP2002023390A (en) * 2000-06-28 2002-01-23 Hynix Semiconductor Inc Method for forming photosensitive film pattern of semiconductor device
JP2002217170A (en) * 2001-01-16 2002-08-02 Semiconductor Leading Edge Technologies Inc Method of forming fine pattern, method of fabricating semiconductor device and semiconductor device
JP2002231608A (en) * 2001-02-02 2002-08-16 Hitachi Ltd Method of manufacturing semiconductor device

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007522673A (en) * 2004-02-13 2007-08-09 フリースケール セミコンダクター インコーポレイテッド Method for forming a semiconductor device using a treated photoresist
US7803518B2 (en) 2005-08-15 2010-09-28 Fujitsu Semiconductor Limited Method for manufacturing micro structure
JP2011530168A (en) * 2008-07-30 2011-12-15 フリースケール セミコンダクター インコーポレイテッド Semiconductor device having widened active region
JP2015115524A (en) * 2013-12-13 2015-06-22 大日本印刷株式会社 Method of manufacturing imprint mold

Also Published As

Publication number Publication date
WO2002080239A2 (en) 2002-10-10
CN1494732A (en) 2004-05-05
EP1374287A2 (en) 2004-01-02
KR100836948B1 (en) 2008-06-11
WO2002080239A3 (en) 2002-12-12
US20020160320A1 (en) 2002-10-31
KR20040021596A (en) 2004-03-10
CN1333436C (en) 2007-08-22
TW533505B (en) 2003-05-21
US6630288B2 (en) 2003-10-07

Similar Documents

Publication Publication Date Title
JP2004530922A (en) Process for forming sublithographic photoresist features
US6716571B2 (en) Selective photoresist hardening to facilitate lateral trimming
US6653231B2 (en) Process for reducing the critical dimensions of integrated circuit device features
US7482280B2 (en) Method for forming a lithography pattern
US6475867B1 (en) Method of forming integrated circuit features by oxidation of titanium hard mask
US20080292991A1 (en) High fidelity multiple resist patterning
JP4921898B2 (en) Manufacturing method of semiconductor device
US6869899B2 (en) Lateral-only photoresist trimming for sub-80 nm gate stack
US20040102048A1 (en) Method for manufacturing semiconductor device
JP2004134553A (en) Process for forming resist pattern and process for fabricating semiconductor device
TWI709165B (en) Method for lithography patterning
US7851136B2 (en) Stabilization of deep ultraviolet photoresist
US7662542B2 (en) Pattern forming method and semiconductor device manufacturing method
CN108231548B (en) Method for manufacturing semiconductor device
TW505976B (en) Method for forming micro-pattern of semiconductor device
US6815359B2 (en) Process for improving the etch stability of ultra-thin photoresist
US6589709B1 (en) Process for preventing deformation of patterned photoresist features
EP0940719A2 (en) Photoresist film and method for forming a pattern thereof
US6602794B1 (en) Silylation process for forming contacts
US6884735B1 (en) Materials and methods for sublithographic patterning of gate structures in integrated circuit devices
US20070065756A1 (en) High sensitivity electron beam resist processing
US6709986B2 (en) Method for manufacturing semiconductor memory device by using photoresist pattern exposed with ArF laser beam
CN108231550B (en) Method for manufacturing semiconductor device
JP2004533110A (en) Improved transistor gate using e-beam radiation
TWI761987B (en) Negative tone photoresist and developing method thereof

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20040924

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070731

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20071031

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20071107

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20071130

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20071207

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20071228

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20080110

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080125

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20080318