JP2004501404A - フッ化アンモニウムを含有するフォトレジスト除去剤組成物 - Google Patents

フッ化アンモニウムを含有するフォトレジスト除去剤組成物 Download PDF

Info

Publication number
JP2004501404A
JP2004501404A JP2002504538A JP2002504538A JP2004501404A JP 2004501404 A JP2004501404 A JP 2004501404A JP 2002504538 A JP2002504538 A JP 2002504538A JP 2002504538 A JP2002504538 A JP 2002504538A JP 2004501404 A JP2004501404 A JP 2004501404A
Authority
JP
Japan
Prior art keywords
photoresist
weight
remover composition
composition
metal film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2002504538A
Other languages
English (en)
Other versions
JP3742624B2 (ja
Inventor
ユーン、サク−イイ
パク、ヤン−ウン
オー、チャン−イイ
リー、サン−ダイ
ヨー、チョン−スン
Original Assignee
ドウジン セミケム カンパニー リミテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ドウジン セミケム カンパニー リミテッド filed Critical ドウジン セミケム カンパニー リミテッド
Publication of JP2004501404A publication Critical patent/JP2004501404A/ja
Application granted granted Critical
Publication of JP3742624B2 publication Critical patent/JP3742624B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2041Exposure; Apparatus therefor in the presence of a fluid, e.g. immersion; using fluid cooling means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/423Stripping or agents therefor using liquids only containing mineral acids or salts thereof, containing mineral oxidizing substances, e.g. peroxy compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means

Landscapes

  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • General Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical & Material Sciences (AREA)
  • Detergent Compositions (AREA)

Abstract

高集積回路、超高集積回路等の半導体素子を製造する工程でフォトレジストの除去に用いられるフォトレジスト除去剤組成物を開示し、当該組成物は弗化アンモニウム0.1 〜0.3 重量%、水25〜45重量%、水酸基を2又は3個含有した有機フェノール系化合物4〜15重量%及びアルキルアミド40〜70重量%を含有する。本発明組成物はハードベーク、ドライエッチング及び灰化工程によって硬化したフォトレジスト膜並びに前記工程中のフォトレジストがエッチング及び灰化ガスと反応して下部金属膜質から起因する側壁レジストポリマーを短時間に容易に除去でき、特にアルミニウム、アルミ合金等の下部金属膜質で側壁レジストポリマーの除去に有用である。当該組成物はフォトレジスト除去工程で下部金属膜質の腐蝕を最少化、特に64メガDRAM級以上の超高集積回路半導体の量産ラインに適用される新規下部金属膜質に対して腐蝕を最少化する長所がある。
【選択図】図3

Description

【0001】
(発明の属する技術分野)
本発明はフッ化アンモニウムを含有するフォトレジスト除去剤組成物に関し、より詳細には、高集積回路、超高集積回路などの半導体素子を製造する工程の中でフォトレジストを除去するためのフッ化アンモニウムを含有するフォトレジスト除去剤組成物に関する。
【0002】
(従来の技術)
一般に、半導体素子の製造工程は半導体基板上に形成された導電層上にフォトレジストパターンを形成した後、前記パターンによって覆われない部位の導電層をエッチングして除去することにより導電層パターンを形成するリソグラフィ工程を数十回繰り返す。マスクとして利用されたフォトレジストパターンは、前記導電層パターン形成工程後のストリップ工程でフォトレジスト除去剤によって導電層から除去される。しかし、最近、導電層パターンを形成するためのエッチング工程が主にドライエッチング工程からなるため、その後のストリップ工程でフォトレジストを除去するのが難しくなった。
【0003】
ドライエッチング工程は、混酸の液相組成物を利用したウェットエッチング工程とは対照的に、プラズマエッチングガスと導電層のような物質膜の間の気相−固相反応を利用してエッチング工程を行う。ドライエッチングは、制御が容易でシャープなパターンを得ることができるので最近エッチング工程の主流をなしている。しかし、ドライエッチング工程は、フォトレジスト表面でプラズマエッチングガスのうちのイオン及びラジカルと前記フォトレジスト膜との間で複雑な化学反応を起こしてフォトレジストを急速に硬化させるのでフォトレジストの除去が困難になる。特に、アルミニウム、アルミニウム合金及び窒化チタンのような金属導電層のドライエッチングの場合、硬化中に化学的に変質する側壁部のレジストポリマーはストリップ工程において各種除去剤を使用しても除去するのは難しい。
【0004】
従来ストリップ工程に用いられるフォトレジスト除去剤として、有機アミン化合物と有機溶剤を混合した各種フォトレジスト除去剤組成物が提案されている。特に、有機アミン化合物の中でモノエタノールアミンを必須成分として含むフォトレジスト除去剤組成物は最も広く用いられている。
【0005】
例えば、a)モノエタノールアミン、2−(2−アミノエトキシ)エタノールなどの有機アミン化合物、b)N,N’−ジメチルアセトアミド、N,N−ジメチルホルムアミド、N−メチルピロリドン、ジメチルスルホキシド、カルビトールアセテート、メトキシアセトキシプロパンなどの極性溶剤からなる2成分系フォトレジスト除去剤組成物(米国特許4,617,251号); a)モノエタノールアミン、モノプロパノールアミン、メチルアミルエタノールなどの有機アミン化合物、b)N−メチルアセトアミド、N,N’−ジメチルアセトアミド、N,N−ジメチルホルムアミド、N,N−ジエチルアセトアミド、N,N−ジプロピルアセトアミド、N,N−ジメチルプロピオンアミド、N,N−ジエチルブチルアミド、N−メチル−N−エチルプロピオンアミドなどのアミド溶剤からなる2成分系フォトレジスト除去剤組成物(米国特許4,770,713号); a)モノエタノールアミンなどの有機アミン化合物、b)1,3−ジメチル−2−イミダゾリジノン、1,3−ジメチル−テトラヒドロピリミドンなどの非プロトン極性溶剤類からなる2成分系フォトレジスト除去剤組成物(ドイツ公開特許出願3,828,513号); a)モノエタノールアミン、ジエタノールアミン、トリエタノールアミンなどのアルカノールアミン及びエチレンジアミンのエチレンオキシドを導入したアルキレンポリアミン、b)スルホランなどのスルホン化合物、c)ジエチレングリコールモノエチルエーテル、ジエチレングリコールモノブチルエーテルなどのグリコールモノアルキルエーテルを特定比率で混合したフォトレジスト除去剤組成物(日本特開昭62−49355号); a)モノエタノールアミン、ジエタノールアミンなどの水溶性アミン、b)1,3−ジメチル−2−イミダゾリジノンを含有したフォトレジスト除去剤組成物(日本特開昭63−208043号); a)モノエタノールアミン、エチレンジアミン、ピペリジン、ベンジルアミンなどのアミン類、b)N,N’−ジメチルアセトアミド、N−メチルピロリドン、ジメチルスルホキシドなどの極性溶剤、c)界面活性剤からなるポジ型レジスト除去剤組成物(日本特開昭63−231343号); a)モノエタノールアミンなどの含窒素有機ヒドロキシ化合物、b)ジエチレングリコールモノエチルエーテル、ジエチレングリコールジアルキルエーテル、γ−ブチロラクトン及び1,3−ジメチル−2−イミダゾリジノンからなる群より選択された一つ以上の溶剤、及びc)ジメチルスルホキシドを特定比率で混合したポジ型レジスト除去剤組成物(日本特開昭64−42653号); a)モノエタノールアミンなどの有機アミン化合物、b)ジエチレングリコールモノアルキルエーテル、N,N’−ジメチルアセトアミド、N−メチルピロリドン、ジメチルスルホキシドなどの非プロトン極性溶剤類、c)リン酸エステル系界面活性剤からなるポジ型レジスト除去剤組成物(日本特開平4−124668号); a)1,3−ジメチル−2−イミダゾリジノン、b)ジメチルスルホキシド、c)モノエタノールアミンなどの有機アミン化合物を含有したフォトレジスト除去剤組成物(日本特開平4−350660号); a)モノエタノールアミン、b)ジメチルスルホキシド、c)カテコールを含有したフォトレジスト除去剤組成物(日本特開平5−281753号)などが提案されており、これらフォトレジスト除去剤組成物は安全性、作業性、フォトレジスト除去性能において比較的に優れた特性を示している。
【0006】
しかし、最近の半導体素子製造工程傾向の一つとして、シリコンウエハーをはじめとする各種基板を高温で処理するハードベーク工程条件の高温化がある。前記例に挙げたフォトレジスト除去剤は、高温でハードベークされたフォトレジストに対しては除去能力が十分でない。前記ハードベークされたフォトレジストを除去するための組成物として、水を含有する水系フォトレジスト除去剤が提案された。例えば、a)ヒドロキシルアミン類、b)アルカノールアミン類、及びc)水からなるフォトレジスト除去剤組成物(日本特開平4−289866号); a)ヒドロキシルアミン類、b)アルカノールアミン類、c)水、及びd)防食剤からなるフォトレジスト除去剤組成物(日本特開平6−266119号); a)GBL(γ−ブチロラクトン)、N,N−ジメチルホルムアミド、N,N’−ジメチルアセトアミド、N−メチルピロリドンなどの極性溶剤類、b)2−メチルアミノエタノールなどのアミノアルコール類、及びc)水を含有したフォトレジスト除去剤組成物(日本特開平7−69618号); a)モノエタノールアミンなどのアミノアルコール類、b)水、及びc)ブチルジグリコールを含有したフォトレジスト除去剤組成物(日本特開平8−123043号); a)アルカノールアミン類、アルコキシアルキルアミン類、b)グリコールモノアルキルエーテル、c)糖アルコール類、d)第4級水酸化アンモニウム、及びe)水を含有したフォトレジスト除去剤組成物(日本特開平8−262746号); a)モノエタノールアミンまたは/及び2−(2−アミノエトキシ)エタノールのうちの一つ以上のアルカノールアミン、b)ヒドロキシルアミン、c)ジエチレングリコールモノアルキルエーテル、d)糖類(ソルビトール)、及びe)水を含有したフォトレジスト除去剤組成物(日本特開平9−152721号); a)ヒドロキシルアミン類、b)水、c)酸解離定数(pKa )が7.5乃至13であるアミン類、d)水溶性有機溶媒、及びe)防食剤からなるフォトレジスト除去剤組成物(日本特開平9−96911号)などが提案された。しかし、前記除去剤組成物も超高集積回路製造に用いられるドライエッチングまたは灰化工程でプラズマガスに露出されて化学的に変質硬化された側壁レジストポリマーを十分に除去できないという事実が明白になって、この問題を解決できる、つまり、工程条件が深化したドライエッチング工程に使用できるフォトレジスト除去剤の開発が要請されている。
【0007】
上述のように、ドライエッチング工程を経たフォトレジストの場合、一般的なフォトレジスト除去剤でフォトレジストを除去することは困難である。フォトレジストは高いドーズ量(dose amount )、高エネルギーのイオンビームによる反応熱が主原因になってフォトレジストの表面が硬化する。また、同時にフォトレジストのポッピング現象が発生してフォトレジスト残渣が生じることもある。通常灰化処理をする半導体ウエハーは、200℃以上の高温で加熱処理を行う。この時、フォトレジスト内部に残存する溶剤が気化して排出されなければならないが、灰化工程後のフォトレジスト表面には硬化層が存在するため、これが不能になる。
【0008】
したがって、灰化が進められることによってフォトレジスト膜内部の内圧が上昇しながら内部に残存する溶剤によってフォトレジスト膜表面が破裂する現象が生じるが、これをポッピング現象という。このようなポッピング現象によって飛散した表面硬化層は、残渣になって一般的な除去剤組成物では除去するのがむずかしい。このように変質したフォトレジストは残渣とパーティクルに変わってやはり汚染源となり、超高集積回路の製造時に生産性を低下させる原因となる。特に、フォトレジストを除去するためにストリップ工程前に灰化工程を進行する場合、フォトレジスト層の変質化はさらに深化してストリップ工程の進行で不良品が発生する。
【0009】
上述したフォトレジスト変質硬化層を効果的に除去するための多様なエッチング工程が提案されており、その中の一つとして通常の灰化を行って2次灰化を再実施する2段階灰化法が文献[ 藤村、日本春季応用物理学会予告集1P−13, P574, 1989]に記載されている。しかし、このような工程は工程が複雑になって装備が大規模化し、生産収率が低下するという問題をもっている。
【0010】
結局、水系フォトレジスト除去剤組成物を利用したストリップ工程でこの問題を解決するしかなく、その中で最近提案されたヒドロキシルアミン、アルカノールアミン、防食剤及び水からなるフォトレジスト除去剤組成物が相対的に変質硬化したフォトレジストポリマーに対して有効な除去性能を発揮する特性を有するために広く用いられている。しかし、この組成物の場合も64メガDRAM級以上の半導体量産ラインでヴァイアホールパターンを形成する工程で新規金属膜質の場合、側壁レジストポリマーを完全に除去できない。したがって、これを補完できる新たなフォトレジスト除去剤に関する開発が求められている。
【0011】
(発明の要約)
本発明の目的は、前記問題点を解決するためにヴァイアホールパターン形成工程でのドライエッチング及び灰化工程によって変質硬化した側壁レジストポリマーを短時間内に容易に除去することができ、下部の金属膜質腐食を最少化することができるフォトレジスト除去剤組成物を提供することにある。
【0012】
前記目的を達成するために、本発明では、フッ化アンモニウム0.1〜0.3重量%、水25〜45重量%、水酸基を2または3個含有したフェノール系化合物4〜15重量%、及びアルキルアミド40〜70重量%を含有することを特徴とするフォトレジスト除去剤組成物を提供する。
【0013】
(発明の詳細な説明)
本発明によるフォトレジスト除去剤組成物において、前記フッ化アンモニウムの含有量は0.1〜0.3重量%が好ましい。つまり、フッ化アンモニウム含有量が0.1重量%未満であればヴァイアホールパターン形成工程でドライエッチング及び灰化工程などにより変質した側壁レジストポリマーを完全に除去するのが難しく、0.3重量%を超えればヴァイアホールパターンで積層された膜質のうちのFOX層(flowable oxide layer)膜質で侵食現象が激しい問題点がある。
【0014】
本発明によるフォトレジスト除去剤組成物において、必須構成成分である水はイオン交換樹脂を通じてろ過した純水が好ましいが、比抵抗が18Mオーム(MΩ)以上である超純水を用いるのがさらに好ましい。
【0015】
前記水の含有量は25〜45重量%が好ましい。本発明によるフォトレジスト除去剤組成物のうちの水の含有量が25重量%未満であれば、ヴァイアホールパターン形成工程でのドライエッチング及び灰化工程などにより変質した側壁レジストポリマー除去が過剰になると同時に、積層された膜質のうちのFOX層の侵食が発生する。水の含有量が45重量%を超えれば、下部金属膜質に対する腐食性が過剰になる問題点がある。研究結果、フォトレジスト除去剤組成物のうちの水の含有量は25〜45重量%範囲が好ましいと確認された。
【0016】
本発明によるフォトレジスト除去剤組成物において、前記水酸基を2または3個含有したフェノール化合物は下記化学式1:
【化3】
Figure 2004501404
(ここで、mは2または3の整数を示す。)で表わされる。
【0017】
フォトレジスト除去剤組成物において、前記水酸基を2または3個含有するフェノール化合物は、除去剤組成物の構成成分であるフッ化アンモニウムと水がストリップ工程上で加熱使用される時に発生する重フッ化アンモニウム(NHHF)がフォトレジスト層と基板の間の接触面に効果的に浸透できるように助ける機能を発揮する。
【0018】
前記水酸基を2または3個含有するフェノール化合物の含有量は、4〜15重量%が好ましい。フェノール化合物の含有量が4重量%未満であれば側壁レジストポリマーが完全に除去できない問題点があり、15重量%を超えればフォトレジスト除去剤組成物の粘度を上昇させて使用時に便利性を低下させる問題点がある。
【0019】
本発明のフォトレジスト除去剤組成物において、アルキルアミドの場合、下記化学式2:
【化4】
Figure 2004501404
(ここでR、R及びRは各々水素であるか、または少なくとも一つ以上がアルキル基であり、前記アルキル基は炭素数が1乃至2である直鎖アルキル基である。)で表わされる化合物である。
【0020】
前記アルキルアミドの含有量は40〜70重量%が好ましい。アルキルアミドの含有量が40重量%未満であればフォトレジストに対する溶解能力が低下し、70重量%を超えれば相対的に水の含有量が減ってFOX層侵食を生じる。
【0021】
以下、本発明を実施例を通じてさらに詳細に説明するが、本発明の範囲が下記の実施例に限られるわけではない。一方、下記の実施例において別途の言及がなければ百分率及び混合比は重量を基準にしたものである。本発明の実施例及び比較例において、フォトレジスト除去剤組成物に対する性能評価は、次の方法によって実施した。
【0022】
(1)フォトレジスト除去試験
試片Aの製造
下部にアルミニウム合金及び窒化チタン膜が下から順次に800Å及び150Å蒸着されている8インチシリコンウエハーの表面にTEOSとFOX、そして再びTEOSをCVD(化学蒸着)装備を利用して蒸着した。汎用的に用いられるポジ型フォトレジスト組成物(東進セミケム社製、商品名:DPR−i900 )をスピンコーティングして最終膜の厚さが1.2μmになるように塗布した。次に、ホットプレートで前記フォトレジスト膜を110℃で90秒間プリベーク(pre−bake)した。引続き、前記フォトレジスト膜上に所定のヴァイアホールパターンのマスクを位置させた後、露光して2.38%テトラメチルアンモニウムヒドロキシド現像液で21℃で60秒現像した後、ホットプレートで前記ヴァイアホールパターンが形成された試片を120℃で100秒間ハードベークした。前記試片に形成されたフォトレジストパターンをマスクとして利用して、ドライエッチング装置(日立製作所、モデル名:M318 )でSFとClの混合ガスをドライエッチングガスとして用いて35秒間フォトレジストパターンによって覆われていない下部の窒化チタン膜をエッチングした。次に、Oプラズマを利用した灰化装置を使用して、フォトレジストのほとんどを除去して試片を完成した。
【0023】
フォトレジスト除去試験
前記試片Aを温度65℃でフォトレジスト除去剤組成物に5分、10分、20分間各々浸漬した。引き続き、前記試片をフォトレジスト除去剤組成物から取り出した後、超純水で洗浄し窒素ガスで乾燥し、ヴァイアホールパターン断面での側壁表面にフォトレジストポリマーが残留しているかどうかを走査電子顕微鏡で検査し、フォトレジスト除去性能を次のような基準に基づいて評価し、その結果を下記表2に示した。
【0024】
○: ヴァイアホールパターン側壁でレジストポリマー残留物が完全に除去された場合
△: ヴァイアホールパターン側壁でレジストポリマー残留物が80%以上除去された場合
×: ヴァイアホールパターン側壁でレジストポリマー残留物がほとんど除去されていない場合。
【0025】
(2)金属膜質腐食性試験
試片Bの作製
前記試片Aと同様の方法で作製した。
【0026】
金属膜質腐食性試験
前記試片Bを温度65℃でフォトレジスト除去剤組成物に5分、10分、20分間各々浸漬させた。引き続き、前記試片をフォトレジスト除去剤組成物から取り出した後、超純水で洗浄し窒素ガスで乾燥し、ヴァイアホールパターン断面での下部金属膜質にアンダーカット現象が発生したかどうかを走査電子顕微鏡で検査して腐蝕程度を次の通りの基準に基づいて評価し、その結果を下記表3に示した。
【0027】
○: 下部金属膜質にアンダーカット現象がない場合
△: 下部金属膜質にアンダーカット現象が一部ある場合
×: 下部金属膜質にアンダーカット現象が激しく現れた場合。
【0028】
実施例1〜5及び比較例1〜3
成分(a)〜(d)の含有量を各々下記の表1に示した比率で混合して、実施例1〜5及び比較例1〜3のフォトレジスト除去剤組成物を各々調製した。このようにして得られたフォトレジスト除去剤組成物に対して上述した(1)フォトレジスト除去試験、(2)金属膜質腐食性試験を実施し、その結果を下記の表2及び3に示す。
【0029】
【表1】
Figure 2004501404
HDA: ヒドロキシルアミン
PC: ピロカテコール
GA: 没食子酸
DMAc: N,N’ −ジメチルアセトアミド
NHF: フッ化アンモニウム
MEA: モノエタノールアミン
AEE: 2−(2−アミノエトキシ)エタノール
【表2】
Figure 2004501404
図2及び図3は、実施例1のフォトレジスト除去剤組成物のフォトレジスト除去性能と比較例3のフォトレジスト除去剤組成物の性能を比較した走査電子顕微鏡(日立製作所、モデル名;S−4100 )の写真を示す。図1乃至図3は、試片Aに対してフォトレジスト除去剤組成物の温度を65℃として試験したフォトレジスト除去性能試験の結果を示す。
【0030】
図1は、アルミニウム合金金属膜1、窒化チタン膜2、テトラエチルオルト珪酸塩(TEOS:Tetraethyl orthosilicate )3、FOX(Flowable Oxide)4、テトラエチルオルト珪酸塩(TEOS:Tetraethyl orthosilicate )5が順次に積層されている基板上にフォトレジスト6を塗布しヴァイアホール(Via Hole)パターンを形成した後、ドライエッチング工程を進行し灰化工程を進行した状態のパターン断面構造である。このパターンの断面写真で、ヴァイアホールの断面全体にかけて側壁レジストポリマーが存在することが確認できる。
【0031】
図2は、比較例3のフォトレジスト除去剤組成物を使用して65℃でフォトレジスト除去性能試験をした結果を示す走査電子顕微鏡写真である。
【0032】
図3は、実施例1のフォトレジスト除去剤組成物を使用して65℃でフォトレジスト除去性能試験をした結果を示す走査電子顕微鏡写真である。
【0033】
【表3】
Figure 2004501404
(発明の効果)
前記のように、本発明のフォトレジスト除去剤組成物は、ヴァイアホールパターン形成工程でのドライエッチング及び灰化工程によって変質硬化された側壁レジストポリマーを短時間内に容易に除去することができる。また、フォトレジスト除去工程中の下部の金属配線、特にアルミニウム配線の腐蝕を最少化することができ、引き続くリンス工程でイソプロピルアルコールまたはジメチルスルホキシドのような有機溶剤を使用する必要なく、水だけでリンスすることができる長所がある。
【図面の簡単な説明】
【図1】アルミニウム合金金属膜1、窒化チタン膜2、テトラエチルオルト珪酸塩(TEOS:Tetraethyl orthosilicate )3、FOX(Flowable Oxide)4、テトラエチルオルト珪酸塩(TEOS)5が順次に積層されている基板上にフォトレジスト6を塗布してヴァイアホールパターンを形成した後、ドライエッチング工程及び灰化工程を進行した状態のパターン断面構造を撮影した走査電子顕微鏡写真である。
【図2】図1のパターンを灰化工程を進行してフォトレジスト6の一部を除去し、比較例3のフォトレジスト除去剤組成物を使用して65℃でフォトレジスト除去性能試験を進行した結果を示す走査電子顕微鏡写真である。
【図3】図1のパターンを灰化工程を進行してフォトレジスト6の一部を除去し、実施例1のフォトレジスト除去剤組成物を使用して65℃でフォトレジスト除去性能試験を進行した結果を示す走査電子顕微鏡写真である。

Claims (3)

  1. フッ化アンモニウム0.1〜0.3重量%、水25〜45重量%、水酸基を2または3個含有した有機フェノール系化合物4〜15重量%及びアルキルアミド40〜70重量%を含有してなるフォトレジスト除去剤組成物。
  2. 前記アルキルアミドが下記化学式2:
    Figure 2004501404
    (ここでR、R及びRは各々水素であるか、または少なくとも一つ以上がアルキル基であり、前記アルキル基は炭素数が1乃至2の直鎖アルキル基である。)で表わされる化合物である、請求項1に記載のフォトレジスト除去剤組成物。
  3. 前記水酸基を2または3個含有した有機フェノール系化合物が下記化学式1:
    Figure 2004501404
    (ここで、mは2または3の整数を示す。)で表わされるフェノール系化合物である、請求項1に記載のフォトレジスト除去剤組成物。
JP2002504538A 2000-06-19 2000-06-26 フッ化アンモニウムを含有するフォトレジスト除去剤組成物 Expired - Lifetime JP3742624B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020000033705A KR20010113396A (ko) 2000-06-19 2000-06-19 암모늄 플로라이드를 함유하는 포토레지스트 리무버 조성물
PCT/KR2000/000663 WO2001098837A1 (en) 2000-06-19 2000-06-26 Photoresist remover composition comprising ammonium fluoride

Publications (2)

Publication Number Publication Date
JP2004501404A true JP2004501404A (ja) 2004-01-15
JP3742624B2 JP3742624B2 (ja) 2006-02-08

Family

ID=19672600

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002504538A Expired - Lifetime JP3742624B2 (ja) 2000-06-19 2000-06-26 フッ化アンモニウムを含有するフォトレジスト除去剤組成物

Country Status (6)

Country Link
JP (1) JP3742624B2 (ja)
KR (1) KR20010113396A (ja)
CN (1) CN1217236C (ja)
AU (1) AU2000255752A1 (ja)
TW (1) TW594443B (ja)
WO (1) WO2001098837A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004533010A (ja) * 2001-05-21 2004-10-28 ドウジン セミケム カンパニー リミテッド レジスト除去剤組成物

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100646793B1 (ko) * 2001-11-13 2006-11-17 삼성전자주식회사 씬너 조성물
JP4694150B2 (ja) * 2003-06-20 2011-06-08 東京エレクトロン株式会社 処理方法及び処理システム
KR101164959B1 (ko) * 2005-04-06 2012-07-12 주식회사 동진쎄미켐 반도체 소자용 포토레지스트를 제거하기 위한 박리액 조성물
CN102981377B (zh) * 2005-06-07 2014-11-12 高级技术材料公司 金属和电介质相容的牺牲性抗反射涂层清洗及去除组合物
US20100129756A1 (en) * 2007-05-15 2010-05-27 Willi-Kurt Gries Gum solution for developing and gumming a photopolymer printing plate
CN101870932B (zh) * 2010-06-12 2012-02-29 山东大学 一种各向异性导电胶膜去除剂

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2591626B2 (ja) * 1987-09-16 1997-03-19 東京応化工業株式会社 レジスト用剥離液
US6492311B2 (en) * 1990-11-05 2002-12-10 Ekc Technology, Inc. Ethyenediaminetetraacetic acid or its ammonium salt semiconductor process residue removal composition and process
US5561105A (en) * 1995-05-08 1996-10-01 Ocg Microelectronic Materials, Inc. Chelating reagent containing photoresist stripper composition
JP3236220B2 (ja) * 1995-11-13 2001-12-10 東京応化工業株式会社 レジスト用剥離液組成物
JP3932150B2 (ja) * 1997-10-03 2007-06-20 ナガセケムテックス株式会社 レジスト剥離剤組成物及びその使用方法
EP1044251A4 (en) * 1997-10-14 2002-03-20 Advanced Tech Materials COMPOSITIONS CONTAINING AMMONIUM BORATE FOR REMOVING RESIDUES FROM SEMICONDUCTOR SUBSTRATES
KR100288769B1 (ko) * 1998-07-10 2001-09-17 윤종용 포토레지스트용스트리퍼조성물
KR100360397B1 (ko) * 1999-11-26 2002-11-18 삼성전자 주식회사 레지스트 제거용 조성물 및 이를 이용한 레지스트 제거 방법

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004533010A (ja) * 2001-05-21 2004-10-28 ドウジン セミケム カンパニー リミテッド レジスト除去剤組成物
JP4698123B2 (ja) * 2001-05-21 2011-06-08 ドウジン セミケム カンパニー リミテッド レジスト除去剤組成物

Also Published As

Publication number Publication date
WO2001098837A1 (en) 2001-12-27
AU2000255752A1 (en) 2002-01-02
KR20010113396A (ko) 2001-12-28
CN1454334A (zh) 2003-11-05
TW594443B (en) 2004-06-21
JP3742624B2 (ja) 2006-02-08
CN1217236C (zh) 2005-08-31

Similar Documents

Publication Publication Date Title
KR100360985B1 (ko) 레지스트 스트리퍼 조성물
US20070243494A1 (en) Photoresist stripping solution and a method of stripping photoresists using the same
KR100429920B1 (ko) 포토레지스트용 박리액 및 이것을 사용한 포토레지스트 박리방법
CN114008181A (zh) 用于半导体衬底的清洁组合物
JP3833176B2 (ja) フォトレジスト除去剤組成物
US6887655B2 (en) Photoresist removing compositions
JP3742624B2 (ja) フッ化アンモニウムを含有するフォトレジスト除去剤組成物
JP4698123B2 (ja) レジスト除去剤組成物
US7049235B2 (en) Method of manufacturing semiconductor device
US20040185370A1 (en) Resist remover composition
KR100862988B1 (ko) 포토레지스트 리무버 조성물
KR20020019813A (ko) 암모늄 플로라이드를 함유하는 포토레지스트 리무버조성물
KR20030026665A (ko) 포토레지스트 리무버 조성물
KR20020067296A (ko) 포토레지스트 리무버 조성물
KR20040083157A (ko) 포토레지스트용 스트리퍼 조성물
KR20090080226A (ko) 포토레지스트 잔류물 제거용 박리액 조성물 및 이를 이용한박리 방법

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20040726

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20040824

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20041124

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20041201

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050221

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20050223

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20050421

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050715

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20051025

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20051111

R150 Certificate of patent or registration of utility model

Ref document number: 3742624

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091118

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091118

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101118

Year of fee payment: 5

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111118

Year of fee payment: 6

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121118

Year of fee payment: 7

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121118

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131118

Year of fee payment: 8

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term