JP2004309174A - Scan test pattern input method and semiconductor integrated circuit - Google Patents

Scan test pattern input method and semiconductor integrated circuit Download PDF

Info

Publication number
JP2004309174A
JP2004309174A JP2003099395A JP2003099395A JP2004309174A JP 2004309174 A JP2004309174 A JP 2004309174A JP 2003099395 A JP2003099395 A JP 2003099395A JP 2003099395 A JP2003099395 A JP 2003099395A JP 2004309174 A JP2004309174 A JP 2004309174A
Authority
JP
Japan
Prior art keywords
scan
clock
test pattern
scan chain
chain
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2003099395A
Other languages
Japanese (ja)
Other versions
JP4520103B2 (en
Inventor
Toshiyuki Maeda
敏行 前田
Hideharu Ozaki
英晴 尾崎
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Electronics Corp
Original Assignee
NEC Electronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Electronics Corp filed Critical NEC Electronics Corp
Priority to JP2003099395A priority Critical patent/JP4520103B2/en
Publication of JP2004309174A publication Critical patent/JP2004309174A/en
Application granted granted Critical
Publication of JP4520103B2 publication Critical patent/JP4520103B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Landscapes

  • Tests Of Electronic Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

<P>PROBLEM TO BE SOLVED: To provide a scan test pattern input method capable of minimizing tester memory capacity for storing test patterns and reproducing all the test patterns created by ATPG. <P>SOLUTION: Flip flops, a storage element inside a semiconductor integrated circuit, are connected in a chain shape to constitute a scan chain 101. When signals in a scan clock unit outputted from a random pattern generator 102 for generating pseudo random signals at prescribed periods are matched with patterns in a scan clock unit inputted to the scan chain 101 of the ATPG patterns, a scan clock selection decoder 103 supplies a clock for inputting the signals in a scan clock unit outputted from the random pattern generator 102. <P>COPYRIGHT: (C)2005,JPO&NCIPI

Description

【0001】
【発明の属する技術分野】
本発明は、スキャン設計された半導体集積回路におけるスキャンテスト方法、及びそれを実現するための構成に関する。
【0002】
【従来の技術】
半導体集積回路のテストを容易にする技術として、従来、半導体集積回路内の記憶素子等のフリップフロップをチェーン状に接続し、シフトレジスタを用いてこのチェーン状に接続されたフリップフロップ(以下、スキャンチェーンと呼ぶ)に次々と値を設定,読み出しを可能にする回路を付加するシフトスキャン方式が採用されている(例えば、特許文献1〜4参照)。
【0003】
スキャンテストをする場合、まず、スキャンチェーンをシフト動作に切り替え、スキャンチェーンに周期的なクロックを入力しながら、外部からテストパタンである信号値をこのクロックに同期して周期的に印加し、チェーン接続されたフリップフロップ内を順次シフトさせることにより、テストパタンをスキャンチェーンに設定する。その後、スキャンチェーンを通常動作に切り替え、組み合わせ回路を実動作させる。そして再度スキャンチェーンをシフトさせて応答パタンを順次スキャンデータ出力端子から出力させる。以上の処理を、スキャンチェーンに設定されたテストパタン毎に繰り返す。
【0004】
テストに必要なテストパタンデータは回路外部のテスター内のメモリに記憶されており、テストに先立ってメモリから読み出されてスキャンチェーンに設定される。また、通常、スキャンチェーンは複数に分割されており、スキャンクロックですべてのスキャンチェーンが同時にシフト動作するように構成されている。そして、スキャンクロックが印加されるたびに、テスターに記憶されているテストパタンデータが各スキャンチェーンに並列に順次取り込まれる。
【0005】
テスト時にフリップフロップに設定するテストパタンは、通常ATPG(Automatic Test Pattern Generator;テスト生成プログラム)によりあらゆる可能性を考慮して多種類のテストパタンが生成され、生成されたテストパタンを、テストパタン毎に順次チェーン状に接続されたフリップフロップに設定することにより所望のテストが実行される。ATPGソフトウェアツールは、各種の製造不良(故障)モデルに対して、殆ど完全な故障検出率を保証する各種テストパタンを生成することができる点で非常に有効な手段である。
【0006】
図6は、従来のスキャンテストの構成例を示すブロック図であり、半導体集積回路(LSIチップ)70内部の記憶素子であるフリップフロップ等をチェーン状に接続したスキャンチェーンが構成されており、外部から与えられるパタン入力を順次シフトして値を設定・出力することができるようになっている。スキャンチェーンは複数(図では32本)に分割され、スキャンチェーン束71として構成されている。一方、テスター72は、テスターメモリ73およびスキャンクロック発生部を有しており、テスターメモリ73には、ATPGにより生成されたテストパタンが格納されている。
【0007】
スキャンテストを行う際には、まず、32本のスキャンチェーンからなるスキャンチェーン束71に対して、テスターメモリ73に格納されているテストパタンデータをスキャンクロックに同期して32ビット並列に入力することにより、スキャンチェーン束71にテストパタンを設定する。そして、設定されたテストパタン毎に所定のスキャンテストを実行する。
【0008】
【特許文献1】
特開平10−197603号公報
【特許文献2】
特開2000−258500号公報
【特許文献3】
特開2002−174518号公報
【特許文献4】
米国特許第6,327,687号明細書
【0009】
【発明が解決しようとする課題】
図6の構成では、スキャンテストを行う場合、テスターメモリ73に格納されたテストパタンが、外部からのスキャンクロックにあわせて、32本のスキャンチェーンからなるスキャンチェーン束71の入力側から順次シフト入力されるが、スキャン化されたすべてのフリップフロップの値を設定するためには、外部に用意されるテスターメモリ73として、フリップフロップの数だけのメモリ容量を必要とするとともに、それらのメモリに予めテストパタンを記憶する作業が必要となるため、テスト(テスター)に多大なコストがかかる。
【0010】
例えば、このATPGツールによる故障検出目標が回路内の特定部分において起こりうる故障である場合、非常に少ないスキャンセル(フリップフロップ)のみが、この特定の故障を検出するために指定されるにすぎず、スキャンチェーン内の残りの多くのスキャンセル(フリップフロップ)は、ドントケア値(“0”でも“1”でもよいランダムな値)で満たされて一つのテスターメモリにストアされる。そのためテストパタン内に本来指定しなくてもよい値が多く含まれているにもかかわらず、それらも全てドントケア値としてテスターメモリに記憶しておかなければならないので、テストパタンをストアするために大容量のテスターメモリ73が必要となる。
【0011】
また、上記特許文献2あるいは特許文献3には、予め発生させるパタンを設定したパタン発生器を用いる代わりに、ランダムなパタンを発生することができる擬似ランダム発生器を用い、スキャンチェーンにランダムなパタンを設定してテストする方法の可能性も記載されているが、この方法では、テストパタンとして最適なパタンが設定されるとは限らないので、必ずしも効率的なテスト方法とはいえない。
【0012】
また、上記特許文献4には、ATPGによって生成されたテストパタンを圧縮してテスターメモリに記憶し、テスト時に該圧縮されたデータを解凍してスキャンチェーンにテストパタンとして設定することにより、テスターのメモリ領域を減らす技術が記載されているが、解凍するためのハードウェアが必要となり、また、圧縮されたATPGパタンの全てを解凍ハードウェアによって再現できるとは限らないという問題がある。
【0013】
本発明の目的は、上記問題点に鑑み、テストパタンを記憶するテスターメモリ容量を最小限とし、かつATPGによって生成された全てのテストパタンを再現可能なスキャンテストパタン入力方法を提供することにある。
【0014】
【課題を解決するための手段】
本発明は、半導体集積回路内部の記憶素子であるフリップフロップをチェーン状に接続したスキャンチェーンに対してスキャンテストパタンを入力する方法において、所定周期の擬似ランダム信号を発生するランダムパタン発生器から出力されるスキャンクロック単位のランダムパタン信号が、ATPG(テスト生成プログラム)により生成されたATPGパタンの前記スキャンチェーンに入力されるスキャンクロック単位のパタンと一致またはドントケアの関係にあるときの該スキャンクロック単位のランダムパタン信号を、前記スキャンチェーンに順次シフト入力することによって前記ATPGパタンを当該半導体集積回路に設定することを特徴とする。
【0015】
本発明のスキャンテストパタン入力装置は、所定周期の擬似ランダム信号を発生することにより半導体集積回路内部のスキャンチェーンにスキャンクロック単位のランダムパタン信号を出力するランダムパタン発生手段と、該ランダムパタン発生手段から出力される前記スキャンクロック単位のランダムパタン信号が、ATPG(テスト生成プログラム)により生成されたATPGパタンの前記スキャンチェーンに入力されるスキャンクロック単位のパタンと一致またはドントケアの関係にあるとき、前記スキャンチェーンに前記ランダムパタン発生器から出力されるスキャンクロック単位のランダムパタン信号を入力するテストパタン入力制御手段とを有していることを特徴とする。
【0016】
また、本発明の半導体集積回路は、半導体集積回路内部の記憶素子であるフリップフロップをチェーン状に接続したスキャンチェーンと、所定周期の擬似ランダム信号を発生するランダムパタン発生器と、該ランダムパタン発生器から出力されるスキャンクロック単位のランダムパタン信号とATPG(テスト生成プログラム)により生成されたATPGパタンの前記スキャンチェーンに入力されるスキャンクロック単位のパタンとが一致またはドントケアの関係にあるときに、該スキャンクロック単位のランダムパタン信号を前記スキャンチェーンにシフト入力するためのクロックを該スキャンチェーンに供給するスキャンクロック選択デコーダとを有していることを特徴とする。
【0017】
【発明の実施の形態】
図1は、本発明の第1の実施形態を示すブロック図であり、半導体集積回路内部の記憶素子であるフリップフロップ等をチェーン状に接続したスキャンチェーン101と、所定周期の擬似ランダム信号を発生するランダムパタン発生器102と、スキャンチェーン101に入力されるATPGパタン上の信号とランダムパタン発生器102から出力される信号とが一致するときの該信号をランダムパタン発生器102からスキャンチェーン101に入力するためのクロックをスキャンチェーン101に供給するスキャンクロック選択デコーダ103を備えている。
【0018】
スキャンチェーン101はm本(mは1または2以上)のスキャンチェーンからなり、ランダムパタン発生器102からは1クロック毎にmビットのランダムパタン信号が出力され、このmビットのランダムパタン信号の各ビットとm本のスキャンチェーンとが対応しており、m本のスキャンチェーンに対して1クロック毎にこのmビットのランダムパタン信号内の各1ビットが、対応するスキャンチェーンに入力される。
【0019】
スキャンクロック選択デコーダ103には、クロック信号とスキャンクロック選択信号が入力され、スキャンクロック選択信号がスキャンクロックの送出を許可(選択)しているときに入力されたクロック信号がスキャンシフトクロックとしてスキャンチェーン101に供給される。スキャンクロック選択信号は、ATPGパタンとランダムパタン発生器102から発生される擬似ランダム信号に基づいて生成されてテスターメモリ等に記憶され、テストパタン設定時に読み出されてスキャンクロック選択デコーダ103に入力される。
【0020】
ランダムパタン発生器102は、例えばシフトレジスタとEX−OR回路の組み合わせによって構成され、これにクロックパルスを加えることによりその構成によって決まるある周期のランダムパルス列を回路内部で自動生成するもの、あるいは外部から制御できるような回路を用いるか、もしくは直接外部から信号を与えるようにしてもよい。ランダムパタン発生器102から出力されるランダム信号のパタンは予め推定できるので、スキャンチェーン101に設定するATPGパタンが決まれば、スキャンクロックを選択制御するデータをスキャンクロック単位で決定することができる。
【0021】
例えば、テスターメモリにはATPGパタン毎のスキャンクロック選択制御データを記憶しておき、スキャンチェーン101に設定するATPGパタン毎に、該当するスキャンクロック選択制御データをスキャンクロック選択信号としてランダムパタン発生器102のクロック単位のランダム信号発生と同期して読み出すことにより、スキャンチェーン101に所望のATPGパタンを設定することが可能となる。
【0022】
図2は、本実施形態のスキャンテストパタン入力動作原理を示す概略図である。なお、図2ではスキャンチェーン101が6本のスキャンチェーンからなるチェーン束として構成されている場合を示している。
【0023】
また、ATPG(テスト生成プログラム)によって生成され、スキャンクロックが入力される毎にスキャンチェーン101に入力されるATPGパタンは、(0××0××),(1××0×1),…であり(×はドントケア値)、ランダムパタン発生器102からクロック(t1,t2,t3,…)毎に出力される6ビットのランダム信号パタンは(010011),(110010),(101001),…である場合を示している。
【0024】
クロック(t1)におけるランダム信号パタン(010011)は第1番目のスキャンクロックで入力されるATPGパタン(0××0××)と一致またはドントケアの関係にあるので、スキャンクロック選択デコーダ103にスキャンクロック選択信号が入力される。従って、クロック(t1)ではスキャンクロック選択デコーダ103からスキャンチェーン101にスキャンクロックが供給され、6本のスキャンチェーンに信号「0,1,0,0,1,1」がスキャンクロックに同期してシフト入力される。
【0025】
次に、クロック(t2)におけるランダム信号パタン(110010)は第2番目のスキャンクロックで入力されるATPGパタン(1××0×1)と一致しないので、スキャンクロック選択デコーダ103にスキャンクロック選択信号は入力されない。従って、クロック(t2)ではスキャンクロック選択デコーダ103からスキャンチェーン101にスキャンクロックは供給されず、ランダム信号パタン(110010)は破棄される。
【0026】
次に、クロック(t3)におけるランダム信号パタン(101001)は第2番目のスキャンクロックで入力されるATPGパタン(1××0×1)と一致またはドントケアの関係にあるので、スキャンクロック選択デコーダ103にスキャンクロック選択信号が入力される。従って、クロック(t3)ではスキャンクロック選択デコーダ103からスキャンチェーン101にスキャンクロックが供給され、6本のスキャンチェーンに信号「1,0,1,0,0,1」がスキャンクロックに同期してシフト入力される。以下、同様の動作を繰り返すことにより、スキャンチェーン101に所望のATPGパタンが設定される。
【0027】
従って、テスターメモリには、生成されたATPGパタン毎に、ランダムパタン発生器102から発生されるスキャンクロック単位の擬似ランダム信号の中から、スキャンクロックとして採用するクロック番号データを、擬似ランダム信号発生開始時点を基準として記憶しておき(実施例の場合、クロック番号t1,t3,…)、半導体集積回路にATPGパタンを設定するためにスキャンクロック単位の擬似ランダム信号の発生を開始した時点からのクロックカウント値と一致したときの擬似ランダム信号を順次スキャンチェーンに入力することにより当該ATPGパタンを設定することができる。
【0028】
あるいは、テスターメモリとしてシフトレジスタを用い、該シフトレジスタに生成されたATPGパタン毎に、ランダムパタン発生器102から発生されるスキャンクロック単位の擬似ランダム信号のうち、採用するクロックを“1”(または“0”)、採用しないクロックを“0”(または“1”)としてクロック開始から順に記憶しておき(実施例の場合、1,0,1,…)、このシフトレジスタの値をスキャンクロック単位の擬似ランダム信号発生クロックと同期して読み出し、“1”(または“0”)であればそのときのスキャンクロック単位の擬似ランダム信号をスキャンチェーンに入力し、“0”(または“1”)であればそのときのスキャンクロック単位の擬似ランダム信号を破棄することにより、スキャンチェーンに当該ATPGパタンを設定してもよい。
【0029】
図3は、本実施形態のスキャンテストパタン入力動作を示すフローチャートである。なお、図3では、テスターメモリにスキャンクロックとして採用するクロック番号データが記憶されている場合を想定している。以下、図1〜図3を参照して本実施形態のスキャンテストパタン入力動作について説明する。
【0030】
テスターメモリには、ランダムパタン発生器102から発生するスキャンクロック単位の擬似ランダム信号の中からスキャンクロックとして採用する擬似ランダム信号発生開始時点からのクロック番号に対応するデータ(例えば、クロック番号t1,t3,…)が、スキャンチェーン101に設定されるATPGパタンに対応して記憶されている。半導体集積回路に上記ATPGパタンを設定するためのクロック入力が開始される(ステップS1)と、テスターメモリから最初のクロック番号(実施例では、t1)が読み出される(ステップS2)。
【0031】
ランダムパタン発生器102からはクロック入力の開始と同時に、入力クロックに同期してスキャンクロック単位の擬似ランダム信号が出力される(ステップS3)とともに、クロック入力開始時点からの入力クロック数がカウントされる(ステップS4)。
【0032】
次に、テスターメモリから読み出されたクロック番号と、クロック入力開始時点からの入力クロックカウント値を比較し(ステップS5)、両者が一致したときには、スキャンクロック選択デコーダ103からスキャンチェーン101にシフトクロックを入力して、当該クロック入力に対応して生成されたスキャンクロック単位の擬似ランダム信号をスキャンチェーン101に入力(ステップS6)した後、テスターメモリに記憶されているクロック番号を全て読み出したか否かを判定する(ステップS7)。
【0033】
一方、テスターメモリから読み出されたクロック番号と入力クロックカウント値が不一致の時には、スキャンクロック選択デコーダ103からスキャンチェーン101へのシフトクロックを停止し、当該クロック入力に対応して生成されたスキャンクロック単位の擬似ランダム信号を破棄する(ステップS8)。
【0034】
ステップ7でテスターメモリに記憶されているクロック番号が全て読み出されていないとき、またはステップ8が実行されたときには、テスターメモリから次のクロック番号を読み出し(ステップS9)、ステップ3〜ステップ8を繰り返す。ステップ7でテスターメモリに記憶されているクロック番号が全て読み出されたときに、テストパタン設定を終了する(ステップS10)。これらの処理はプログラムによるソフトウェア処理によって実現することもできる。
【0035】
本実施形態によれば、スキャンテスト用のテスターメモリには、ランダムパタン発生器102がスキャンクロック単位のランダムパタンの発生を開始した時点からのクロック番号(t1,t2,t3,…)に対して、どのクロック番号をスキャンチェーン101のスキャンクロックとして採用するかを、生成されたATPGパタンと対応して記憶しておき、クロック信号によりランダムパタン発生器102がスキャンチェーン101のシフト入力動作を開始した時点から読み出すことにより期待値どおりのATPGパタンを設定することができる。
【0036】
また、テスターメモリとして、生成されたATPGパタン毎に、ランダムパタン発生器102から発生されるスキャンクロック単位の擬似ランダム信号の中からスキャンクロックとして採用するクロック番号データ(上記実施例では、クロック番号t1,t3,…)を記憶する大きさのメモリ容量があれば足りるので、テストパタン情報が圧縮され、テスターメモリが少なくて済む。
【0037】
図4は、本発明の第2の実施形態を示すブロック図である。本実施形態では、半導体集積回路(LSIチップ)100内のフリップフロップをスキャン化した後、例えば32本のスキャンチェーンからなる複数(実施例では3個)のグループ(スキャンチェーン束)111〜113に分割する。そして、それぞれのスキャンチェーン束(以下、チェーン束A,B,C)は、独立したスキャンクロックにより制御可能に構成されている。
【0038】
本実施形態においては、所定周期の擬似ランダム信号を発生するランダムパタン発生器102から出力されるスキャンクロック単位(32ビット)の擬似ランダム信号は、チェーン束A,B,Cに並列に入力される。一方、スキャンクロック選択デコーダ103は、テスター130から入力される例えば2ビットのクロック選択制御信号をデコードすることにより、ランダムパタン発生器102から出力される32ビットの擬似ランダム信号を入力すべきチェーン束を選択し、該選択したチェーン束にのみスキャンクロックを供給する。従って、スキャンクロック単位の擬似ランダム信号は、クロック毎に選択されたチェーン束にのみ入力される。
【0039】
ランダムパタン発生器102から出力されるスキャンクロック単位(32ビット)の擬似ランダム信号が、チェーン束A,B,Cのスキャンクロック単位(32ビット)の各テストパタンのいずれのテストパタンとも一致しないときには、チェーン束A,B,Cのいずれにもスキャンクロックは供給されず、当該スキャンクロック単位の擬似ランダム信号は破棄される。
【0040】
第1の実施形態の場合、スキャンテストパタン設定時にすべてのスキャンチェーンを同一のクロックで制御するため、スキャンシフト中はすべてのフリップフロップが動作状態となり消費電力も大きくなる。電源設計等では、一般に通常動作を考慮して設計される場合があり、このため、スキャンチェーンの数が多くなると、スキャンテストパタン設定時の予想以上の電力消費により電源電圧降下等の問題が発生し、回路が正常に動作しなくなることがある。
【0041】
本実施形態では、スキャンシフト時には、スキャンクロックを選択・制御することにより、動作させるスキャンチェーン(チェーン束)を選択することによって、同時動作するスキャンフリップフロップの数を少なくすることができるので、スキャンシフト時の消費電力を抑えることができ、テストパタン情報の圧縮効果に加えて、消費電力増大に伴って生ずる回路の誤動作を抑制する効果も期待できる。
【0042】
図5は、本実施形態のスキャンテストパタン入力動作原理を示す概略図である。なお、図5では各チェーン束A,B,Cがそれぞれ6本のスキャンチェーンからなるチェーン束として構成されている場合を示しており、ATPGパタンは図の左から右に向かって順に入力されるものとする。
【0043】
図5において、スキャンクロックが入力される毎に各チェーン束A,B,Cに入力されるATPGパタンは、チェーン束Aでは、(1××0×1),(0××××1),…、チェーン束Bでは、(0××0××),(×0×110),…、チェーン束Cでは、(××1×××),(0×××××),…と生成されるものとし(×はドントケア値)、ランダムパタン発生器102からクロック(t1,t2,t3,…)毎に出力される6ビットのランダム信号パタンは(010011),(110010),(101001),…であるとする。
【0044】
クロック(t1)におけるランダム信号パタン(010011)は、チェーン束Bの第1番目のスキャンクロックで入力されるATPGパタン(0××0××)と一致またはドントケアの関係にあるので、テスターメモリ131からスキャンクロック選択デコーダ103にチェーン束Bを選択する2ビットのクロック選択制御信号が入力される。従って、クロック(t1)ではスキャンクロック選択デコーダ103からチェーン束Bにスキャンクロックが供給され、チェーン束Bの6本のスキャンチェーンに信号「0,1,0,0,1,1」が入力される。
【0045】
次に、クロック(t2)におけるランダム信号パタン(110010)は、チェーン束Aの第1番目のスキャンクロックで入力されるATPGパタン(1××0×1)、チェーン束Bの第2番目のスキャンクロックで入力されるATPGパタン(×0×110)、チェーン束Cの第1番目のスキャンクロックで入力されるATPGパタン(××1×××)のいずれともと一致しないので、テスターメモリ131からスキャンクロック選択デコーダ103には何れのチェーン束も選択しないクロック選択制御信号が入力される。従って、クロック(t2)ではスキャンクロック選択デコーダ103からのスキャンクロックはいずれのチェーン束にも供給されず、ランダム信号パタン(110010)は破棄される。
【0046】
次に、クロック(t3)におけるランダム信号パタン(101001)は、チェーン束Aの第1番目のスキャンクロックで入力されるATPGパタン(1××0×1)と一致またはドントケアの関係にあるので、テスターメモリ131からスキャンクロック選択デコーダ103にチェーン束Aを選択する2ビットのクロック選択制御信号が入力される。従って、クロック(t3)ではスキャンクロック選択デコーダ103からチェーン束Aにスキャンクロックが供給され、チェーン束Aの6本のスキャンチェーンに信号「1,0,1,0,0,1」が入力される。
【0047】
以下、同様の動作を繰り返すことにより、各チェーン束A,B,Cに所望のATPGパタンが設定される。従って、例えば、スキャンクロックとして採用するクロックを“1”採用しないクロックを“0”としてクロック開始から順に記憶する(上記実施例では、チェーン束Aは0,0,1,…、チェーン束Bは1,0,0,…、チェーン束Cは0,0,0,…)シフトレジスタをチェーン束毎に設け、半導体集積回路にATPGパタンを設定するためのクロック入力開始時点からのクロックと同期して各シフトレジスタの値を読み出し、発生したスキャンクロック単位の擬似ランダム信号を順次該当するチェーン束に入力することにより当該ATPGパタンを設定することができる。
【0048】
通常、ATPGによりテスト生成されたパタンには、ドントケア値(0でも1でもどちらでもかまわない値)が多く含まれており、多くの場合、ある特定の値(0もしくは1)に設定する必要のあるフリップフロップの数は非常に少ない。ドントケア値が多くなるに従って本発明のランダムパタン生成器で生成したパタンがいずれかのスキャン束に入力される確率は高くなり、少ないパタンメモリ容量で、極めて効率的なスキャンテストパタンの設定が可能となる。
【0049】
また、チェーン束A,B,Cのうち、2つ以上のチェーン束においてスキャンクロック単位のテストパタンが、ランダムパタン発生器102から出力されるスキャンクロック単位の擬似ランダム信号と一致またはドントケアの関係にある場合には、これら一致またはドントケアの関係にある複数のチェーン束にスキャンクロックを供給し、該擬似ランダム信号を2つ以上のチェーン束に同時に入力することができる。この場合には、クロック選択制御信号は3ビットとなるが、スキャンクロック単位の擬似ランダム信号の発生回数を減らすことができるので圧縮効率は高まる。
【0050】
なお、本実施形態には、スキャンテストパタン設定後のテスト時に、各スキャンチェーンから出力されるテストデータに対してグループ(チェーン束)毎の排他的論理和をとるXOR回路121〜123、およびXOR回路121〜123からの出力結果をテスターメモリ131へ出力するMUX124を備えた構成が示されているが、これらの構成は従来周知であり、また本発明の特徴(テストパタンの設定)とは直接関係しないのでその詳細説明は省略する。
【0051】
また、本実施形態では、テストパタン発生手段として、ランダムパタン発生器を用いているが、本発明は、特にランダムパタン発生器に限定されるものではなく、例えば、スキャンチェーン束の数に対応する組み合わせパタンを発生することが可能な任意のテストパタン発生手段を用いることができる。
【0052】
また、本実施形態では、各スキャンチェーン束内のスキャンチェーンの数を全て等しく設定しているが、各スキャンチェーン束内のスキャンチェーンの数は必ずしも等しくする必要はなく、各スキャンチェーン束内のスキャンチェーンの数は異なっていてもよい。
【0053】
その場合、テストパタン発生手段は、少なくとも、最大のスキャンチェーンの数を有するスキャンチェーン束に対してスキャンクロック単位のテストパタン信号を出力可能な構成としておけば、それよりも少ないスキャンチェーンの数を有するスキャンチェーン束に対しては、その中からスキャンチェーンの数に等しいビット数を選定することにより対応できる。あるいは、各スキャンチェーン束に個別にテストパタン発生手段を設けてもよい。
【0054】
【発明の効果】
本発明によれば、スキャンテスト用のテスターメモリには、ランダムパタン発生器がスキャンクロック単位のランダムパタンの発生を開始した時点からのクロック番号と、どのクロック番号をスキャンチェーンのスキャンクロックとして採用するかを、生成されたATPGパタンと対応して記憶しておき、クロック信号によりランダムパタン発生器がスキャンチェーンのシフト入力動作を開始した時点から読み出すことによりATPGパタンの設定が可能となる。従って、生成されたATPGパタン毎に、ランダムパタン発生器から発生されるスキャンクロック単位の擬似ランダム信号の中からスキャンクロックとして採用するクロック番号データを記憶する大きさのメモリ容量があれば足りるので、テストパタン情報が圧縮され、テスターメモリが少なくて済む。
【0055】
また、スキャンチェーンを複数のスキャンチェーン束に分割した場合には、スキャンシフト時にスキャンクロックを選択・制御することにより、動作させるスキャンチェーン束を選択することができ、同時動作するスキャンフリップフロップの数を少なくすることができるので、スキャンシフト時の消費電力を抑えることができ、テストパタン情報の圧縮効果に加えて、回路の誤動作を抑制する効果も期待できる。
【図面の簡単な説明】
【図1】本発明の第1の実施形態を示すブロック図である。
【図2】第1の実施形態のスキャンテストパタン入力動作を説明するための概略図である。
【図3】本実施形態のスキャンテストパタン入力動作を示すフローチャートである。
【図4】本発明の第2の実施形態を示すブロック図である。
【図5】第2の実施形態のスキャンテストパタン入力動作を説明するための概略図である。
【図6】従来のスキャンテストの構成例を示すブロック図である。
【符号の説明】
100 LSIチップ
101 スキャンチェーン
102 乱数発生器
103 スキャンクロック選択デコーダ
111〜113 スキャンチェーン束
121〜123 XOR回路
124 マルチプレクサ
130 テスター
131 テスターメモリ
[0001]
TECHNICAL FIELD OF THE INVENTION
The present invention relates to a scan test method for a scan-designed semiconductor integrated circuit and a configuration for realizing the scan test method.
[0002]
[Prior art]
2. Description of the Related Art As a technique for facilitating a test of a semiconductor integrated circuit, conventionally, flip-flops such as storage elements in a semiconductor integrated circuit are connected in a chain and a flip-flop connected in a chain using a shift register (hereinafter referred to as scan). (Referred to as Patent Documents 1 to 4, for example).
[0003]
When performing a scan test, first, the scan chain is switched to the shift operation, and while a periodic clock is input to the scan chain, a signal value, which is a test pattern, is applied periodically from the outside in synchronization with the clock, and the chain is switched. A test pattern is set in the scan chain by sequentially shifting the connected flip-flops. After that, the scan chain is switched to the normal operation, and the combination circuit is actually operated. Then, the scan chain is shifted again to sequentially output response patterns from the scan data output terminal. The above processing is repeated for each test pattern set in the scan chain.
[0004]
Test pattern data required for the test is stored in a memory in a tester outside the circuit, and is read from the memory and set in a scan chain prior to the test. Usually, a scan chain is divided into a plurality of scan chains, and all the scan chains are simultaneously shifted by a scan clock. Then, every time the scan clock is applied, the test pattern data stored in the tester is sequentially taken into each scan chain in parallel.
[0005]
A test pattern to be set in a flip-flop at the time of a test is generally generated by ATPG (Automatic Test Pattern Generator; test generation program) in which various types of test patterns are generated in consideration of all the possibilities, and the generated test patterns are generated for each test pattern. The desired test is executed by sequentially setting the flip-flops connected in a chain. The ATPG software tool is a very effective means in that it can generate various test patterns that guarantee almost perfect failure detection rates for various manufacturing failure (failure) models.
[0006]
FIG. 6 is a block diagram showing a configuration example of a conventional scan test. A scan chain in which flip-flops and the like, which are storage elements in a semiconductor integrated circuit (LSI chip) 70, are connected in a chain is formed. Are sequentially shifted to set and output values. The scan chain is divided into a plurality (32 in the figure) and configured as a scan chain bundle 71. On the other hand, the tester 72 has a tester memory 73 and a scan clock generator. The tester memory 73 stores a test pattern generated by ATPG.
[0007]
When performing a scan test, first, test pattern data stored in a tester memory 73 is input to a scan chain bundle 71 composed of 32 scan chains in parallel in 32 bits in synchronization with a scan clock. Thus, a test pattern is set in the scan chain bundle 71. Then, a predetermined scan test is executed for each set test pattern.
[0008]
[Patent Document 1]
JP-A-10-197603
[Patent Document 2]
JP 2000-258500 A
[Patent Document 3]
JP 2002-174518 A
[Patent Document 4]
US Patent No. 6,327,687
[0009]
[Problems to be solved by the invention]
In the configuration of FIG. 6, when a scan test is performed, test patterns stored in the tester memory 73 are sequentially shifted and input from the input side of a scan chain bundle 71 composed of 32 scan chains in accordance with an external scan clock. However, in order to set the values of all the flip-flops that have been scanned, as the tester memory 73 provided externally, a memory capacity equal to the number of flip-flops is required, and these memories are previously stored. Since an operation of storing the test pattern is required, a large cost is required for the test (tester).
[0010]
For example, if the failure detection target by the ATPG tool is a possible failure in a particular part of the circuit, only very few scan cells (flip-flops) are designated to detect this particular failure. , Many remaining scan cells (flip-flops) in the scan chain are filled with don't care values (random values that may be “0” or “1”) and stored in one tester memory. Therefore, even though there are many values that do not need to be specified in the test pattern, they must all be stored in the tester memory as don't care values. A large capacity tester memory 73 is required.
[0011]
In Patent Document 2 or Patent Document 3, instead of using a pattern generator in which a pattern to be generated in advance is set, a pseudo-random generator capable of generating a random pattern is used, and a random pattern is used for a scan chain. Is described, but this method is not always an efficient test method because an optimal pattern is not always set as a test pattern.
[0012]
Patent Document 4 discloses that a test pattern generated by the ATPG is compressed and stored in a tester memory, and the compressed data is decompressed during a test and set as a test pattern in a scan chain. Although a technique for reducing the memory area is described, there is a problem that hardware for decompression is required, and not all of the compressed ATPG patterns can be reproduced by the decompression hardware.
[0013]
In view of the above problems, an object of the present invention is to provide a scan test pattern input method capable of minimizing a tester memory capacity for storing test patterns and reproducing all test patterns generated by ATPG. .
[0014]
[Means for Solving the Problems]
The present invention relates to a method of inputting a scan test pattern to a scan chain in which flip-flops, which are storage elements in a semiconductor integrated circuit, are connected in a chain, wherein a scan pattern is output from a random pattern generator that generates a pseudo-random signal of a predetermined period. The scan clock unit when the random pattern signal in the scan clock unit matches the scan clock unit pattern input to the scan chain of the ATPG pattern generated by the ATPG (test generation program) or has a don't care relationship The ATPG pattern is set in the semiconductor integrated circuit by sequentially shifting the random pattern signal of (1) into the scan chain.
[0015]
The scan test pattern input device according to the present invention comprises: a random pattern generating means for generating a pseudo random signal of a predetermined period to output a random pattern signal in scan clock units to a scan chain in a semiconductor integrated circuit; When the random pattern signal of the scan clock unit output from the scan pattern unit matches the pattern of the scan clock unit input to the scan chain of the ATPG pattern generated by the ATPG (test generation program) or has a don't care relationship, Test pattern input control means for inputting a random pattern signal in scan clock units output from the random pattern generator to the scan chain.
[0016]
Further, the semiconductor integrated circuit of the present invention includes a scan chain in which flip-flops as storage elements inside the semiconductor integrated circuit are connected in a chain, a random pattern generator for generating a pseudo-random signal of a predetermined period, and a random pattern generator for generating the random pattern. When the scan clock unit random pattern signal output from the device and the scan clock unit pattern input to the scan chain of the ATPG pattern generated by the ATPG (test generation program) match or have a don't care relationship, A scan clock selection decoder for supplying a clock for shifting the random pattern signal in scan clock units to the scan chain to the scan chain.
[0017]
BEST MODE FOR CARRYING OUT THE INVENTION
FIG. 1 is a block diagram showing a first embodiment of the present invention, in which a scan chain 101 in which flip-flops and the like, which are storage elements in a semiconductor integrated circuit, are connected in a chain, and a pseudo random signal of a predetermined period is generated. A random pattern generator 102 that outputs a signal when the signal on the ATPG pattern input to the scan chain 101 matches the signal output from the random pattern generator 102 from the random pattern generator 102 to the scan chain 101. A scan clock selection decoder 103 that supplies a clock to be input to the scan chain 101 is provided.
[0018]
The scan chain 101 is composed of m (m is 1 or 2 or more) scan chains, and an m-bit random pattern signal is output from the random pattern generator 102 every clock, and each of the m-bit random pattern signals is output. The bits correspond to the m scan chains, and one bit in the m-bit random pattern signal is input to the corresponding scan chain every clock for the m scan chains.
[0019]
A clock signal and a scan clock selection signal are input to the scan clock selection decoder 103, and the clock signal input when the scan clock selection signal permits (selects) transmission of the scan clock is used as a scan shift clock as a scan chain clock. 101. The scan clock selection signal is generated based on the ATPG pattern and the pseudo-random signal generated from the random pattern generator 102, stored in a tester memory or the like, read out when the test pattern is set, and input to the scan clock selection decoder 103. You.
[0020]
The random pattern generator 102 is composed of, for example, a combination of a shift register and an EX-OR circuit, and generates a random pulse train of a certain period determined by the configuration by applying a clock pulse to the combination, or from the outside. A circuit that can be controlled may be used, or a signal may be directly applied from the outside. Since the pattern of the random signal output from the random pattern generator 102 can be estimated in advance, if the ATPG pattern set in the scan chain 101 is determined, the data for selectively controlling the scan clock can be determined in scan clock units.
[0021]
For example, scan clock selection control data for each ATPG pattern is stored in the tester memory, and for each ATPG pattern set in the scan chain 101, the corresponding scan clock selection control data is used as a scan clock selection signal for the random pattern generator 102. By reading in synchronization with the generation of a random signal in units of clocks, a desired ATPG pattern can be set in the scan chain 101.
[0022]
FIG. 2 is a schematic diagram showing the principle of the scan test pattern input operation of the present embodiment. FIG. 2 illustrates a case where the scan chain 101 is configured as a chain bundle including six scan chains.
[0023]
An ATPG pattern generated by an ATPG (test generation program) and input to the scan chain 101 every time a scan clock is input includes (0xx0xx), (1xx0x1),. (× is a don't care value), and the 6-bit random signal pattern output from the random pattern generator 102 for each clock (t1, t2, t3,...) Is (010011), (110010), (101001),. Is shown.
[0024]
Since the random signal pattern (010011) in the clock (t1) matches or has a don't-care relationship with the ATPG pattern (0xx0xx) input by the first scan clock, the scan clock selection decoder 103 A selection signal is input. Therefore, at the clock (t1), the scan clock is supplied from the scan clock selection decoder 103 to the scan chain 101, and the signals "0, 1, 0, 0, 1, 1" are supplied to the six scan chains in synchronization with the scan clock. Shift input.
[0025]
Next, since the random signal pattern (110010) in the clock (t2) does not match the ATPG pattern (1 × 0 × 1) input in the second scan clock, the scan clock selection decoder 103 supplies the scan clock selection signal to the scan clock selection decoder 103. Is not entered. Therefore, at the clock (t2), the scan clock is not supplied from the scan clock selection decoder 103 to the scan chain 101, and the random signal pattern (110010) is discarded.
[0026]
Next, since the random signal pattern (101001) at the clock (t3) matches or has a don't-care relationship with the ATPG pattern (1 ×× 0 × 1) input at the second scan clock, the scan clock selection decoder 103 Is supplied with a scan clock selection signal. Accordingly, at the clock (t3), the scan clock is supplied from the scan clock selection decoder 103 to the scan chain 101, and the signals "1, 0, 1, 0, 0, 1" are synchronized with the scan clock to the six scan chains. Shift input. Thereafter, by repeating the same operation, a desired ATPG pattern is set in the scan chain 101.
[0027]
Therefore, the tester memory stores, for each generated ATPG pattern, the clock number data to be adopted as the scan clock from among the pseudo random signals in scan clock units generated from the random pattern generator 102, for generating the pseudo random signal. The time point is stored as a reference (clock numbers t1, t3,... In the case of the embodiment), and the clock from the time when the generation of the pseudo-random signal in scan clock units is started to set the ATPG pattern in the semiconductor integrated circuit. The ATPG pattern can be set by sequentially inputting the pseudo-random signal when the count value matches the scan value to the scan chain.
[0028]
Alternatively, a shift register is used as a tester memory, and for each ATPG pattern generated in the shift register, a clock to be adopted is set to “1” (or “1” (or “0”) in the pseudo random signal in scan clock units generated from the random pattern generator 102. “0”), the clocks that are not adopted are stored in order from the start of the clock as “0” (or “1”) (1, 0, 1,... The data is read out in synchronization with the unit pseudo-random signal generation clock, and if it is "1" (or "0"), the scan clock unit pseudo-random signal at that time is input to the scan chain, and "0" (or "1"). ), The pseudo random signal in the unit of the scan clock at that time is discarded, so that the A PG pattern may be set.
[0029]
FIG. 3 is a flowchart showing the scan test pattern input operation of the present embodiment. Note that FIG. 3 assumes a case where clock number data used as a scan clock is stored in the tester memory. Hereinafter, the scan test pattern input operation of the present embodiment will be described with reference to FIGS.
[0030]
The tester memory stores data (for example, clock numbers t1 and t3) corresponding to a clock number from the start of pseudo-random signal generation to be employed as a scan clock among pseudo-random signals in scan clock units generated from the random pattern generator 102 and employed as a scan clock. ,...) Are stored corresponding to the ATPG pattern set in the scan chain 101. When the clock input for setting the ATPG pattern to the semiconductor integrated circuit is started (step S1), the first clock number (t1 in the embodiment) is read from the tester memory (step S2).
[0031]
Simultaneously with the start of the clock input, the random pattern generator 102 outputs a pseudo-random signal in scan clock units in synchronization with the input clock (step S3), and counts the number of input clocks from the start of the clock input. (Step S4).
[0032]
Next, the clock number read from the tester memory is compared with the input clock count value from the start of clock input (step S5). If the two match, the scan clock selection decoder 103 sends the shift clock to the scan chain 101. After inputting the pseudo random signal in scan clock units generated corresponding to the clock input to the scan chain 101 (step S6), it is determined whether all clock numbers stored in the tester memory have been read out. Is determined (step S7).
[0033]
On the other hand, when the clock number read from the tester memory and the input clock count value do not match, the shift clock from the scan clock selection decoder 103 to the scan chain 101 is stopped, and the scan clock generated corresponding to the clock input is stopped. The unit pseudo random signal is discarded (step S8).
[0034]
If all the clock numbers stored in the tester memory have not been read out in Step 7, or if Step 8 has been executed, the next clock number is read from the tester memory (Step S9), and Steps 3 to 8 are performed. repeat. When all the clock numbers stored in the tester memory have been read out in step 7, the test pattern setting ends (step S10). These processes can also be realized by software processing by a program.
[0035]
According to the present embodiment, the test pattern memory for scan test stores clock numbers (t1, t2, t3,...) From the time when the random pattern generator 102 starts generating a random pattern in scan clock units. Which clock number is adopted as the scan clock of the scan chain 101 is stored in correspondence with the generated ATPG pattern, and the random pattern generator 102 starts the shift input operation of the scan chain 101 by the clock signal. By reading from the time point, an ATPG pattern as expected can be set.
[0036]
Also, as a tester memory, for each generated ATPG pattern, clock number data to be adopted as a scan clock from among pseudo random signals in scan clock units generated from the random pattern generator 102 (in the above embodiment, the clock number t1 is used). , T3,...) Is sufficient, the test pattern information is compressed, and the tester memory is reduced.
[0037]
FIG. 4 is a block diagram showing a second embodiment of the present invention. In the present embodiment, after flip-flops in the semiconductor integrated circuit (LSI chip) 100 are scanned, the flip-flops are scanned into a plurality (three in this embodiment) of groups (scan chain bundles) 111 to 113 composed of, for example, 32 scan chains. To divide. Each of the scan chain bundles (hereinafter, chain bundles A, B, and C) is configured to be controllable by an independent scan clock.
[0038]
In the present embodiment, the pseudo-random signal in scan clock units (32 bits) output from the random pattern generator 102 that generates a pseudo-random signal of a predetermined cycle is input to the chain bundles A, B, and C in parallel. . On the other hand, the scan clock selection decoder 103 decodes, for example, a 2-bit clock selection control signal input from the tester 130, thereby forming a chain bundle to which a 32-bit pseudo-random signal output from the random pattern generator 102 is to be input. And supplies a scan clock only to the selected chain bundle. Therefore, the pseudo random signal in scan clock units is input only to the chain bundle selected for each clock.
[0039]
When the pseudo-random signal in scan clock units (32 bits) output from the random pattern generator 102 does not match any of the test patterns in the scan clock units (32 bits) of the chain bundles A, B, and C , The scan clock is not supplied to any of the chain bundles A, B, and C, and the pseudo random signal in the unit of the scan clock is discarded.
[0040]
In the case of the first embodiment, since all scan chains are controlled by the same clock when the scan test pattern is set, all the flip-flops are in the operating state during the scan shift, and the power consumption increases. In general, power supply design is performed in consideration of normal operation.For this reason, when the number of scan chains increases, power consumption drops more than expected when setting scan test patterns, causing problems such as power supply voltage drop. However, the circuit may not operate normally.
[0041]
In the present embodiment, the number of scan flip-flops operating simultaneously can be reduced by selecting and controlling a scan clock at the time of scan shift, thereby selecting a scan chain (chain bundle) to be operated. The power consumption at the time of shifting can be suppressed, and in addition to the effect of compressing the test pattern information, the effect of suppressing the malfunction of the circuit caused by the increase in power consumption can be expected.
[0042]
FIG. 5 is a schematic diagram showing the principle of the scan test pattern input operation of the present embodiment. FIG. 5 shows a case where each of the chain bundles A, B, and C is configured as a chain bundle composed of six scan chains, and ATPG patterns are sequentially input from left to right in the figure. Shall be.
[0043]
In FIG. 5, the ATPG pattern input to each of the chain bundles A, B, and C every time the scan clock is input is (1 × 0 × 1), (0 ××× 1) in the chain bundle A. ,..., (× 0 × 110 ×), (× 0 × 110),..., For the chain bundle C, (× 1 ×××), (0 ×××××),. (× is a don't care value), and the 6-bit random signal pattern output from the random pattern generator 102 for each clock (t1, t2, t3,...) Is (010011), (110010), ( 101001),.
[0044]
Since the random signal pattern (010011) at the clock (t1) matches or has a don't-care relationship with the ATPG pattern (0xx0xx) input by the first scan clock of the chain bundle B, the tester memory 131 , A 2-bit clock selection control signal for selecting the chain bundle B is input to the scan clock selection decoder 103. Accordingly, at the clock (t1), the scan clock is supplied from the scan clock selection decoder 103 to the chain bundle B, and the signals “0, 1, 0, 0, 1, 1” are input to the six scan chains of the chain bundle B. You.
[0045]
Next, the random signal pattern (110010) in the clock (t2) is the ATPG pattern (1 × 0 × 1) input by the first scan clock of the chain bundle A, and the second scan of the chain bundle B. Since it does not match any of the ATPG pattern (× 0 × 110) input by the clock and the ATPG pattern (× 1 × XXX) input by the first scan clock of the chain bundle C, the tester memory 131 A clock selection control signal that does not select any chain bundle is input to the scan clock selection decoder 103. Therefore, at the clock (t2), the scan clock from the scan clock selection decoder 103 is not supplied to any chain bundle, and the random signal pattern (110010) is discarded.
[0046]
Next, since the random signal pattern (101001) at the clock (t3) matches or does not care with the ATPG pattern (1 × 0 × 1) input by the first scan clock of the chain bundle A, A 2-bit clock selection control signal for selecting the chain bundle A is input from the tester memory 131 to the scan clock selection decoder 103. Accordingly, at the clock (t3), the scan clock is supplied from the scan clock selection decoder 103 to the chain bundle A, and the signals "1, 0, 1, 0, 0, 1" are input to the six scan chains of the chain bundle A. You.
[0047]
Hereinafter, by repeating the same operation, a desired ATPG pattern is set for each of the chain bundles A, B, and C. Therefore, for example, the clock adopted as the scan clock is set to “1” and the clock not adopted is set to “0” and stored sequentially from the clock start (in the above embodiment, the chain bundle A is 0, 0, 1,..., And the chain bundle B is 1, 0, 0,..., The chain bundle C is provided with a shift register for each chain bundle, and is synchronized with the clock from the clock input start time for setting the ATPG pattern in the semiconductor integrated circuit. The ATPG pattern can be set by reading the value of each shift register and sequentially inputting the generated pseudo-random signal in scan clock units to the corresponding chain bundle.
[0048]
Usually, a pattern generated by the ATPG test includes a lot of don't care values (either 0 or 1), and in many cases, it is necessary to set the value to a specific value (0 or 1). There are very few flip-flops. As the don't care value increases, the probability that the pattern generated by the random pattern generator of the present invention is input to any one of the scan bundles increases, and it is possible to set a scan test pattern with a small pattern memory capacity and extremely efficient. Become.
[0049]
Further, in two or more of the chain bundles A, B, and C, the test pattern in scan clock units matches the scan clock unit pseudo-random signal output from the random pattern generator 102 or has a don't care relationship. In some cases, a scan clock can be supplied to a plurality of chain bundles having the same or don't care relationship, and the pseudo-random signal can be simultaneously input to two or more chain bundles. In this case, although the clock selection control signal has three bits, the number of times of generating a pseudo-random signal in scan clock units can be reduced, thereby increasing the compression efficiency.
[0050]
In the present embodiment, at the time of a test after setting a scan test pattern, XOR circuits 121 to 123 that take an exclusive OR of test data output from each scan chain for each group (chain bundle), and XOR Although the configuration including the MUX 124 for outputting the output results from the circuits 121 to 123 to the tester memory 131 is shown, these configurations are well known in the art, and are directly related to the features of the present invention (test pattern setting). Since it is not related, the detailed description is omitted.
[0051]
Further, in the present embodiment, a random pattern generator is used as the test pattern generating means. However, the present invention is not particularly limited to the random pattern generator, and corresponds to, for example, the number of scan chain bundles. Any test pattern generating means capable of generating a combination pattern can be used.
[0052]
Further, in the present embodiment, the number of scan chains in each scan chain bundle is all set equal, but the number of scan chains in each scan chain bundle is not necessarily equal, and the number of scan chains in each scan chain bundle is not necessarily equal. The number of scan chains can be different.
[0053]
In that case, the test pattern generating means may reduce the number of scan chains smaller than that if at least the configuration is such that a test pattern signal in scan clock units can be output to the scan chain bundle having the maximum number of scan chains. A scan chain bundle can be handled by selecting the number of bits equal to the number of scan chains from the bundle. Alternatively, test pattern generating means may be provided individually for each scan chain bundle.
[0054]
【The invention's effect】
According to the present invention, a clock number from the time when the random pattern generator starts generating a random pattern in units of scan clocks, and which clock number are used as the scan clocks of the scan chain, are used in the test memory for the scan test. This is stored in association with the generated ATPG pattern, and is read out from the point in time at which the random pattern generator starts the shift input operation of the scan chain by the clock signal, whereby the ATPG pattern can be set. Therefore, it is sufficient for each generated ATPG pattern to have a memory capacity large enough to store clock number data used as a scan clock from among pseudo random signals in scan clock units generated from the random pattern generator. Test pattern information is compressed, and less tester memory is required.
[0055]
Also, when a scan chain is divided into a plurality of scan chain bundles, a scan chain bundle to be operated can be selected by selecting and controlling a scan clock at the time of a scan shift, and the number of scan flip-flops operating simultaneously can be selected. Therefore, power consumption during scan shift can be suppressed, and in addition to the effect of compressing test pattern information, the effect of suppressing malfunction of the circuit can be expected.
[Brief description of the drawings]
FIG. 1 is a block diagram showing a first embodiment of the present invention.
FIG. 2 is a schematic diagram for explaining a scan test pattern input operation according to the first embodiment.
FIG. 3 is a flowchart illustrating a scan test pattern input operation according to the embodiment;
FIG. 4 is a block diagram showing a second embodiment of the present invention.
FIG. 5 is a schematic diagram for explaining a scan test pattern input operation according to the second embodiment.
FIG. 6 is a block diagram showing a configuration example of a conventional scan test.
[Explanation of symbols]
100 LSI chip
101 scan chain
102 random number generator
103 Scan clock selection decoder
111-113 Scan chain bundle
121-123 XOR circuit
124 multiplexer
130 tester
131 tester memory

Claims (20)

半導体集積回路内部の記憶素子であるフリップフロップをチェーン状に接続したスキャンチェーンに対してスキャンテストパタンを入力する方法において、
スキャンクロック単位で発生するテストパタン信号が、ATPG(テスト生成プログラム)により生成されたATPGパタンの前記スキャンチェーンに入力されるスキャンクロック単位のパタンと一致またはドントケアの関係にあるときの該スキャンクロック単位のテストパタン信号を、前記スキャンチェーンに順次シフト入力することによって前記ATPGパタンを当該半導体集積回路に設定することを特徴とするスキャンテストパタン入力方法。
In a method of inputting a scan test pattern to a scan chain in which flip-flops as storage elements inside a semiconductor integrated circuit are connected in a chain,
The scan clock unit when the test pattern signal generated in the scan clock unit matches the pattern of the scan clock unit input to the scan chain of the ATPG pattern generated by the ATPG (test generation program) or has a don't care relationship. A scan test pattern input method, wherein the ATPG pattern is set in the semiconductor integrated circuit by sequentially shifting the test pattern signal into the scan chain.
前記スキャンチェーンは、m本(m≧2)のスキャンチェーンからなり、1クロック毎に少なくともmビットの前記スキャンクロック単位のテストパタン信号が出力され、該テストパタン信号の各ビットと前記m本のスキャンチェーンとが対応しており、前記m本のスキャンチェーンに対して1クロック毎に前記mビットのテストパタン信号内の各1ビットが、前記対応するスキャンチェーンに並列に入力されることを特徴とする請求項1に記載のスキャンテストパタン入力方法。The scan chain is composed of m (m ≧ 2) scan chains, and outputs at least m bits of test pattern signals in scan clock units at each clock. Each bit of the test pattern signal and the m number of scan chains are output. A scan chain corresponding to the scan chain, and each bit of the m-bit test pattern signal is input in parallel to the corresponding scan chain for each clock for the m scan chains. 2. The scan test pattern input method according to claim 1, wherein: 前記スキャンチェーンは、m本(m≧2)のスキャンチェーンからなるn(n≧2)個のスキャンチェーン束に分割され、1クロック毎に少なくともmビットの前記スキャンクロック単位のテストパタン信号が出力され、該テストパタン信号の各ビットと前記各スキャンチェーン束内の前記m本のスキャンチェーンとが対応しており、前記各スキャンチェーン束内の前記m本のスキャンチェーンに対して1クロック毎に前記mビットのテストパタン信号内の各1ビットが、前記各スキャンチェーン束内の前記対応するスキャンチェーンに並列に入力されることを特徴とする請求項1に記載のスキャンテストパタン入力方法。The scan chain is divided into n (n ≧ 2) scan chain bundles composed of m (m ≧ 2) scan chains, and at least m bits of test pattern signals in scan clock units are output every clock. Each bit of the test pattern signal corresponds to the m scan chains in each scan chain bundle, and the m scan chains in each scan chain bundle correspond to the m scan chains every one clock. 2. The scan test pattern input method according to claim 1, wherein each one bit in the m-bit test pattern signal is input in parallel to the corresponding scan chain in each of the scan chain bundles. 前記スキャンチェーンは、異なる本数のスキャンチェーンからなるスキャンチェーン束を含むn(n≧2)個のスキャンチェーン束に分割され、各スキャンチェーン束に対して、1クロック毎に各スキャンチェーン束内のスキャンチェーン数に等しいビットからなる前記スキャンクロック単位のテストパタン信号が出力され、該テストパタン信号の各ビットと前記各スキャンチェーン束内の前記スキャンチェーンとが対応しており、前記各スキャンチェーン束内の前記スキャンチェーンに対して1クロック毎に前記テストパタン信号内の各1ビットが、前記各スキャンチェーン束内の前記対応するスキャンチェーンに並列に入力されることを特徴とする請求項1に記載のスキャンテストパタン入力方法。The scan chain is divided into n (n ≧ 2) scan chain bundles including a scan chain bundle composed of different numbers of scan chains, and for each scan chain bundle, each scan chain bundle includes one scan clock bundle. A test pattern signal of the scan clock unit consisting of bits equal to the number of scan chains is output, and each bit of the test pattern signal corresponds to the scan chain in each scan chain bundle. 2. The method according to claim 1, wherein each bit of the test pattern signal is input in parallel to the corresponding scan chain in each of the scan chain bundles for each clock for the scan chains in the scan chain. The described scan test pattern input method. 前記テストパタン信号は、所定周期の擬似ランダム信号を発生するランダムパタン発生器から出力されることを特徴とする請求項1〜4のいずれかに記載のスキャンテストパタン入力方法。5. The scan test pattern input method according to claim 1, wherein the test pattern signal is output from a random pattern generator that generates a pseudo-random signal having a predetermined period. 半導体集積回路内部のスキャンチェーンにスキャンクロック単位のテストパタン信号を出力するテストパタン発生手段と、該テストパタン発生手段から出力される前記スキャンクロック単位のテストパタン信号が、ATPG(テスト生成プログラム)により生成されたATPGパタンの前記スキャンチェーンに入力されるスキャンクロック単位のパタンと一致またはドントケアの関係にあるとき、前記スキャンチェーンに前記テストパタン発生手段から出力されるスキャンクロック単位のテストパタン信号を入力するテストパタン入力制御手段とを有していることを特徴とするスキャンテストパタン入力装置。A test pattern generating means for outputting a test pattern signal in scan clock units to a scan chain in the semiconductor integrated circuit; and the test pattern signal in scan clock units output from the test pattern generating means is provided by an ATPG (test generation program). When the generated ATPG pattern matches or has a don't-care relationship with a scan clock unit pattern input to the scan chain, a scan pattern unit test pattern signal output from the test pattern generation unit is input to the scan chain. And a test pattern input control means. 前記テストパタン入力制御手段は、前記テストパタン信号発生開始時点からのクロックのうち、スキャンチェーン上に設定されるATPGパタンと一致またはドントケアの関係にあるスキャンクロック単位の前記テストパタン信号を発生するクロック情報を記憶したテスターメモリと、該テスターメモリから読み出された前記クロック情報に基づいて、前記スキャンクロック単位のテストパタン信号を前記スキャンチェーンにシフト入力するためのクロックを該スキャンチェーンに供給するスキャンクロック選択デコーダとを備えていることを特徴とする請求項6に記載のスキャンテストパタン入力装置。The test pattern input control means includes: a clock for generating the test pattern signal in scan clock units having a match or don't care relationship with an ATPG pattern set on a scan chain among clocks from the start of the test pattern signal generation. A tester memory storing information, and a scan for supplying a clock for shifting the test pattern signal in scan clock units to the scan chain based on the clock information read from the tester memory to the scan chain. The scan test pattern input device according to claim 6, further comprising a clock selection decoder. 前記テスターメモリには、前記テストパタン信号発生開始時点からのクロック番号のうち、スキャンチェーン上に設定されるATPGパタンに対応するスキャンクロック単位のテストパタン信号を発生するクロック番号が記憶されていることを特徴とする請求項7に記載のスキャンテストパタン入力装置。The tester memory stores a clock number for generating a test pattern signal in scan clock units corresponding to an ATPG pattern set on a scan chain among clock numbers from the start of the test pattern signal generation. The scan test pattern input device according to claim 7, wherein: 前記テスターメモリは、スキャンチェーン上に設定されるATPGパタンに対応して、前記テストパタン発生手段から発生されるスキャンクロック単位のテストパタン信号のうち、採用するクロックを“1”(または“0”)、採用しないクロックを“0”(または“1”)としてクロック開始から順に記憶するシフトレジスタによって構成されていることを特徴とする請求項7に記載のスキャンテストパタン入力装置。The tester memory sets a clock to be used to "1" (or "0") among test pattern signals in scan clock units generated from the test pattern generation means in accordance with an ATPG pattern set on a scan chain. 8. The scan test pattern input device according to claim 7, wherein the scan test pattern input device is constituted by a shift register that stores the clock not adopted as "0" (or "1") in order from the start of the clock. 前記スキャンチェーンは、m本(m≧2)のスキャンチェーンからなり、前記テストパタン発生手段からは1クロック毎に少なくともmビットの前記スキャンクロック単位のテストパタン信号が出力され、該テストパタン信号の各ビットと前記m本のスキャンチェーンとが対応しており、前記m本のスキャンチェーンに対して1クロック毎に前記mビットのテストパタン信号内の各1ビットが、前記対応するスキャンチェーンに並列に入力されることを特徴とする請求項6〜9のいずれかに記載のスキャンテストパタン入力装置。The scan chain is composed of m (m ≧ 2) scan chains, and the test pattern generating means outputs at least m bits of a test pattern signal in units of the scan clock every clock, and outputs the test pattern signal. Each bit corresponds to the m scan chains, and each bit in the m-bit test pattern signal is parallel to the corresponding scan chain every clock for the m scan chains. The scan test pattern input device according to any one of claims 6 to 9, wherein the scan test pattern input device is inputted. 前記スキャンチェーンは、m本(m≧2)のスキャンチェーンからなるn(n≧2)個のスキャンチェーン束に分割されており、前記テストパタン発生器からは1クロック毎に少なくともmビットの前記スキャンクロック単位のテストパタン信号が出力され、該テストパタン信号の各ビットと前記各スキャンチェーン束内の前記m本のスキャンチェーンとが対応しており、前記各スキャンチェーン束内の前記m本のスキャンチェーンに対して1クロック毎に前記mビットのテストパタン信号内の各1ビットが、前記各スキャンチェーン束内の前記対応するスキャンチェーンに並列に入力されることを特徴とする請求項6〜9のいずれかに記載のスキャンテストパタン入力装置。The scan chain is divided into n (n ≧ 2) scan chain bundles composed of m (m ≧ 2) scan chains, and the test pattern generator generates at least m bits of the scan chain every clock. A test pattern signal in scan clock units is output, and each bit of the test pattern signal corresponds to the m scan chains in each scan chain bundle, and the m scan chains in each scan chain bundle are output. 7. The scan chain according to claim 6, wherein each one bit of the m-bit test pattern signal is input in parallel to the corresponding scan chain in each of the scan chain bundles every clock. 10. The scan test pattern input device according to any one of items 9. 前記スキャンチェーンは、異なる本数のスキャンチェーンからなるスキャンチェーン束を含むn(n≧2)個のスキャンチェーン束に分割されており、前記テストパタン発生器からは、1クロック毎に各スキャンチェーン束内のスキャンチェーン数に等しいビットからなる前記スキャンクロック単位のテストパタン信号が出力され、該テストパタン信号の各ビットと前記各スキャンチェーン束内の前記スキャンチェーンとが対応しており、前記各スキャンチェーン束内の前記スキャンチェーンに対して1クロック毎に前記テストパタン信号内の各1ビットが、前記各スキャンチェーン束内の前記対応するスキャンチェーンに並列に入力されることを特徴とする請求項6〜9のいずれかに記載のスキャンテストパタン入力装置。The scan chains are divided into n (n ≧ 2) scan chain bundles including scan chain bundles composed of different numbers of scan chains, and the test pattern generator outputs each scan chain bundle every clock. The test pattern signal in the unit of the scan clock composed of bits equal to the number of the scan chains in the scan chain is output, and each bit of the test pattern signal corresponds to the scan chain in each of the scan chain bundles. The method according to claim 1, wherein each bit in the test pattern signal is input in parallel to the corresponding scan chain in each of the scan chain bundles every clock for the scan chain in the chain bundle. 10. The scan test pattern input device according to any one of 6 to 9. 前記テストパタン発生手段は、所定周期の擬似ランダム信号を発生することにより前記半導体集積回路内部のスキャンチェーンにスキャンクロック単位のランダムパタン信号を出力するランダムパタン発生手段として構成されていることを特徴とする請求項6〜12のいずれかに記載のスキャンテストパタン入力装置。The test pattern generation unit is configured as a random pattern generation unit that outputs a random pattern signal in scan clock units to a scan chain inside the semiconductor integrated circuit by generating a pseudo random signal of a predetermined cycle. The scan test pattern input device according to any one of claims 6 to 12. 半導体集積回路内部の記憶素子であるフリップフロップをチェーン状に接続したスキャンチェーンと、所定周期のテストパタン信号を発生するテストパタン発生器と、該テストパタン発生器から出力されるスキャンクロック単位のテストパタン信号とATPG(テスト生成プログラム)により生成されたATPGパタンの前記スキャンチェーンに入力されるスキャンクロック単位のパタンとが一致またはドントケアの関係にあることを示す信号を受けたときに、該スキャンクロック単位のテストパタン信号を前記スキャンチェーンにシフト入力するためのクロックを該スキャンチェーンに供給するスキャンクロック選択デコーダとを有していることを特徴とする半導体集積回路。A scan chain in which flip-flops as storage elements in a semiconductor integrated circuit are connected in a chain, a test pattern generator for generating a test pattern signal of a predetermined period, and a test in scan clock units output from the test pattern generator When receiving a signal indicating that the pattern signal and the pattern of the ATPG pattern generated by the ATPG (test generation program) in the scan chain input to the scan chain match or don't care, the scan clock A semiconductor integrated circuit, comprising: a scan clock selection decoder that supplies a clock for shifting and inputting a unit test pattern signal to the scan chain to the scan chain. 前記スキャンチェーンは、m本(m≧2)のスキャンチェーンからなり、前記テストパタン発生器からは1クロック毎に少なくともmビットの前記スキャンクロック単位のテストパタン信号が出力され、該テストパタン信号の各ビットと前記m本のスキャンチェーンとが対応しており、前記m本のスキャンチェーンに対して1クロック毎に前記mビットのテストパタン信号内の各1ビットが、前記対応するスキャンチェーンに並列に入力されることを特徴とする請求項14に記載の半導体集積回路。The scan chain is composed of m (m ≧ 2) scan chains, and the test pattern generator outputs at least m bits of a test pattern signal for each scan clock in units of the scan clock. Each bit corresponds to the m scan chains, and each bit in the m-bit test pattern signal is parallel to the corresponding scan chain every clock for the m scan chains. The semiconductor integrated circuit according to claim 14, wherein the signal is input to the semiconductor integrated circuit. 前記スキャンチェーンは、m本(m≧2)のスキャンチェーンからなるn(n≧2)個のスキャンチェーン束に分割されており、前記テストパタン発生器からは1クロック毎に少なくともmビットの前記スキャンクロック単位のテストパタン信号が出力され、該テストパタン信号の各ビットと前記各スキャンチェーン束内の前記m本のスキャンチェーンとが対応しており、前記各スキャンチェーン束内の前記m本のスキャンチェーンに対して1クロック毎に前記mビットのテストパタン信号内の各1ビットが、前記各スキャンチェーン束内の前記対応するスキャンチェーンに並列に入力されることを特徴とする請求項14に記載の半導体集積回路。The scan chain is divided into n (n ≧ 2) scan chain bundles composed of m (m ≧ 2) scan chains, and the test pattern generator generates at least m bits of the scan chain every clock. A test pattern signal in scan clock units is output, and each bit of the test pattern signal corresponds to the m scan chains in each scan chain bundle, and the m scan chains in each scan chain bundle are output. 15. The method according to claim 14, wherein each one bit of the m-bit test pattern signal is input in parallel to the corresponding scan chain in each of the scan chain bundles every clock for a scan chain. A semiconductor integrated circuit as described in the above. 前記スキャンチェーンは、異なる本数のスキャンチェーンからなるスキャンチェーン束を含むn(n≧2)個のスキャンチェーン束に分割されており、前記テストパタン発生器からは、1クロック毎に各スキャンチェーン束内のスキャンチェーン数に等しいビットからなる前記スキャンクロック単位のテストパタン信号が出力され、該テストパタン信号の各ビットと前記各スキャンチェーン束内の前記スキャンチェーンとが対応しており、前記各スキャンチェーン束内の前記スキャンチェーンに対して1クロック毎に前記テストパタン信号内の各1ビットが、前記各スキャンチェーン束内の前記対応するスキャンチェーンに並列に入力されることを特徴とする請求項14に記載の半導体集積回路。The scan chains are divided into n (n ≧ 2) scan chain bundles including scan chain bundles composed of different numbers of scan chains, and the test pattern generator outputs each scan chain bundle every clock. A test pattern signal in the unit of the scan clock, which is composed of bits equal to the number of scan chains in the scan chain, is output. Each bit of the test pattern signal corresponds to the scan chain in each scan chain bundle, and each scan The method according to claim 1, wherein each bit in the test pattern signal is input in parallel to the corresponding scan chain in each of the scan chain bundles every clock for the scan chain in the chain bundle. 15. The semiconductor integrated circuit according to 14. 前記テストパタン発生器は、所定周期の擬似ランダム信号を発生することにより前記半導体集積回路内部のスキャンチェーンにスキャンクロック単位のランダムパタン信号を出力するランダムパタン発生器として構成されていることを特徴とする請求項14〜17のいずれかに記載のスキャンテストパタン入力装置。The test pattern generator is configured as a random pattern generator that outputs a random pattern signal in scan clock units to a scan chain inside the semiconductor integrated circuit by generating a pseudo random signal of a predetermined period. The scan test pattern input device according to any one of claims 14 to 17, wherein: クロックが入力される毎にテストパタン発生手段からスキャンクロック単位のテストパタン信号を出力する処理と、
前記テストパタン信号発生開始からの前記クロックをカウントするクロック番号カウント処理と、
前記テストパタン信号発生開始時点からのクロック番号のうち、スキャンチェーン上に設定されるATPGパタンと一致またはドントケアの関係にあるスキャンクロック単位のテストパタン信号が発生されるクロック番号を記憶しているテスターメモリから、その一番目に記憶されているクロック番号を読み出す処理と、
前記カウントされたクロック番号が前記テスターメモリから読み出されたクロック番号と一致するときに発生する前記スキャンクロック単位のテストパタン信号を前記スキャンチェーンに入力するとともに、前記テスターメモリから次のクロック番号を読み出すスキャンクロック単位パタン入力処理と、
前記スキャンクロック単位パタン入力処理を、前記テスターメモリに記憶されているクロック番号を全て読み出すまで繰り返す処理とを、コンピュータに実行させるためのプログラム。
A process of outputting a test pattern signal in scan clock units from the test pattern generating means every time a clock is input;
A clock number counting process for counting the clock from the start of the test pattern signal generation;
A tester that stores a clock number at which a test pattern signal in scan clock units having a match or don't care relationship with an ATPG pattern set on a scan chain is generated from clock numbers from the start of the test pattern signal generation. A process of reading the clock number stored first from the memory;
A test pattern signal in scan clock units generated when the counted clock number matches the clock number read from the tester memory is input to the scan chain, and the next clock number is read from the tester memory. Scan clock unit pattern input processing to be read out,
A program for causing a computer to execute the scan clock unit pattern input processing until all clock numbers stored in the tester memory are read.
前記テストパタン信号は、所定周期の擬似ランダムパタン信号発生手段から出力されることを特徴とする請求項19に記載のプログラム。20. The program according to claim 19, wherein the test pattern signal is output from a pseudo-random pattern signal generation unit having a predetermined period.
JP2003099395A 2003-04-02 2003-04-02 Scan test pattern input method and semiconductor integrated circuit Expired - Fee Related JP4520103B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2003099395A JP4520103B2 (en) 2003-04-02 2003-04-02 Scan test pattern input method and semiconductor integrated circuit

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2003099395A JP4520103B2 (en) 2003-04-02 2003-04-02 Scan test pattern input method and semiconductor integrated circuit

Publications (2)

Publication Number Publication Date
JP2004309174A true JP2004309174A (en) 2004-11-04
JP4520103B2 JP4520103B2 (en) 2010-08-04

Family

ID=33463871

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003099395A Expired - Fee Related JP4520103B2 (en) 2003-04-02 2003-04-02 Scan test pattern input method and semiconductor integrated circuit

Country Status (1)

Country Link
JP (1) JP4520103B2 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008232690A (en) * 2007-03-19 2008-10-02 Nec Corp Semiconductor integrated circuit, test pattern generator of semiconductor integrated circuit
JP2021139742A (en) * 2020-03-05 2021-09-16 株式会社東芝 Semiconductor integrated circuit, circuit designing device therefor, circuit designing method, and circuit designing program

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09281192A (en) * 1996-04-18 1997-10-31 Hitachi Ltd Self-diagnosing circuit for logic integrated circuit
JPH10170609A (en) * 1996-12-12 1998-06-26 Hitachi Ltd Logic integrated circuit
JP2000258500A (en) * 1999-03-09 2000-09-22 Hitachi Ltd Semiconductor integrated circuit and storage medium
WO2001038890A1 (en) * 1999-11-23 2001-05-31 Mentor Graphics Corporation Decompressor/prpg for applying pseudo-random and deterministic test patterns
JP2002181905A (en) * 2000-12-13 2002-06-26 Hitachi Ltd Method of testing semiconductor integrated circuit and test pattern generation circuit
JP2002236144A (en) * 2000-12-07 2002-08-23 Fujitsu Ltd Testing device and test method of integrated circuit
WO2004027440A1 (en) * 2002-09-19 2004-04-01 Fujitsu Limited Integrated circuit tester and its testing method

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09281192A (en) * 1996-04-18 1997-10-31 Hitachi Ltd Self-diagnosing circuit for logic integrated circuit
JPH10170609A (en) * 1996-12-12 1998-06-26 Hitachi Ltd Logic integrated circuit
JP2000258500A (en) * 1999-03-09 2000-09-22 Hitachi Ltd Semiconductor integrated circuit and storage medium
WO2001038890A1 (en) * 1999-11-23 2001-05-31 Mentor Graphics Corporation Decompressor/prpg for applying pseudo-random and deterministic test patterns
JP2002236144A (en) * 2000-12-07 2002-08-23 Fujitsu Ltd Testing device and test method of integrated circuit
JP2002181905A (en) * 2000-12-13 2002-06-26 Hitachi Ltd Method of testing semiconductor integrated circuit and test pattern generation circuit
WO2004027440A1 (en) * 2002-09-19 2004-04-01 Fujitsu Limited Integrated circuit tester and its testing method

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008232690A (en) * 2007-03-19 2008-10-02 Nec Corp Semiconductor integrated circuit, test pattern generator of semiconductor integrated circuit
JP2021139742A (en) * 2020-03-05 2021-09-16 株式会社東芝 Semiconductor integrated circuit, circuit designing device therefor, circuit designing method, and circuit designing program
US11397841B2 (en) 2020-03-05 2022-07-26 Kabushiki Kaisha Toshiba Semiconductor integrated circuit, circuit designing apparatus, and circuit designing method
JP7305583B2 (en) 2020-03-05 2023-07-10 株式会社東芝 semiconductor integrated circuit
JP7521054B2 (en) 2020-03-05 2024-07-23 株式会社東芝 Semiconductor Integrated Circuit

Also Published As

Publication number Publication date
JP4520103B2 (en) 2010-08-04

Similar Documents

Publication Publication Date Title
JP3937034B2 (en) Semiconductor integrated circuit test method and test pattern generation circuit
US7484151B2 (en) Method and apparatus for testing logic circuit designs
KR100206128B1 (en) Built-in self test circuit
JP5591886B2 (en) Scan test system and technology that is completely indeterminately acceptable and very high scan compression
JP4177807B2 (en) Circuit test system
JP2669582B2 (en) Semiconductor memory test system
US8145964B2 (en) Scan test circuit and scan test control method
JP2012181138A (en) Semiconductor integrated circuit, design device and design method
US6964000B2 (en) Semiconductor integrated circuit device having a test circuit of a random access memory
KR940011036B1 (en) Shift register
US7668893B2 (en) Data generator having linear feedback shift registers for generating data pattern in forward and reverse orders
JP4520103B2 (en) Scan test pattern input method and semiconductor integrated circuit
JP5806050B2 (en) Output control scan flip-flop, semiconductor integrated circuit having the same, and method for designing semiconductor integrated circuit
JP4041801B2 (en) Semiconductor device test equipment
JP2006302470A (en) Semiconductor apparatus
US6802034B2 (en) Test pattern generation circuit and method for use with self-diagnostic circuit
JP2797793B2 (en) Pseudo random pattern generator
JP2003255025A (en) Semiconductor integrated circuit
JP2002139557A (en) Semiconductor device
JP2004286549A (en) Scanning test device and its design method
JP2011075460A (en) Semiconductor integrated circuit
KR100349683B1 (en) Rom test device using plural multiple input shift register(misr)
JP2003344502A (en) Semiconductor integrated circuit and its failure analyzing method
JP5453981B2 (en) LSI and test data setting method thereof
JP2004151990A (en) Program counter circuit

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060303

RD01 Notification of change of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7421

Effective date: 20090713

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090716

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090929

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20091130

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100518

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100520

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130528

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees