JP2004289174A - Semiconductor device and manufacturing method therefor - Google Patents

Semiconductor device and manufacturing method therefor Download PDF

Info

Publication number
JP2004289174A
JP2004289174A JP2004151842A JP2004151842A JP2004289174A JP 2004289174 A JP2004289174 A JP 2004289174A JP 2004151842 A JP2004151842 A JP 2004151842A JP 2004151842 A JP2004151842 A JP 2004151842A JP 2004289174 A JP2004289174 A JP 2004289174A
Authority
JP
Japan
Prior art keywords
film
tan
barrier metal
wiring
metal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2004151842A
Other languages
Japanese (ja)
Inventor
Takashi Kawanoue
孝 川ノ上
Junichi Wada
純一 和田
Tetsuro Matsuda
哲朗 松田
Hisafumi Kaneko
尚史 金子
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP2004151842A priority Critical patent/JP2004289174A/en
Publication of JP2004289174A publication Critical patent/JP2004289174A/en
Pending legal-status Critical Current

Links

Images

Landscapes

  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

<P>PROBLEM TO BE SOLVED: To provide a barrier metal having low resistance and high barrier characteristics. <P>SOLUTION: The barrier metal 20<SB>1</SB>is composed of a TaN<SB>0.87</SB>film 31, having a film thickness of 16nm, that is formed along the bottom surface of a wiring groove 16 and the top surface of a side wall, and a TaN<SB>1.19</SB>film 32, having a film thickness of 4nm that is adjacent to a Cu damascene wiring 17, formed by embedding it into the wiring groove 16. <P>COPYRIGHT: (C)2005,JPO&NCIPI

Description

本発明は、金属配線を構成する元素の拡散を抑制するバリアメタルを有する半導体装置及びその製造方法に関する。   The present invention relates to a semiconductor device having a barrier metal that suppresses diffusion of elements constituting a metal wiring, and a method for manufacturing the same.

コンタクトでの配線層金属と拡散層Siの相互拡散と反応、配線周囲の層間絶縁層中への配線層金属の拡散、又はヴィアプラグに配線層と異なる金属が使用されている場合の相互拡散と反応とを防止するため、配線層の底面又は側上面にバリアメタルが形成されている。   Interdiffusion and reaction between the wiring layer metal and the diffusion layer Si at the contact, diffusion of the wiring layer metal into the interlayer insulating layer around the wiring, or interdiffusion when a metal different from the wiring layer is used for the via plug. In order to prevent a reaction, a barrier metal is formed on the bottom surface or the side upper surface of the wiring layer.

バリアメタルは、半導体装置の製造工程における熱履歴と装置動作時の電界と温度による配線層金属の拡散と反応を抑制するのに十分なバリア性を持たなければならない。それと同時に、配線の実効的な電気抵抗を上げないように、薄く形成する必要がある。コンタクト又はヴィアプラグでの電気抵抗を上げないようにするために、比抵抗と電気的接触抵抗が低いバリアメタルを用いる必要がある。また、層間絶縁層及び配線層との密着性がよい必要がある。   The barrier metal must have a sufficient barrier property to suppress the diffusion and reaction of the wiring layer metal due to the heat history in the semiconductor device manufacturing process and the electric field and temperature during device operation. At the same time, the wiring must be formed thin so as not to increase the effective electric resistance of the wiring. In order not to increase the electrical resistance at the contact or via plug, it is necessary to use a barrier metal having low specific resistance and low electrical contact resistance. Further, it is necessary to have good adhesion to the interlayer insulating layer and the wiring layer.

従来、TiN、TaN等の単層の金属窒化物よりなるバリアメタルは、従来の半導体装置に対しては良好なバリア性を持ち、要求される諸特性を十分に充たしていた。   Conventionally, a barrier metal made of a single-layer metal nitride such as TiN or TaN has a good barrier property with respect to a conventional semiconductor device and sufficiently satisfies various required characteristics.

ところが、半導体装置の集積度の向上と素子の微細化によって、使用されるバリアメタルの膜厚が薄くなるのに従い、十分なバリア性を得ることが次第に困難となってきた。更に、半導体装置の高速動作と配線層の高信頼化のためにCuが配線層に使用されてきたため、Si基板中と絶縁層中を高速に拡散するCuの拡散を防止する上で、十分なバリア性を得ることができなくなった。又、装置の高速動作のため、バリアメタルに許容される比抵抗と電気的接触抵抗も低くなってきた。   However, as the thickness of the barrier metal used becomes thinner due to the improvement in the degree of integration of semiconductor devices and the miniaturization of elements, it has become increasingly difficult to obtain sufficient barrier properties. Further, since Cu has been used in the wiring layer for high-speed operation of the semiconductor device and high reliability of the wiring layer, sufficient Cu is required to prevent the diffusion of Cu that diffuses at high speed in the Si substrate and in the insulating layer. Barrier properties can no longer be obtained. Also, due to the high-speed operation of the device, the specific resistance and the electrical contact resistance allowed for the barrier metal have been reduced.

ところが、従来のバリアメタルでは、十分なバリア性と電気的特性を満足することができないという問題があった。   However, the conventional barrier metal has a problem that sufficient barrier properties and electrical characteristics cannot be satisfied.

このようなバリア性の不完全性は、非アルミニウム系金属配線において特に顕著である。これは、アルミニウム系金属配線においては、アルミニウムの薄い酸化物層が極めて良好且つ稠密なバリア膜として機能する為である。このアルミ酸化物層は、特に異種金属とアルミ合金の界面においても自然酸化等で形成され、その薄い膜厚が故に電気伝導はトンネル電流という形で起こり得る。一方、銅、銀、金やその合金は、アルミを凌ぐ低抵抗金属配線材料であるが、上記のような良質な酸化物層の形成が期待できない。従って高性能なバリアメタル膜を能動的に形成する必要がある。   Such imperfectness of the barrier property is particularly remarkable in non-aluminum-based metal wiring. This is because in an aluminum-based metal wiring, a thin oxide layer of aluminum functions as a very good and dense barrier film. This aluminum oxide layer is formed by spontaneous oxidation or the like, especially at the interface between the dissimilar metal and the aluminum alloy. Due to its small thickness, electric conduction can occur in the form of a tunnel current. On the other hand, copper, silver, gold and alloys thereof are low-resistance metal wiring materials exceeding aluminum, but the formation of the above-mentioned high-quality oxide layer cannot be expected. Therefore, it is necessary to actively form a high-performance barrier metal film.

上述したように、半導体装置の高集積化に伴い、配線層金属に対するバリア性と電気特性を有するバリアメタルが存在しないという問題があった。   As described above, with the increase in the degree of integration of the semiconductor device, there is a problem that there is no barrier metal having a barrier property and an electrical property for the wiring layer metal.

本発明の目的は、配線層金属に対する高いバリア性と、良好な電気特性とを有するバリアメタルを有する半導体装置及びその製造方法を提供することにある。   An object of the present invention is to provide a semiconductor device having a barrier metal having a high barrier property against a wiring layer metal and good electrical characteristics, and a method for manufacturing the same.

本発明は、上記目的を達成するために以下のように構成されている。なお、以下の記載における金属配線とは、プラグ電極等の金属電極を含む。   The present invention is configured as described below to achieve the above object. The metal wiring in the following description includes a metal electrode such as a plug electrode.

(1) 本発明の半導体装置は、金属配線を構成する元素の拡散を抑制するバリアメタルを具備する半導体装置であって、前記バリアメタルは、金属元素から少なくとも一つの元素が選ばれたαと、ボロン,炭素,窒素から少なくとも一つの元素が選ばれたγとから構成された化合物膜αγx と、前記αと、前記添加元素γと、酸素(O)とから構成された化合物膜αγy z とが積層された構成を含むことを特徴とする。 (1) A semiconductor device according to the present invention is a semiconductor device including a barrier metal that suppresses diffusion of an element constituting a metal wiring, wherein the barrier metal includes α having at least one element selected from metal elements. , boron, carbon, and at least one element compound consisted it is a gamma were chosen film Arufaganma x from nitrogen, the α and, with the additional element gamma, oxygen (O) with a compound composed from film Arufaganma y O z is laminated.

(1)に記載の半導体装置の好ましい実施態様を以下に示す。   Preferred embodiments of the semiconductor device described in (1) are described below.

前記xは0.2以上である。   X is 0.2 or more.

前記化合物膜αβy z の膜厚は、3nm以下である。 The thickness of the compound film αβ y O z is 3 nm or less.

前記金属元素は、IVB族,VB族又はVIB族の何れかに属することが好ましい。   Preferably, the metal element belongs to any one of the group IVB, VB or VIB.

(2) 本発明の半導体装置の製造方法は、基体上に、バリアメタルを介して金属配線が形成された半導体装置の製造方法であって、前記基体上に化合物膜αγx を形成する工程と、前記化合物膜αγx の表面を酸化して化合物膜αγy z を形成する工程と、前記化合物膜αγy z 上に前記金属配線を形成する工程とを含むことを特徴とする。 (2) A method of manufacturing a semiconductor device of the present invention, on a substrate, a manufacturing method of a semiconductor device metal wiring is formed through a barrier metal, forming a compound film Arufaganma x on said substrate Forming a compound film αγ y O z by oxidizing the surface of the compound film αγ x , and forming the metal wiring on the compound film αγ y O z .

(3) 本発明の半導体装置の製造方法は、酸素(O)を含む基体上に、バリアメタルを介して金属配線が形成された半導体装置の製造方法であって、前記基体上に、金属元素から少なくとも一つの元素が選ばれたαと、ボロン,炭素,窒素のうち少なくとも1種を含むγとから構成された化合物膜αγx を形成する工程と、前記化合物膜αγx により前記基体の還元を行うことによって、該化合物膜αγx を酸化して、該化合物膜αγx と該基体との界面に化合物膜αγy z を形成する工程とを含み、前記化合物膜αγx と前記化合物膜αγy z とが順次積層された構成を含むバリアメタルを形成することを特徴とする。 (3) A method for manufacturing a semiconductor device according to the present invention is a method for manufacturing a semiconductor device in which a metal wiring is formed on a base containing oxygen (O) via a barrier metal, wherein a metal element is formed on the base. Forming a compound film αγ x composed of α at least one element selected from the group consisting of α and γ containing at least one of boron, carbon and nitrogen; and reducing the substrate by the compound film αγ x Oxidizing the compound film αγ x to form a compound film αγ y O z at the interface between the compound film αγ x and the substrate, thereby forming the compound film αγ x and the compound film It is characterized in that a barrier metal including a configuration in which αγ y O z is sequentially laminated is formed.

(4) 本発明の半導体装置の製造方法は、酸素(O)を含む金属配線を形成する工程と、前記金属配線上に、金属元素から少なくとも一つの元素が選ばれたαとボロン,炭素,窒素のうち少なくとも1種を含むγとから構成された化合物膜αγx を形成する工程と、前記化合物膜αγx により前記金属配線の還元を行うことによって、該化合物膜αγx を酸化して、該化合物膜αγx と該金属配線との界面に化合物膜αγy z を形成する工程とを含み、前記化合物膜αγx と前記化合物膜αγy z とが順次積層された構成を含むバリアメタルを形成することを特徴とする。 (4) In the method of manufacturing a semiconductor device according to the present invention, there is provided a step of forming a metal wiring containing oxygen (O), and forming, on the metal wiring, at least one element selected from metal elements, α, boron, carbon, forming a compound film Arufaganma x, which is composed of a γ containing at least one of nitrogen, by performing reduction of the metal wiring by the compound film Arufaganma x, by oxidizing the compound film Arufaganma x, Forming a compound film αγ y O z at the interface between the compound film αγ x and the metal wiring, wherein the barrier includes a structure in which the compound film αγ x and the compound film αγ y O z are sequentially laminated. It is characterized by forming a metal.

金属元素から少なくとも一つの元素が選ばれたαと、ボロン,炭素,窒素から少なくとも一つの元素が選ばれたγとから構成された化合物膜αγx と、前記α及びγと、酸素(O)とから構成された化合物膜αγy z とを積層することによって、低抵抗且つ高バリア性を有するバリアメタルを得ることができる。 And α at least one element selected from metal elements, boron, carbon, and at least one compound element is composed of a gamma chosen film Arufaganma x from nitrogen, said α and gamma, oxygen (O) By laminating the compound film αγ y O z composed of the above, a barrier metal having low resistance and high barrier properties can be obtained.

先ず、本発明の背景について説明する。   First, the background of the present invention will be described.

従来、配線層金属に対するバリアメタルとして金属化合物膜を成膜する方法としては、一般的にスパッタ法とCVD法とが用いられていた。最も広く用いられているのは、金属ターゲットを用い、Arガスと添加元素を含むガスを同時に流しながら成膜する反応性スパッタ法である。反応性スパッタ法によれば、例えば金属窒化物を成膜する場合、ArガスとN2 ガスとの流量を変化させることによって、種々の組成を持つ金属窒化物膜を形成することができる。しかし、金属元素に対する窒素の原子数比が1に近づいてくると、単にN2 ガスの流量を増やすだけでは、膜中の窒素含有量が容易に増加せず、金属元素に対する窒素の原子数比が1を越える金属窒化物膜を形成することが困難であった。 Conventionally, as a method of forming a metal compound film as a barrier metal for a wiring layer metal, generally, a sputtering method and a CVD method have been used. The most widely used is a reactive sputtering method in which a metal target is used and a film is formed while simultaneously flowing an Ar gas and a gas containing an additive element. According to the reactive sputtering method, for example, when forming a metal nitride film, a metal nitride film having various compositions can be formed by changing the flow rates of the Ar gas and the N 2 gas. However, when the atomic ratio of nitrogen to the metal element approaches 1, simply increasing the flow rate of the N 2 gas does not easily increase the nitrogen content in the film, and the atomic ratio of nitrogen to the metal element is small. However, it was difficult to form a metal nitride film exceeding 1.

そこで、本発明者等は、Taターゲットを用いて、ArガスとN2 ガスとを同時にチャンバ内に供給してTaNx 膜を形成する条件下で基板温度を約300℃に加熱した場合に、あるN2 ガスの流量以上でTaに対する窒素の原子数比が1を越えるTaN膜を形成できることを見いだした。 Then, the present inventors, when using a Ta target, simultaneously supply the Ar gas and the N 2 gas into the chamber and heat the substrate temperature to about 300 ° C. under the condition of forming a TaN x film, It has been found that a TaN film having an atomic ratio of nitrogen to Ta exceeding 1 can be formed at a flow rate of a certain N 2 gas or more.

TaNx 膜のCuに対するバリア性を調べたところ、図26に示すように、Taに対する窒素の原子数比が1を越えるとバリア性が著しく向上し、更に1.2を越えると更に向上することが確認された。 When the barrier property against Cu of the TaN x film was examined, as shown in FIG. 26, the barrier property was remarkably improved when the atomic ratio of nitrogen to Ta exceeded 1, and further improved when it exceeded 1.2. Was confirmed.

バリア性の向上は、TaN膜中に含まれる余剰窒素により膜の非晶質化が進行し、結晶粒界などの高速拡散経路が減少するためと推測される。X線回折測定の結果によれば、N/Ta比が1.2を越えるTaN膜の場合、TaNの明瞭な回折線は認められなかったので、ほぼ非晶質であると考えられる。   It is presumed that the barrier property is improved because excess nitrogen contained in the TaN film promotes amorphization of the film and reduces high-speed diffusion paths such as crystal grain boundaries. According to the result of the X-ray diffraction measurement, in the case of a TaN film having an N / Ta ratio exceeding 1.2, no clear diffraction line of TaN was observed, and it is considered that the film was substantially amorphous.

ところが、TaNx (x>1)膜は、比抵抗が著しく高いという問題を有しており、Cu配線用のバリアメタルとしては、用いることができなかった。 However, the TaN x (x> 1) film has a problem that the specific resistance is extremely high, and thus cannot be used as a barrier metal for Cu wiring.

そこで、更なる鋭意研究を重ねたところ、本発明者等は、金属元素から少なくとも一つの元素が選ばれたαとボロン,酸素,炭素,窒素から少なくとも一つの元素が選ばれたβとから構成された化合物膜αβn を複数層積層することによって、低抵抗且つ高バリア性を有するバリアメタルが得られることを見いだした。 Therefore, after further intensive studies, the present inventors have found that α comprises at least one element selected from metal elements and β comprises at least one element selected from boron, oxygen, carbon, and nitrogen. by multiple layers laminated was a compound film .alpha..beta n which is found that the barrier metal having a low resistance and high barrier properties can be obtained.

特に、金属元素から少なくとも一つの元素が選ばれたαとボロン,酸素,炭素,窒素から少なくとも一つの元素が選ばれたβとから構成された化合物膜αβx (x>1)と、前記α及びβから構成された化合物膜αβy (y≦1)とを組み合わせることによって、高バリア性を有しながら、ヴィア抵抗や配線抵抗を下げることができる。また、バリアメタルを形成する際に、前記βの混入率を変化させることによって、積層膜が形成されるので、短時間で形成することができ、プロセスが容易となる。 In particular, a compound film αβ x (x> 1) comprising α in which at least one element is selected from metal elements and β in which at least one element is selected from boron, oxygen, carbon, and nitrogen; And a compound film αβ y (y ≦ 1) composed of β and β can reduce the via resistance and the wiring resistance while having high barrier properties. In addition, when the barrier metal is formed, the laminated film is formed by changing the mixing ratio of β, so that it can be formed in a short time, and the process becomes easy.

さらには、前記α及びβとから構成された化合物膜αβy (y≦1)と、前記化合物膜αβx (x>1)と、前記化合物膜αβy (y≦1)とが積層させると、低ヴィア抵抗且つ低配線抵抗、高いバリア性を有するバリアメタルを提供することができる。 Further, when a compound film αβ y (y ≦ 1) composed of α and β, the compound film αβ x (x> 1), and the compound film αβ y (y ≦ 1) are laminated. It is possible to provide a barrier metal having low via resistance, low wiring resistance, and high barrier properties.

また、本発明者等による前述した研究と異なる研究によって、金属元素から少なくとも一つの元素が選ばれたαと、ボロン,炭素,窒素から少なくとも一つの元素が選ばれたγとから構成された化合物膜αγx と、前記α及びγと、酸素(O)とから構成された化合物膜αγy z とを積層することによって、低い抵抗を維持しつつ、高いバリア性を有するバリアメタルとなる。 Further, by a study different from the above-mentioned study by the present inventors, a compound composed of α in which at least one element is selected from metal elements and γ in which at least one element is selected from boron, carbon and nitrogen. a film Arufaganma x, wherein the α and gamma, by laminating the oxygen (O) compounds is configured from a film αγ y O z, while maintaining a low resistance, the barrier metal having a high barrier property.

なお、前記化合物膜αγy z は、前記化合物膜αγx の露出する表面を酸化することによって、形成することができる。 The compound film αγ y O z can be formed by oxidizing the exposed surface of the compound film αγ x .

又、SiO2 等の酸素を含む基体又は金属配線上に化合物膜αγx を形成した後、化合物膜αγx により基体又は金属配線を還元し、化合物膜αγx を酸化することによっても化合物膜αγy z を形成することができる。 Further, after forming a compound film Arufaganma x on a substrate or a metal wiring containing oxygen such as SiO 2, compound film by reducing the substrate or metal wiring by Arufaganma x, compound film compound by oxidizing the Arufaganma x film Arufaganma y O z can be formed.

本発明の実施の形態を以下に図面を参照して説明する。   Embodiments of the present invention will be described below with reference to the drawings.

[第1実施形態]
図1は、本発明の第1実施形態に係わる半導体装置の構成を示す断面図である。
[First Embodiment]
FIG. 1 is a sectional view showing the configuration of the semiconductor device according to the first embodiment of the present invention.

SiO2 からなる第1の層間絶縁膜11中に下層配線12が形成されている。第1の層間絶縁膜11に下層配線12に接続するヴィアホール13が形成され、ヴィアホール13内にWヴィアプラグ14が埋め込み形成されている。第1の層間絶縁膜11及びWヴィアプラグ14上にSiO2 からなり膜厚400nmの第2の層間絶縁膜15が形成されている。第2の層間絶縁膜15にWヴィアプラグ14に接続する配線溝16が形成されている。配線溝16の底面及び側壁に沿って、タンタル窒化物からなり底面の膜厚20nm、側壁の膜厚がその約1/4のバリアメタル20が形成されている。なお、バリアメタル20の詳細な構成については、後述する。そして、配線溝16内にCuダマシン配線17が埋め込み形成されている。 A lower wiring 12 is formed in a first interlayer insulating film 11 made of SiO 2 . A via hole 13 connected to the lower wiring 12 is formed in the first interlayer insulating film 11, and a W via plug 14 is buried in the via hole 13. On the first interlayer insulating film 11 and the W via plug 14, a second interlayer insulating film 15 made of SiO 2 and having a thickness of 400 nm is formed. A wiring groove 16 connected to the W via plug 14 is formed in the second interlayer insulating film 15. Along the bottom and side walls of the wiring groove 16, a barrier metal 20 made of tantalum nitride and having a bottom film thickness of 20 nm and a side wall film thickness of about 1/4 of that is formed. The detailed configuration of the barrier metal 20 will be described later. Then, a Cu damascene wiring 17 is buried in the wiring groove 16.

バリアメタル20の詳細な構成について説明する。図2(a)〜(e)に示すように、それぞれ構成が異なるタンタル窒化物からなるバリアメタル201 〜205 を有する装置をそれぞれ形成し、後に特性の比較を行った。なお、タンタル窒化物の組成比は、成膜後にRBS測定を行うことにより決定した。なお、図2において、配線溝16の底部を中心にしたバリアメタル20の要部構成を示し、全体構造の図示を省略する。 The detailed configuration of the barrier metal 20 will be described. As shown in FIG. 2 (a) ~ (e) , a device having a barrier metal 20 1 to 20 5 which structure each consisting of different tantalum nitride to form respectively, a comparison of the characteristics was carried out later. The composition ratio of tantalum nitride was determined by performing RBS measurement after film formation. In FIG. 2, the main configuration of the barrier metal 20 centering on the bottom of the wiring groove 16 is shown, and illustration of the entire structure is omitted.

図2(a)に示す試料Aのバリアメタル201 は、配線溝16の底面及び側壁の表面に沿って形成された膜厚16nmのTaN0.87膜31と、TaN0.87膜上に形成されCu配線17に接する膜厚4nmのTaN1.19膜32とから構成されている。 The barrier metal 20 1 of sample A shown in FIG. 2 (a), a TaN 0.87 film 31 on the bottom and 16nm thickness which is formed along the surface of the side wall of the wiring groove 16, is formed on the TaN 0.87 film on the Cu wiring And a TaN 1.19 film 32 having a thickness of 4 nm in contact with the film 17.

又、図2(b)に示す試料Bのバリアメタル202 は、配線溝16の底面及び側壁の表面に沿って形成されたTaN1.19膜33と、TaN1.19膜33上に形成されCu配線17に接するTaN0.87膜34とから構成されている。 Moreover, the barrier metal 20 second sample B shown in FIG. 2 (b), a TaN 1.19 film 33 formed along the surface of the bottom and side walls of the wiring trench 16 is formed on the TaN 1.19 film 33 Cu wiring 17 And a TaN 0.87 film 34 in contact with.

図2(c)に示す試料Cのバリアメタル203 は、配線溝16の底面及び側壁の表面に沿って形成されたTaN0.87膜35と、TaN0.87膜35上に形成されたTaN1.19膜36と、TaN1.19膜36上に形成されCu配線17に接するTaN0.87膜37とから構成されている。 Figure 2 barrier metal 20 3 Sample C shown in (c), the TaN 0.87 film 35 formed along the surface of the bottom and side walls of the wiring trench 16, TaN 0.87 film 35 TaN 1.19 formed on film 36 And a TaN 0.87 film 37 formed on the TaN 1.19 film 36 and in contact with the Cu wiring 17.

図2(d)に示す試料Dのバリアメタル204 は、配線溝16の底面及び側壁の表面に沿って形成され、且つCu配線17に接する単層のTaN0.87膜38から構成されている。 The barrier metal 20 4 sample D shown in FIG. 2 (d), the wiring is formed along the bottom surface and sidewall surface of the groove 16, has been and is composed of TaN 0.87 film 38 of a single layer in contact with the Cu wiring 17.

図2(e)に示す試料Eのバリアメタル205 は、配線溝16の底面及び側壁の表面に沿って形成され、且つCu配線17に接する単層のTaN1.19膜39から構成されている。 The barrier metal 20 5 of the sample E shown in FIG. 2 (e), the wiring is formed along the bottom surface and sidewall surface of the groove 16, it has been and is composed of TaN 1.19 film 39 of a single layer in contact with the Cu wiring 17.

次に、図1に示した装置の製造方法について説明する。先ず、図3(a)に示すように、内部に下層配線12を有し、プラズマCVD法により形成された第1の層間絶縁膜11に、下層配線12に接続するヴィアホール13を形成する。そして、ヴィアホール13内にWヴィアプラグ14を埋め込み形成する。Wヴィアプラグ14は、ヴィアホール13内を埋め込むように全面にW膜を堆積した後、第1の層間絶縁膜11上のW膜をCMP法又はエッチバック法等を用いて除去することによって形成することができる。   Next, a method for manufacturing the device shown in FIG. 1 will be described. First, as shown in FIG. 3A, a via hole 13 having a lower wiring 12 therein and connected to the lower wiring 12 is formed in a first interlayer insulating film 11 formed by a plasma CVD method. Then, a W via plug 14 is buried in the via hole 13. The W via plug 14 is formed by depositing a W film on the entire surface so as to fill the via hole 13 and then removing the W film on the first interlayer insulating film 11 by using a CMP method or an etch back method. can do.

次いで、図3(b)に示すように、全面にプラズマCVD法によりSiO2 からなり膜厚400nmの第2の層間絶縁膜15を形成する。そして、フォトリソグラフィ技術を用いて第2の層間絶縁膜15上にレジストパターンを形成した後、RIE法により第2の層間絶縁膜15をエッチングすることによって配線溝16を形成し、レジストパターンを酸素アッシングにより除去する。 Next, as shown in FIG. 3B, a second interlayer insulating film 15 made of SiO 2 and having a thickness of 400 nm is formed on the entire surface by a plasma CVD method. Then, after forming a resist pattern on the second interlayer insulating film 15 by using the photolithography technique, the wiring groove 16 is formed by etching the second interlayer insulating film 15 by the RIE method. It is removed by ashing.

次いで、図3(c)に示すように、有機アルカリ液を用いて露出するWヴィアプラグ14表面のW酸化物を除去した後、ロング・スロー・スパッタ法を用いて、
配線溝16の底面及び側壁,並びに第2の層間絶縁膜15の表面に沿ってTa窒化物膜からなるバリアメタル20を形成する。なお、ArガスとN2 ガスとを同時に反応容器内に供給し、基板温度を約300℃にしてTaターゲットをスパッタリングすることにより、全面にタンタル窒化物膜を形成した。なお、各試料のバリアメタル20製造方法は、後に説明する。
Next, as shown in FIG. 3C, after the exposed W oxide on the surface of the W via plug 14 is removed by using an organic alkali solution, a long throw sputtering method is used.
A barrier metal 20 made of a Ta nitride film is formed along the bottom and side walls of the wiring groove 16 and the surface of the second interlayer insulating film 15. Note that an Ar gas and a N 2 gas were simultaneously supplied into the reaction vessel, and a Ta target was sputtered at a substrate temperature of about 300 ° C., thereby forming a tantalum nitride film on the entire surface. The method of manufacturing the barrier metal 20 for each sample will be described later.

次いで、図3(d)に示すように、ロング・スロー・スパッタ法を用いて、第2の層間絶縁膜15上のバリアメタル20上の膜厚が800nmであるCu膜171 を形成した後、450℃でアニールしてCuをリフローさせることによって、配線溝16中にCu膜171 を埋め込む。次いで、余分なCuとバリアメタルとをCMP法により除去することによって、図1に示すバリアメタル20で底面と側壁とを囲まれたCuダマシン配線17を有する装置を形成する。 Then, as shown in FIG. 3 (d), using a long-throw sputtering method, after the film thickness on the barrier metal 20 on the second interlayer insulating film 15 was formed a Cu film 17 1 is 800nm , by reflowing the Cu and annealed at 450 ° C., embedding the Cu film 17 1 in the wiring groove 16. Next, a device having the Cu damascene wiring 17 whose bottom and side walls are surrounded by the barrier metal 20 shown in FIG. 1 is formed by removing excess Cu and the barrier metal by the CMP method.

次に、バリアメタル201 〜205 中TaN0.87膜31,34,35,37,38とTaN1.19膜32,33,36,39の形成について説明する。TaN0.87膜31,34,35,37,38は、Taターゲットを用いて、Arガスの流量を10sccm、N2 ガスの流量を15sccmにし、基板温度を約300℃にしてロング・スロー・スパッタ法によって堆積する。また、TaN1.19膜32,33,36,39は、Taターゲットを用い、Arガスの流量を10sccm、N2 ガスの流量を20sccmにし、基板温度を約300℃にして、ロング・スロー・スパッタ法により形成した。 Next, it describes the formation of 20 1 to 20 5 barrier metal TaN 0.87 film 31,34,35,37,38 and TaN 1.19 film 32,33,36,39. The TaN 0.87 films 31, 34, 35, 37, and 38 are formed by a long slow sputtering method using a Ta target at an Ar gas flow rate of 10 sccm, an N 2 gas flow rate of 15 sccm, and a substrate temperature of about 300 ° C. Deposited by The TaN 1.19 films 32, 33, 36, and 39 are formed by a long-throw sputtering method using a Ta target, an Ar gas flow rate of 10 sccm, a N 2 gas flow rate of 20 sccm, and a substrate temperature of about 300 ° C. Formed.

なお、試料A〜Cの積層構造については、Arガスの流量を変えずに、N2 ガスの流量を変化させることによって、TaN0.87膜31,34,35,37とTaN1.19膜32,33,36とからなる積層構造を連続的に形成した。 Note that, regarding the laminated structure of the samples A to C, the TaN 0.87 films 31, 34, 35, and 37 and the TaN 1.19 films 32, 33, and 33 were changed by changing the flow rate of the N 2 gas without changing the flow rate of the Ar gas. 36 was continuously formed.

次に、作成された試料A〜Eの特性の評価を行った結果について説明する。Cu配線17の配線抵抗を4端子法により測定し、ヴィアの電気抵抗をケルビン法により測定した。また、図4に示すようなアモルファスシリコン46,バリアメタル20及びCu47が順次積層された構造を有する試料を450℃で1時間アニールを行い、Cu47からバリアメタル20を通してアモルファスシリコン膜46に拡散したCuにより生成されたCuシリサイドの生成量(シリサイド化率)によって、バリアメタル20のバリア性の評価を行った。   Next, the results of evaluating the characteristics of the prepared samples A to E will be described. The wiring resistance of the Cu wiring 17 was measured by the four-terminal method, and the electric resistance of the via was measured by the Kelvin method. A sample having a structure in which the amorphous silicon 46, the barrier metal 20 and the Cu 47 are sequentially laminated as shown in FIG. 4 was annealed at 450 ° C. for 1 hour, and Cu diffused from the Cu 47 into the amorphous silicon film 46 through the barrier metal 20. The barrier property of the barrier metal 20 was evaluated based on the amount of Cu silicide (silicidation rate) generated by the above method.

図4の構造について更に詳しく説明する。Si基板41上に形成された膜厚100nmの熱酸化膜42上に、プラズマCVD法により堆積された膜厚200nmのシリコン窒化膜43が形成されている。シリコン窒化膜43上にプラズマCVD法により堆積された膜厚400nmのSiO2 膜44が形成されている。SiO2 膜44にフォトリソグラフィ技術及びRIE法を用いて形成された溝45が開口されている。そして、溝45の表面に沿って、熱CVD法により堆積された膜厚75nmのアモルファスシリコン46が形成されている。アモルファスシリコン46上に、前述した試料A〜Eと同じ構成のバリアメタル20が形成されている。そして、バリアメタル20上に、ロング・スロー・スパッタ法により堆積されたCu膜47が形成されている。 The structure of FIG. 4 will be described in more detail. On a 100-nm-thick thermal oxide film 42 formed on a Si substrate 41, a 200-nm-thick silicon nitride film 43 deposited by a plasma CVD method is formed. A 400 nm-thick SiO 2 film 44 is formed on the silicon nitride film 43 by a plasma CVD method. A groove 45 is formed in the SiO 2 film 44 by using photolithography and RIE. Then, a 75 nm-thick amorphous silicon 46 deposited by a thermal CVD method is formed along the surface of the groove 45. On the amorphous silicon 46, the barrier metal 20 having the same configuration as the samples A to E described above is formed. Then, on the barrier metal 20, a Cu film 47 deposited by a long throw sputtering method is formed.

配線抵抗,ヴィア抵抗及びシリサイド化率の測定結果を表1に示す。

Figure 2004289174
Table 1 shows the measurement results of the wiring resistance, the via resistance, and the silicidation ratio.
Figure 2004289174

表1から分かるように、Taに対するNの原子数比が小さいTaN0.87単層膜38からなるバリアメタル204 (試料D)は、バリア性が劣っている。それに対し、Taに対するNの原子数比が大きいTaN1.19単層膜39からなるバリアメタル205 (試料E)は、バリア性に優れるがヴィア抵抗と配線抵抗とが何れも高くなっている。 As can be seen from Table 1, the barrier metal 20 4 (sample D) composed of the TaN 0.87 single-layer film 38 having a small atomic ratio of N to Ta has a poor barrier property. On the other hand, the barrier metal 20 5 (sample E) composed of the TaN 1.19 single layer film 39 having a large atomic ratio of N to Ta has excellent barrier properties, but has high via resistance and high wiring resistance.

ところが、配線溝16の底面及び側壁に沿って形成されWヴィアプラグ14に接するTaN0.87膜31とCu配線17に接するTaN1.19膜32とが積層されたバリアメタル201 を有する試料Aでは、バリア性を維持しながら、ヴィア抵抗を低く抑えることができている。これは、TaN1.19膜32の堆積時にWヴィアプラグ14の表面が窒化されて電気的接触抵抗が増加する現象を防止できたためと推測される。 However, Sample A having a TaN 0.87 film 31 and the TaN 1.19 film 32 and the barrier metal 20 1 which are laminated in contact with the Cu wiring 17 in contact with the bottom surface and formed along the side wall W via plug 14 of the wiring trench 16, the barrier The via resistance can be kept low while maintaining the performance. This is presumably because a phenomenon in which the surface of the W via plug 14 was nitrided during the deposition of the TaN 1.19 film 32 and the electrical contact resistance increased was prevented.

また、配線溝16の底面及び側壁に沿って形成されWヴィアプラグ14に接するTaN1.19膜33とTaN1.19膜33上に形成されCu配線17に接するTaN0.87膜34とが積層されたバリアメタル202 を有する試料Bでは、バリア性を維持しながら、配線抵抗を低く抑えることができている。これは、TaN0.87膜上では、Cuのリフロー性が不十分なためCu配線内にボイドが残る現象を改善できたためと推測される。 Further, TaN 1.19 film 33 and the TaN 1.19 film 33 is formed on contact with the Cu wiring 17 TaN 0.87 film 34 and the barrier metal 20 which are stacked in contact with the bottom and W via plug 14 is formed along the side wall of the wiring trench 16 In Sample B having 2 , the wiring resistance can be suppressed to be low while maintaining the barrier property. This is presumably because the phenomenon that voids remain in the Cu wiring due to insufficient reflow properties of Cu on the TaN 0.87 film was improved.

更に、配線溝16の底面及び側壁に沿って形成されWヴィアプラグ14に接するTaN0.87膜35と、TaN0.87膜35上に形成されたTaN1.19膜36と、TaN1.19膜36と上に形成されCu配線に接するTaN0.87膜とからなるバリアメタル203 を有する試料Cでは、バリア性を維持しつつ、ヴィア抵抗と配線抵抗との両方を低く抑えることができている。 Further, the TaN 0.87 film 35 formed along the bottom and side walls of the wiring groove 16 and in contact with the W via plug 14, the TaN 1.19 film 36 formed on the TaN 0.87 film 35, and the TaN 1.19 film 36 are formed. sample C has a barrier metal 20 3 composed of a TaN 0.87 film in contact with the Cu wiring, while maintaining the barrier properties, and can be kept low both via resistance and the wiring resistance.

以上説明したように、TaN0.87膜とTaN1.19膜とを積層することによって、低抵抗、且つ高いバリア性を有するバリアメタルとなる。さらに、TaN0.87膜とTaN1.19膜とTaN0.87膜との3層構造にすると、低ヴィア抵抗、低配線抵抗、高いバリア性を得ることができる。 As described above, by laminating the TaN 0.87 film and the TaN 1.19 film, a barrier metal having low resistance and high barrier properties can be obtained. Further, when a three-layer structure of the TaN 0.87 film, the TaN 1.19 film, and the TaN 0.87 film is used, low via resistance, low wiring resistance, and high barrier properties can be obtained.

なお、上述した組成に限らず、TaNx (x>1)膜とTaNy (y≦1)とが複数積層されたバリアメタルであれば、上述した効果を得ることができる。又、3層構造の場合、上層と下層とは同じ組成でなくとも良い。 Note that the barrier metal is not limited to the above-described composition, and the above-described effect can be obtained if a barrier metal is formed by stacking a plurality of TaN x (x> 1) films and TaN y (y ≦ 1). In the case of a three-layer structure, the upper layer and the lower layer do not have to have the same composition.

本実施形態では、ロング・スロー・スパッタ法により、N2 ガスの流量を連続的に変化させて、積層化したバリアメタルを形成する方法について説明した。ところが、通常のロング・スロー・スパッタ法によって成膜した場合、図5に示すように、バリアメタル20には、配線溝16の側面の底部の薄膜化,並びに底面中央部での厚膜化が生じる事がある。バリアメタル20の膜厚が最適化されていないと配線抵抗が高くなるという問題が生じる。 In the present embodiment, the method of forming the stacked barrier metal by continuously changing the flow rate of the N 2 gas by the long throw sputtering method has been described. However, when the film is formed by a normal long-throw sputtering method, as shown in FIG. 5, the barrier metal 20 has a thinner bottom portion at the side surface of the wiring groove 16 and a thicker film at the center portion of the bottom surface. May occur. If the film thickness of the barrier metal 20 is not optimized, there arises a problem that the wiring resistance increases.

また更に、通常のロング・スロー・スパッタ法で配線溝にバリアメタルを堆積した場合、図6(a)に示すように、配線溝16の側面及び上面からのバリアメタル20の成長方向が異なるために、配線溝16の開口部のバリアメタル20には鋭角な段差が生じる。このような成膜形状をしたバリアメタル上にCuをロング・スロー・スパッタ法により成膜した場合、図6(b)に示すように、Cu膜171 が形成されない領域が生じる。このような状態でCu膜171 のリフローを行うと、Cu膜171 の拡散経路が寸断される。その為、配線溝16内にCu膜171 が流動できず、図6(c)に示すように、配線溝16内にボイド25が生じる。 Furthermore, when the barrier metal is deposited in the wiring groove by the normal long-throw sputtering method, the growth direction of the barrier metal 20 from the side surface and the upper surface of the wiring groove 16 is different as shown in FIG. In addition, an acute step occurs in the barrier metal 20 at the opening of the wiring groove 16. When deposited by long-throw sputtering method with Cu such deposited shape was a barrier on the metal, as shown in FIG. 6 (b), areas where the Cu film 17 1 is not formed is generated. Doing reflow of the Cu film 17 1 in this state, the diffusion path of the Cu film 17 1 is disrupted. Therefore, not be Cu film 17 1 is flowing into the wiring groove 16, as shown in FIG. 6 (c), a void 25 is generated in the wiring trench 16.

そこで、後のCuリフロー工程におけるボイド抑制と、配線溝側面や底面のバリアメタルの膜厚を最適化して配線を低抵抗化させ、且つバリア性を有効に向上させるために、基板バイアスを印加したロング・スロー・スパッタ法を用いても良い。   Therefore, a substrate bias was applied in order to suppress voids in the subsequent Cu reflow process, optimize the thickness of the barrier metal on the side and bottom surfaces of the wiring groove to lower the resistance of the wiring, and effectively improve the barrier property. A long throw sputtering method may be used.

基板バイアスを印加したロング・スロー・スパッタ法では、Ar+ イオンが基板に衝突し、バリアメタルの成膜と同時にバリアメタルが物理エッチングされる。 In the long slow sputtering method in which a substrate bias is applied, Ar + ions collide with the substrate, and the barrier metal is physically etched simultaneously with the formation of the barrier metal.

成膜と同時にエッチングされている場合、図7に示すように、配線溝16の開口部に生じるバリアメタル20のオーバーハング部がエッチングされ、配線溝16の開口部の間口を狭めない。その結果、配線溝16内へのスパッタリング粒子の入射確率が増加すると共に、エッチングされたオーバーハング部のバリアメタル20が配線溝16の側面に再付着し、特にロング・スロー・スパッタ法では形成されにくい配線溝16の側面のバリアメタル20の膜厚を増加させることができる。   If the etching is performed simultaneously with the film formation, as shown in FIG. 7, the overhang portion of the barrier metal 20 generated in the opening of the wiring groove 16 is etched, and the opening of the wiring groove 16 is not narrowed. As a result, the probability of incidence of sputtered particles into the wiring groove 16 increases, and the barrier metal 20 in the etched overhang portion re-adheres to the side surface of the wiring groove 16 and is formed especially by the long throw sputtering method. It is possible to increase the thickness of the barrier metal 20 on the side surface of the wiring groove 16 which is difficult.

一方、配線溝16の底面のバリアメタルもAr+ イオンによってエッチングされ、必要以上に配線溝16の底部中央の膜厚も厚くならないため、配線抵抗を増加させない。更に、配線溝16の底面からエッチングされたバリアメタル20は、配線溝16の側面の底部に再付着し、薄膜化しやすい配線溝16の側面の底部のバリアメタル20の膜厚を有効に増加させることができる。 On the other hand, the barrier metal on the bottom surface of the wiring groove 16 is also etched by Ar + ions, and the film thickness at the bottom center of the wiring groove 16 is not increased more than necessary, so that the wiring resistance is not increased. Further, the barrier metal 20 etched from the bottom surface of the wiring groove 16 is re-attached to the bottom of the side surface of the wiring groove 16 and effectively increases the thickness of the barrier metal 20 at the bottom of the side surface of the wiring groove 16 which is easily thinned. be able to.

上述したように、基板バイアスを印加したロング・スロー・スパッタ法を用いてバリアメタルを形成すると、図8(a)に示すように、配線溝16の開口部では、バリアメタル20の成膜と共にバリアメタル20のエッチングが行われる為、鋭角な段差が生じない。従って、図8(b)に示すように、ロング・スロー・スパッタ法によりCu膜171 を成膜しても、Cu膜171 には断切れが起こらない。よって、この後にリフロー工程を行っても、Cu膜171 は配線溝16に流動することができ、図8(c)に示すように、配線溝16内にCu膜171 を完全に充填することができる。 As described above, when the barrier metal is formed by using the long-throw sputtering method with the substrate bias applied, as shown in FIG. Since the barrier metal 20 is etched, there is no sharp step. Accordingly, as shown in FIG. 8 (b), even by forming a Cu film 17 1 by long-throw sputtering method, it does not occur disconnection in the Cu film 17 1. Therefore, even if the reflow process after this, the Cu film 17 1 may be flowing in the wiring groove 16, as shown in FIG. 8 (c), to completely fill the Cu film 17 1 in the wiring groove 16 be able to.

しかし、基板バイアスを印加したロング・スロー・スパッタ法では、Ar+ イオンがバリアメタル膜中に取り込まれ、その膜質が劣化する可能性がある。そこで、配線溝の開口部の鋭角な段差を無くすために、以下に示す手法を用いることが可能である。なお、以下では、バリアメタルがTaNy1(y1≦1)膜とTaNx (x>1)膜とTaNy2(y2≦1)膜が積層された構成を用いて説明する。 However, in the long slow sputtering method in which a substrate bias is applied, Ar + ions may be taken into the barrier metal film, and the quality of the film may be deteriorated. Therefore, in order to eliminate an acute step in the opening of the wiring groove, the following method can be used. In the following, a description will be given using a configuration in which a TaN y1 (y1 ≦ 1) film, a TaN x (x> 1) film, and a TaN y2 (y2 ≦ 1) film are stacked as barrier metals.

先ず、基板バイアスを印加したロング・スロー・スパッタ法によりTaNy1(y1≦1)膜を形成し、次に基板バイアスを印加しないロング・スロー・スパッタ法によりバリア性が高いTaNx (x>1)膜を形成し、更に基板バイアスを印加したロング・スロー・スパッタ法でTaNy2(y2≦1)膜を形成する。 First, a TaN y1 (y1 ≦ 1) film is formed by a long throw sputtering method to which a substrate bias is applied, and then TaN x (x> 1) having a high barrier property is formed by a long throw sputtering method to which a substrate bias is not applied. A) A film is formed, and a TaN y2 (y2 ≦ 1) film is formed by a long throw sputtering method to which a substrate bias is applied.

なお、TaNx (x>1)膜上にTaNy2(y2≦1)膜を形成する際、基板バイアスが印加されていることによって、成膜の初期段階では、TaNx (x>1)膜が再スパッタされやすく、またAr+ イオンがTaNx (x>1)膜中に混入するおそれがある。そこで、TaNy2(y2≦1)膜の成膜初期段階では、基板バイアスを印加せずに成膜し、TaNx (x>1)膜上にある程度のTaNy2(y2≦1)膜が形成されてから基板バイアスを印加して成膜を行うことによって、TaNx (x>1)膜の再スパッタ、及びTaNx (x>1)膜中へのAr+ イオンの混入を抑制することができる。 Incidentally, when forming the TaN x (x> 1) TaN y2 (y2 ≦ 1) on the membrane layer, by the substrate bias is applied, in the initial stage of the film formation, TaN x (x> 1) film Is likely to be re-sputtered, and Ar + ions may be mixed into the TaN x (x> 1) film. Therefore, in the film formation initial stage of TaN y2 (y2 ≦ 1) layer, deposited without applying a substrate bias, TaN x (x> 1) to some extent on the membrane TaN y2 (y2 ≦ 1) layer is formed by forming a film by applying a substrate bias from being, TaN x (x> 1) re-sputtering of the film, and TaN x (x> 1) to suppress the contamination of the Ar + ions into the film it can.

また、TaNx (x>1)膜下のTaNy1(y1≦1)膜の成膜時、TaNy1(y1≦1)膜の膜厚が所定膜厚付近になったら、基板バイアスの印加を止めてTaNy1(y1≦1)膜の膜質を向上させ、膜質の良いTaNy1(y1≦1)膜上にTaNx (x>1)膜が形成されるようにしても良い。 Further, when forming the TaN y1 (y1 ≦ 1) film under the TaN x (x> 1) film, when the thickness of the TaN y1 (y1 ≦ 1) film becomes close to a predetermined film thickness, the substrate bias is applied. stopped to improve the quality of TaN y1 (y1 ≦ 1) layer, film quality good TaN y1 (y1 ≦ 1) TaN x (x> 1) on the film may be film is formed.

このような連続的な成膜を行えば、Cuリフロー時にボイドが発生しないバリアメタルの成膜形状が得られ、且つそのバリア性は、基板バイアスを印加しないロング・スロー・スパッタ法により成膜されたTaNx (x>1)膜で確保することができる。更に配線溝底面のバリア膜の膜厚を増加させずに、配線溝側面の膜厚を増加させることができ、配線抵抗も低下させることができる。 By performing such continuous film formation, a barrier metal film formation shape that does not generate voids during Cu reflow can be obtained, and its barrier property can be formed by a long slow sputtering method without applying a substrate bias. TaN x (x> 1) film. Further, the thickness of the side surface of the wiring groove can be increased without increasing the thickness of the barrier film on the bottom surface of the wiring groove, and the wiring resistance can be reduced.

また、TaNy (y≦1)膜とTaNx (x>1)膜とが積層された2層構造のバリアメタルの場合、バリア性が高く膜質を劣化させたくないTaNx (x>1)膜を成膜する際には基板バイアスを印加しないロング・スロー・スパッタ法により成膜を行い、TaNy (y≦1)膜を成膜する際には基板バイアスを印加したロング・スロー・スパッタ法により成膜を行う。 Further, TaN y (y ≦ 1) layer and the TaN x (x> 1) when the barrier metal having a two-layer structure and is laminated films, barrier property not want to degrade the high quality TaN x (x> 1) When forming a film, the film is formed by a long throw sputtering method without applying a substrate bias, and when forming a TaN y (y ≦ 1) film, a long slow sputtering method applying a substrate bias is performed. The film is formed by the method.

[第2実施形態]
本実施形態では、タンタル窒化物膜以外のバリアメタルを有する試料を作成し、評価を行った。なお、本実施形態では、図1に示した半導体装置と構成が同様であるので、配線溝を中心としたバリアメタルの構造を示すことにとどめ、全体の構造の図示を省略する。但し、本実施形態では、Wヴィアプラグの替わりにAlヴィアプラグを用い、Alヴィアプラグ表面のアルミニウム酸化物の除去はスパッタリングエッチングによって行った。
[Second embodiment]
In the present embodiment, a sample having a barrier metal other than the tantalum nitride film was prepared and evaluated. In the present embodiment, since the configuration is the same as that of the semiconductor device shown in FIG. 1, only the structure of the barrier metal centering on the wiring groove is shown, and illustration of the entire structure is omitted. However, in this embodiment, an Al via plug is used instead of the W via plug, and the aluminum oxide on the surface of the Al via plug is removed by sputtering etching.

各バリアメタルの構成を図9の断面図を用いて説明する。最初の試料には、図9(a)に示すように、組成が異なるニオブ窒化物の2層積層膜からなる膜厚16nmのバリアメタル206 が形成されている。ニオブ窒化物の堆積にはロング・スロー・スパッタを用い、Nbターゲットを用いて、ArガスとN2 ガスとを同時に流し、基板温度を約300℃にして成膜を行った。そして、Arガスの流量を10sccm、N2 ガスの流量を8sccmにして膜厚が16nmである第1層目のニオブ窒化物膜としてNbN0.44膜51の堆積を行った後、Arガスの流量を変えずにN2 ガスの流量を増やして、膜厚が4nmである第2層目のニオブ窒化物(NbNx )膜52の堆積を行って、バリアメタル206 を形成した。なお、NbNx 膜52の堆積時にN2 ガスの流量を変化させて、種々の組成を持ったNbNx 膜52を形成した。なお、ニオブ窒化膜の組成比の同定は、RBS測定により行った。 The configuration of each barrier metal will be described with reference to the cross-sectional view of FIG. The first sample, as shown in FIG. 9 (a), the thickness 16nm of the barrier metal 20 6 consisting of two layers laminated film of different compositions niobium nitride is formed. Niobium nitride was deposited by long-throw sputter, using an Nb target, simultaneously flowing Ar gas and N 2 gas, and forming a film at a substrate temperature of about 300 ° C. The NbN 0.44 film 51 is deposited as a first niobium nitride film having a thickness of 16 nm with an Ar gas flow rate of 10 sccm and an N 2 gas flow rate of 8 sccm. increase the flow rate of N 2 gas without changing a thickness second layer niobium nitride is 4nm performing deposition of (NbN x) film 52 was formed a barrier metal 20 6. The NbN x film 52 having various compositions was formed by changing the flow rate of the N 2 gas during the deposition of the NbN x film 52. The composition ratio of the niobium nitride film was identified by RBS measurement.

次の試料には、図9(b)に示すように、組成が異なるタンタル窒酸化物の2層積層膜からなるバリアメタル207 が形成されている。なお、タンタル窒酸化物膜の堆積にはロング・スロー・スパッタ法を用い、TaNターゲットを用いて、ArガスとN2 ガスとを同時に流すと共に微量のO2 ガスを流し、基板温度を約300℃にして成膜を行った。そして、Arガス、N2 ガスを流して膜厚が2nmである第1層目のタンタル窒酸化物(Ta(O,N)x )膜53の堆積を行った後、Arガスの流量を変えずにN2 ガスの流量を減らして、膜厚が18nmである第2層目のタンタル窒化物膜としてTaO0.1 0.65膜54を堆積して、バリアメタル207 形成をした。なお、Ta(O,N)x 膜53の堆積時にN2 ガスの流量を変化させて、種々の組成を持ったTa(O,N)x 膜53を形成した。なお、タンタル窒酸化膜の組成比の同定は、RBS測定により行った。 The next sample, as shown in FIG. 9 (b), the barrier metal 20 7 composition comprising two layers laminated films of different tantalum oxynitride is formed. The tantalum oxynitride film was deposited by using a long-throw sputtering method, using a TaN target, simultaneously flowing Ar gas and N 2 gas and flowing a small amount of O 2 gas, and setting the substrate temperature to about 300 ° C. C. to form a film. Then, after flowing Ar gas and N 2 gas to deposit a first tantalum oxynitride (Ta (O, N) x ) film 53 having a thickness of 2 nm, the flow rate of Ar gas is changed. reduce the flow rate of N 2 gas without thickness is deposited TaO 0.1 N 0.65 film 54 as a second layer of tantalum nitride film is 18 nm, and the barrier metal 20 7 formed. Incidentally, Ta (O, N) at the time of deposition of x film 53 by varying the flow rate of N 2 gas, to form a Ta (O, N) x film 53 having the various compositions. The composition ratio of the tantalum oxynitride film was identified by RBS measurement.

最後の試料には、図9(c)に示すように、組成が異なるチタン炭化物膜の3層積層膜からなるバリアメタル208 が形成されている。なお、チタン炭化物(TiCx )膜の堆積にはプラズマCVD法を用い、TiCl4 ガス,CH4 ガス及びH2 ガスを同時に流し、基板温度を450℃に加熱することによって成膜を行った。第1層目のチタン炭化物膜として膜厚8nmのTiC0.83膜55を形成し、次にCH4 ガスの流量だけを増やして膜厚4nmの第2層目のチタン炭化物(TiCx )膜56を形成した後、CH4 ガスの流量を第1層目のチタン炭化物膜の形成時の値に戻して第3層目のチタン炭化物膜として膜厚8nmのTiC0.83膜57の形成を行って、連続的に3層構造のバリアメタル208 を形成した。TiCx 膜56の堆積時に、CH4 ガスの流量を変化させて、種々の組成を持ったTiCx 膜56を形成した。なお、チタン炭化物膜の組成比の同定は、RBS測定により行った。 At the end of the sample, as shown in FIG. 9 (c), the barrier metal 20 8 composition comprising three-layer laminated films of different titanium carbide film is formed. The titanium carbide (TiC x ) film was deposited by plasma CVD using a TiCl 4 gas, a CH 4 gas, and an H 2 gas, and heating the substrate to 450 ° C. to form the film. An 8 nm-thick TiC 0.83 film 55 is formed as a first-layer titanium carbide film, and then only the flow rate of CH 4 gas is increased to form a 4 nm-thick second-layer titanium carbide (TiC x ) film 56. After the formation, the flow rate of the CH 4 gas is returned to the value at the time of the formation of the first titanium carbide film, and the 8 nm-thick TiC 0.83 film 57 is formed as the third titanium carbide film. the barrier metal 20 8 of a three-layer structure was formed manner. During the deposition of the TiC x film 56, the flow rate of the CH 4 gas was changed to form the TiC x films 56 having various compositions. The composition ratio of the titanium carbide film was identified by RBS measurement.

そして、それぞれの試料のバリアメタルに対し、図4と同様の構造を形成し、シリサイド化率を測定することによってバリア性についての評価を行った。なお、前述した試料は、ニオブ,タンタル,又はチタン(金属元素)に対する窒素,窒素及び酸素,又は炭素(軽元素)の組成比を変化させたものを形成しているが、同様に金属元素に対する軽元素の組成比を変化させてシリサイド化率の測定を行った。シリサイド化率の測定結果を図10に示す。図10は、シリサイド化率の金属元素に対する軽元素の組成比依存性を示す特性図である。   Then, the same structure as in FIG. 4 was formed on the barrier metal of each sample, and the barrier property was evaluated by measuring the silicidation rate. The above-mentioned sample is formed by changing the composition ratio of nitrogen, nitrogen and oxygen, or carbon (light element) to niobium, tantalum, or titanium (metal element). The silicidation ratio was measured by changing the composition ratio of the light element. FIG. 10 shows the measurement results of the silicidation ratio. FIG. 10 is a characteristic diagram showing the dependency of the silicidation ratio on the composition ratio of the light element to the metal element.

図9(a)に示したニオブ窒化物膜の2層積層膜からなるバリアメタル206 のバリア性は、第2層目のNbNx 膜52のニオブに対する窒素の原子数比が1.0を越えると、著しく向上した。更に、原子数比が1.2を越えると、バリア性が飛躍的に向上することが分かった。このバリア性の向上は、第2層目のNbNx 膜52において、膜内に含まれる余剰窒素により膜の非晶質化が進行し、結晶粒界などの高速拡散経路が減少するためと推測される。X線回折測定の結果によれば、ニオブに対する窒素の原子数比が1.2を越えるNbNx 膜52の場合、NbNの明瞭な回折線が認められなかったので、非晶質になっていると考えられる。 Barrier of the barrier metal 20 6 consisting of two layers laminated film of niobium nitride film shown in FIG. 9 (a), the atomic ratio of nitrogen to niobium second layer NbN x film 52 is 1.0 Beyond that, it improved significantly. Further, it has been found that when the atomic ratio exceeds 1.2, the barrier properties are dramatically improved. This improvement in barrier properties is presumed to be due to the fact that excess nitrogen contained in the second layer of the NbN x film 52 promotes amorphization of the film and reduces high-speed diffusion paths such as crystal grain boundaries. Is done. According to the result of the X-ray diffraction measurement, in the case of the NbN x film 52 in which the atomic ratio of nitrogen to niobium exceeds 1.2, no clear diffraction line of NbN was observed, and the film was amorphous. it is conceivable that.

同様に、図9(b)に示したタンタル窒酸化物膜の2層積層膜からなるバリアメタル207 のバリア性は、第1層目のTa(O,N)x のタンタルに対する酸素と窒素の総和の原子数が1.0を越えると著しく向上し、1.2を越えると更に飛躍的に向上した。 Similarly, the barrier of the barrier metal 20 7 consisting of two-layered film of tantalum nitride oxide film shown in FIG. 9 (b), the first layer of Ta (O, N) of oxygen and nitrogen to tantalum x When the total number of atoms exceeds 1.0, the value is remarkably improved, and when the total number exceeds 1.2, the value is further drastically improved.

又、図9(c)に示したチタン炭化物膜の3層積層膜からなるバリアメタル208 のバリア性も、第2層目のTiCx 膜56のチタンに対する炭素の原子数比が1.0を越えると著しく向上し、1.2を越えると更に向上する傾向が認められた。 Further, barrier properties of the barrier metal 20 8 consisting of three-layer film of a titanium carbide film shown in FIG. 9 (c) also, the atomic ratio of carbon to titanium of the second layer of TiC x film 56 is 1.0 When the ratio exceeded 1.2, the tendency was remarkably improved.

以上説明したように、NbNx ,Ta(O,N)x ,TiCx としてxが1より大きい膜と、NbNy ,Ta(O,N)y ,TiCy としてyが1以下の膜を積層することによって、バリア性の高い絶縁膜を提供することができる。 As described above, a film in which x is greater than 1 as NbN x , Ta (O, N) x and TiC x and a film in which y is 1 or less as NbN y , Ta (O, N) y and TiC y are laminated. By doing so, an insulating film with high barrier properties can be provided.

なお、xが1.2以上の膜を用いると更にバリア性が高いことが確認された。又、さらには、xが1.2以上の膜とyが0.9以下の膜とを積層するとバリア性が高く抵抗の低いバリアメタルが得られることを確認された。   In addition, it was confirmed that the use of a film having x of 1.2 or more had higher barrier properties. Further, it was confirmed that when a film in which x was 1.2 or more and a film in which y was 0.9 or less were laminated, a barrier metal having high barrier properties and low resistance was obtained.

[第3実施形態]
図11は、本発明の第3実施形態に係わる半導体装置の構成を示す断面図である。 SiO2 からなる層間絶縁膜61中に下層のCuダマシン配線62が形成されている。層間絶縁膜61にCuダマシン配線62に接続するヴィアホール63が形成され、さらにヴィアホール63に接続する配線溝64が層間絶縁膜61に形成されている。ヴィアホール63の底面及び側壁、配線溝64の側壁及び底面(図11では見えていない)にそってバリアメタル65が形成されている。なお、バリアメタルの詳細な構造については後述する。そして、ヴィアホール63及び配線溝64内にCuデュアルダマシン配線66が埋め込み形成されている。
[Third embodiment]
FIG. 11 is a cross-sectional view illustrating a configuration of a semiconductor device according to the third embodiment of the present invention. A lower Cu damascene wiring 62 is formed in an interlayer insulating film 61 made of SiO 2 . A via hole 63 connected to the Cu damascene wiring 62 is formed in the interlayer insulating film 61, and a wiring groove 64 connected to the via hole 63 is formed in the interlayer insulating film 61. A barrier metal 65 is formed along the bottom surface and the side wall of the via hole 63 and the side wall and the bottom surface of the wiring groove 64 (not shown in FIG. 11). The detailed structure of the barrier metal will be described later. Then, a Cu dual damascene wiring 66 is buried in the via hole 63 and the wiring groove 64.

バリアメタルの詳細な構造について説明する。図12(a)〜(c)に示すように、それぞれ異なる3種類のバリアメタルを有する半導体装置をそれぞれ形成し、後に特性の比較を行った。   The detailed structure of the barrier metal will be described. As shown in FIGS. 12A to 12C, semiconductor devices each having three different types of barrier metals were formed, and characteristics were compared later.

最初の試料には、図12(a)に示すように、組成が異なるタングステン窒化物膜の2層積層膜からなり、膜厚が10nmであるバリアメタル651 が形成されている。タングステン窒化物膜の形成にはMOCVD法を用い、ソースガスとそのキャリアガスであるArガスの他にN2 ガスを同時に流し、基板を約450℃に加熱することで行った。 The first sample, as shown in FIG. 12 (a), the composition is a two-layer laminated films of different tungsten nitride film, a barrier metal 65 1 film thickness of 10nm is formed. The tungsten nitride film was formed by MOCVD using a source gas and an N 2 gas at the same time as an Ar gas as a carrier gas, and heating the substrate to about 450 ° C.

さらに詳述すると、第1層目のタングステン窒化物(WNx )膜71を堆積した後、N2 ガスの流量を減らし、第2層目のタングステン窒化物膜であるWN0.91膜72を堆積した。なお、第1層目のタングステン窒化物膜の堆積時、N2 ガスの流量を変えて、第1層目のタングステン窒化物膜としてWN1.08膜又はWN1.23膜を形成した。そして、WNx 膜71及びWN0.91膜72の全膜厚を10nmに一致させて、種々の膜厚比の積層膜を形成した。なお、タングステン窒化物膜の組成の同定は、RBS測定により行った。 More specifically, after depositing the first-layer tungsten nitride (WN x ) film 71, the flow rate of the N 2 gas was reduced, and the second-layer tungsten nitride film WN 0.91 film 72 was deposited. . When depositing the first tungsten nitride film, the flow rate of the N 2 gas was changed to form a WN 1.08 film or a WN 1.23 film as the first tungsten nitride film. Then, the total thickness of the WN x film 71 and the WN 0.91 film 72 was set to 10 nm to form stacked films having various thickness ratios. The composition of the tungsten nitride film was identified by RBS measurement.

次の試料には、図12(b)に示すように、組成が異なるタンタル炭窒化物膜の2層積層膜からなり、膜厚10nmのバリアメタル652 が形成されている。タンタル炭窒化物膜の形成にはMOCVD法を用い、タンタル炭窒化物膜のソースガスとそのキャリアガスであるArガスの他にNH3 ガスを同時に流し、基板を約450℃に加熱することによって成膜を行った。 The next sample, as shown in FIG. 12 (b), the composition is a two-layer laminated films of different tantalum carbonitride film, the barrier metal 65 2 having a thickness of 10nm is formed. The tantalum carbonitride film is formed by MOCVD using a source gas of the tantalum carbonitride film and an Ar gas which is a carrier gas, and simultaneously flowing NH 3 gas and heating the substrate to about 450 ° C. A film was formed.

さらに詳述すると、第1層目のタンタル炭窒化物膜としてTaC0.450.42膜73を堆積した後、NH3 ガスの流量を増やし、第2層目のタングステン炭窒化物(TaCx y )膜74を堆積した。なお、第2層目のタンタル炭窒化物膜74の堆積時、NH3 ガスの流量を変えて、第2層目のタンタル炭窒化物膜としてTaC0.440.63膜又はTaC0.430.81膜を形成した。そして、第1層目と第2層目のタンタル炭窒化物膜の全膜厚を10nmに一致させて、種々の膜厚比の積層膜を形成した。なお、タングステン炭窒化物膜の組成の同定は、RBS測定により行った。 More specifically, after a TaC 0.45 N 0.42 film 73 is deposited as a first layer of a tantalum carbonitride film, the flow rate of NH 3 gas is increased, and a second layer of tungsten carbonitride (TaC x N y ) is formed. Film 74 was deposited. Incidentally, during the deposition of the second layer of tantalum carbonitride film 74, by changing the flow rate of the NH 3 gas, a TaC 0.44 N 0.63 film or TaC 0.43 N 0.81 layer as the second layer of tantalum carbonitride film did. Then, the total thickness of the first and second layers of the tantalum carbonitride film was set to 10 nm to form laminated films having various thickness ratios. The composition of the tungsten carbonitride film was identified by RBS measurement.

最後の試料には、図12(c)に示すように、組成が異なるチタン・ホウ窒化物膜の3層積層膜からなり、膜厚が10nmであるバリアメタル653 が形成されている。チタン・ホウ窒化物膜の形成にはMOCVD法を用い、チタン・ホウ窒化物膜のソースガスとそのキャリアガスであるArガスの他にB2 6 ガスを同時に流し、基板を約450℃に加熱することで成膜を行った。 At the end of the sample, as shown in FIG. 12 (c), the composition is a three-layer laminated film of different titanium boride nitride film, a film thickness of the barrier metal 65 3 are formed is 10 nm. Using a MOCVD method to form the titanium-boron nitride film, a B 2 H 6 gas is simultaneously flowed in addition to the source gas of the titanium-boron nitride film and the Ar gas as its carrier gas, and the substrate is heated to about 450 ° C. The film was formed by heating.

さらに詳述すると、第1層目のチタン・ホウ窒化物膜としてTiB0.050.81膜75を堆積した後、B2 6 ガスの流量を増やして第2層目のチタン・ホウ窒化物膜76を堆積した後、B2 6 ガスの流量を第1層目のチタン・ホウ窒化物膜の堆積時の値に戻して第3層目のチタン・ホウ窒化物膜としてTiB0.050.8177を堆積して、3層構造を連続的に形成した。 More specifically, after a TiB 0.05 N 0.81 film 75 is deposited as a first titanium boronitride film, the flow rate of B 2 H 6 gas is increased to increase the second titanium boronitride film 76. Is deposited, the flow rate of the B 2 H 6 gas is returned to the value at the time of the deposition of the first layer of titanium boronitride film, and TiB 0.05 N 0.81 77 is used as the third layer of titanium boronitride film. The three-layer structure was continuously formed by deposition.

なお、第2層目のチタン・ホウ窒化物膜の堆積時、B2 6 ガスの流量を変えることによって、TiB0.320.78膜又はTaC0.530.76膜を形成した。そして、バリアメタル663 の膜厚を一致させつつ、膜厚を変化させて種々の膜厚比の積層膜を形成した。なお、チタン・ホウ窒化物膜の組成の同定は、RBS測定により行った。 During the deposition of the second layer of titanium / boron nitride film, a TiB 0.32 N 0.78 film or a TaC 0.53 N 0.76 film was formed by changing the flow rate of the B 2 H 6 gas. Then, while matching the thickness of the barrier metal 66 3, and by changing the film thickness to form a laminated film of various thickness ratios. The composition of the titanium-boron nitride film was identified by RBS measurement.

本装置の製造方法を簡単に説明する。先ず、図13(a)に示すように、下層のCuダマシン配線62を有し、プラズマCVD法により形成された層間絶縁膜61に、フォトリソグラフィ技術及びRIE法を組み合わせて、Cuダマシン配線62に接続するヴィアホール63を形成する。次いで、図13(b)に示すように、層間絶縁膜61に、フォトリソグラフィ技術及びRIE法を組み合わせて、ヴィアホールに接続する配線溝64を形成する。次いで、図13(c)に示すように、ヴィアホール63の底面及び側壁,配線溝64の側壁及び底面(図13では見えていない),並びに層間絶縁膜61の表面に沿って、上述した方法を用いてそれぞれのバリアメタル65を形成する。次いで、図13(d)に示すように、全面にMOCVD法を用いてCu膜661 を堆積して、ヴィアホール63と配線溝64とに同時にCu膜661 を埋め込む。そして、余分なCu膜661 とバリアメタル65とをCMP法により除去することによって、図11に示したバリアメタル65で底面と側壁とを囲まれたCuデュアルダマシン配線66を形成する。 A method for manufacturing the present apparatus will be briefly described. First, as shown in FIG. 13A, a Cu damascene wiring 62 having a lower Cu damascene wiring 62 is formed on the interlayer insulating film 61 formed by the plasma CVD method by combining photolithography and RIE. A connecting via hole 63 is formed. Next, as shown in FIG. 13B, a wiring groove 64 connected to the via hole is formed in the interlayer insulating film 61 by combining photolithography and RIE. Next, as shown in FIG. 13C, the above-described method is performed along the bottom surface and the side wall of the via hole 63, the side wall and the bottom surface of the wiring groove 64 (not visible in FIG. 13), and the surface of the interlayer insulating film 61. Is used to form each barrier metal 65. Then, as shown in FIG. 13 (d), by depositing a Cu film 66 1 by MOCVD over the entire surface to fill the Cu film 66 1 at the same time via hole 63 and the wiring trench 64. Then, by removing the unnecessary Cu film 66 1 and the barrier metal 65 and a CMP method to form a Cu dual damascene wiring 66 surrounded a bottom surface and side walls with a barrier metal 65 shown in FIG. 11.

次に、本装置のCuデュアルダマシン配線66のオープンイールドを測定した結果を図14に示す。図14において、縦軸はオープンイールド、横軸は積層膜の金属元素に対する添加元素の総和の原子数比が最も大きい化合物層の膜厚tの全膜厚Tに対する比である。なお、積層膜の金属元素に対する添加元素の総和の原子数比が最も大きい化合物層とは、第1層目のタングステン窒化物膜(WN1.08,WN1.23)71,第2層目のタンタル炭窒化物膜(TaC0.440.63,TaC0.430.81)74,又は第2層目のチタン・ホウ窒化物膜(TiB0.320.78,TaC0.530.76)76である。オープン不良の主な原因は、CMP工程でのバリアメタルの膜剥がれであった。 Next, FIG. 14 shows the result of measuring the open yield of the Cu dual damascene wiring 66 of the present apparatus. In FIG. 14, the vertical axis represents the open yield, and the horizontal axis represents the ratio of the film thickness t of the compound layer having the largest atomic ratio of the sum of the added elements to the metal elements of the laminated film to the total film thickness T. The compound layer having the largest atomic ratio of the sum total of the added elements to the metal elements in the laminated film is defined as the first-layer tungsten nitride film (WN 1.08 , WN 1.23 ) 71 and the second-layer tantalum carbonitride. The material film (TaC 0.44 N 0.63 , TaC 0.43 N 0.81 ) 74 or the second-layer titanium boronitride film (TiB 0.32 N 0.78 , TaC 0.53 N 0.76 ) 76. The main cause of the open failure was peeling of the barrier metal film in the CMP process.

金属元素に対する添加元素の総和の原子数比xが最も大きい化合物層のxが1.2以上のWN1.23/WN0.91,TaC0.450.42/TaC0.430.81,TiB0.050.81/TiB0.530.76/TiB0.050.81では、t/Tが0.1以下になると、オープンイールドが著しく改善されている。 WN 1.23 / WN 0.91 , TaC 0.45 N 0.42 / TaC 0.43 N 0.81 , TiB 0.05 N 0.81 / TiB 0.53 N 0.76 where x of the compound layer in which the total atomic number ratio x of the additive element to the metal element is the largest is 1.2 or more. In the case of / TiB 0.05 N 0.81 , when t / T becomes 0.1 or less, the open yield is remarkably improved.

また、金属元素に対する添加元素の総和の原子数比xが最も大きい化合物層のxが1.0より大きく且つ1.2未満である、WN1.08/WN0.91,TaC0.450.42/TaC0.440.63,TiB0.050.81/TiB0.320.78/TiB0.050.81では、t/Tが0.3以下になると、オープンイールドが著しく改善されている。 WN 1.08 / WN 0.91 , TaC 0.45 N 0.42 / TaC 0.44 N 0.63 wherein x of the compound layer in which the atomic ratio x of the total of the added elements to the metal elements is the largest is larger than 1.0 and smaller than 1.2. , TiB 0.05 N 0.81 / TiB 0.32 N 0.78 / TiB 0.05 N 0.81 , the open yield is significantly improved when t / T is 0.3 or less.

オープンイールドの改善は、xが小さい金属化合物膜が、機械的にもろくxが大きい金属化合物膜を支えることができる臨界膜厚比が存在することによって、オープンイールドの膜厚比依存性が生じたものと推測される。   The improvement in open yield was caused by the dependence of open yield on film thickness ratio due to the existence of a critical film thickness ratio at which a metal compound film having a small x can support a metal compound film which is mechanically fragile and has a large x. It is supposed to be.

以上説明したように、ヴィアプラグに接するバリアメタルの積層膜の金属元素に対する添加元素の総和の原子数比が最も大きい化合物層膜厚tを、それ以外のバリアメタルの層の全膜厚Tに対して、t/T≦0.3にすることによって、バリアメタルの機械的強度が向上し、オープンイールドを改善することができる。   As described above, the thickness t of the compound layer in which the atomic ratio of the total sum of the added elements to the metal elements in the laminated film of the barrier metal in contact with the via plug is the largest is defined as the total thickness T of the other barrier metal layers. On the other hand, by setting t / T ≦ 0.3, the mechanical strength of the barrier metal is improved, and the open yield can be improved.

[第4実施形態]
本実施形態では、タンタル窒化物膜以外のバリアメタルを有する試料を作成し、評価を行った。なお、本実施形態では、図11に示した半導体装置と構成が同様であるので、ヴィアホールを中心としたバリアメタルの要部構造を図15に示すことにとどめ、全体の構造の図示を省略する。なお、図15において、図12と同一な部分には同一符号を付し、その説明を省略する。
[Fourth embodiment]
In the present embodiment, a sample having a barrier metal other than the tantalum nitride film was prepared and evaluated. In the present embodiment, since the configuration is the same as that of the semiconductor device shown in FIG. 11, only the main structure of the barrier metal centering on the via hole is shown in FIG. 15, and the entire structure is not shown. I do. In FIG. 15, the same portions as those in FIG. 12 are denoted by the same reference numerals, and description thereof will be omitted.

各バリアメタルの構成を図15の断面図を用いて説明する。最初の試料には、図15(a)に示すように、組成が異なるハフニウム窒化物膜の2層積層膜からなるバリアメタル654 が形成されている。ハフニウム窒化物膜の堆積にはロング・スロー・スパッタ法を用い、Hfターゲットを用いてスパッタリングガスとしてArガスとN2 ガスとを同時に流し、基板温度を約300℃にして成膜を行った。 The configuration of each barrier metal will be described with reference to the cross-sectional view of FIG. The first sample, as shown in FIG. 15 (a), a barrier metal 65 4 composition comprising two layers laminated films of different hafnium nitride film is formed. The hafnium nitride film was deposited by a long-throw sputtering method, using an Hf target, simultaneously flowing Ar gas and N 2 gas as a sputtering gas, and forming the film at a substrate temperature of about 300 ° C.

HfN0.75膜の堆積を行った後、N2 ガスとH2 ガスとを同時に流してHfN0.75膜のプラズマ窒化処理を行って、第1層目のハフニウム窒化物膜としてHfNx 膜81を形成した。その後、前述した条件と同じ条件で第2層目のハフニウム窒化物膜の堆積を行い、配線溝の底部の膜厚が10nmであるHfN0.75膜82を形成した。つまり、第1層目のハフニウム窒化物膜に対するプラズマ窒化処理を変えることによって、第1層目のHfNx 膜81としてHfN1.15又はHfN1.26を有する2種類のバリアメタル654 を形成した。なお、組成の同定は、XPSにより行った。そして、第1層目のハフニウム窒化物膜の膜厚を変えたものを作成した。 After the HfN 0.75 film was deposited, N 2 gas and H 2 gas were simultaneously flowed to perform plasma nitriding of the HfN 0.75 film, thereby forming an HfN x film 81 as a first hafnium nitride film. . Thereafter, a second layer of hafnium nitride film was deposited under the same conditions as described above, and an HfN 0.75 film 82 having a thickness of 10 nm at the bottom of the wiring groove was formed. That is, by changing the plasma nitriding process for the first layer of hafnium nitride film were formed two barrier metal 65 4 having a HfN 1.15 or HfN 1.26 as the first layer of HfN x film 81. The composition was identified by XPS. Then, a first layer having a different thickness of the hafnium nitride film was formed.

次の試料には、図15(b)に示すように、組成が異なるタンタル炭化物膜の2層積層膜からなるバリアメタル655 が形成されている。タンタル炭化物膜の堆積にはロング・スロー・スパッタ法を用い、TaCターゲットを用いて、パッタリングガスとしてArガスとCH4 ガスとを同時に流し、基板温度を約300℃にして成膜を行った。 The next sample, as shown in FIG. 15 (b), the barrier metal 65 5 composition consists of two layers laminated films of different tantalum carbide film is formed. The tantalum carbide film was deposited by using a long-throw sputtering method, using a TaC target, simultaneously flowing Ar gas and CH 4 gas as a sputtering gas, and forming the film at a substrate temperature of about 300 ° C. .

第1層目のタンタル炭化物膜としてTaC0.82膜83の堆積を行った後、CH4 ガスの流量を増やして第2層目のタンタル炭化物膜84の堆積を行うことによって、バリアメタル655 を形成した。なお、第2層目のタンタル炭化物膜84の形成の際、CH4 ガスの流量を変えることによって、TaC1.13又はTaC1.21膜を有する2種類のバリアメタル655 形成した。なお、組成の同定は、XPS測定により行った。そして、第2層目のタンタル炭化物膜84の膜厚を変えた種々のバリアメタルを形成した。 After deposition of TaC 0.82 film 83 as a first layer of tantalum carbide film, by performing the deposition of the second layer of tantalum carbide film 84 by increasing the flow rate of CH 4 gas, a barrier metal 65 5 did. Incidentally, in forming the second layer of tantalum carbide film 84, CH 4 by varying the flow rate of the gas, and two types of barrier metal 65 5 formed with a TaC 1.13 or TaC 1.21 film. The composition was identified by XPS measurement. Then, various barrier metals in which the thickness of the second layer tantalum carbide film 84 was changed were formed.

最後の試料には、図15(c)に示すように、ジルコニア窒化物膜及びジルコニア窒酸化物膜の3層積層膜からなるバリアメタル656 が形成されている。ジルコニア窒化物膜の堆積は、ロング・スロー・スパッタ法を用い、Zrターゲットを用いてスパッタリングガスとしてArガスとN2 ガスとを同時に流し、基板温度を約300℃にして行った。 At the end of the sample, as shown in FIG. 15 (c), a barrier metal 65 6 consisting of three-layer film of zirconium nitride film and zirconia oxycarbonitride film is formed. The zirconia nitride film was deposited by using a long-throw sputtering method, using a Zr target, simultaneously flowing Ar gas and N 2 gas as sputtering gases, and setting the substrate temperature to about 300 ° C.

第1層目のジルコニア窒化物膜として膜厚5nmより厚くZrN0.93膜85を堆積した後、第1層目のZrN0.93膜85の残膜が配線溝64の底部で5nmとなるようにO2 プラズマ処理を行い、第1層目のZrN0.93膜85の表面に第2層目のジルコニア窒酸化物膜86を形成する。そして、第1層目のものと同じ条件で、第3層目のジルコニア窒化物膜として膜厚5nmのZrN0.93膜87を堆積した。なお、第2層目のジルコニア窒酸化物膜86の形成の際、O2 プラズマ処理の条件を変えることによって、ZrO0.230.93又はZrO0.350.91を有する2種類のバリアメタル656 を形成した。なお、組成の同定は、XPS測定により行った。そして、第2層目のジルコニア窒酸化物膜86の膜厚を変えた種々のバリアメタル656 を形成した。 After depositing a ZrN 0.93 film 85 as a first zirconia nitride film with a thickness of more than 5 nm as a first layer, O 2 is deposited so that the remaining film of the first ZrN 0.93 film 85 is 5 nm at the bottom of the wiring groove 64. By performing a plasma treatment, a second-layer zirconia oxynitride film 86 is formed on the surface of the first-layer ZrN 0.93 film 85. Then, a ZrN 0.93 film 87 having a thickness of 5 nm was deposited as a third zirconia nitride film under the same conditions as those of the first layer. Incidentally, in forming the second layer of zirconia oxynitride film 86, by changing the condition of the O 2 plasma treatment to form two types of barrier metal 65 6 having a ZrO 0.23 N 0.93 or ZrO 0.35 N 0.91 . The composition was identified by XPS measurement. Then, to form a variety of barrier metal 65 6 for changing the thickness of the second layer of zirconia nitroxide film 86.

次に、本装置のCuダマシン配線のヴィア抵抗を測定した結果を図16に示す。図16において、縦軸はヴィア抵抗、横軸は金属元素に対する添加元素の総和の原子数比xが最も大きい化合物層の膜厚tである。なお、金属元素に対する添加元素の総和の原子数比xが最も大きい化合物層とは、第1層目ののハフニウム窒化物膜(HfN1.15,HfN1.26)81,第2層目ののタンタル炭化物膜(TaC1.13,TaC1.21)84又は第2層目のジルコニア窒酸化物膜(ZrO0.230.93,ZrO0.350.91)86である。 Next, the result of measuring the via resistance of the Cu damascene wiring of the present apparatus is shown in FIG. In FIG. 16, the vertical axis represents the via resistance, and the horizontal axis represents the thickness t of the compound layer having the largest total atomic number ratio x of the additive element to the metal element. Note that the compound layer having the largest atomic ratio x of the total sum of the added elements to the metal elements is defined as the first layer of the hafnium nitride film (HfN 1.15 , HfN 1.26 ) 81 and the second layer of the tantalum carbide film. (TaC 1.13 , TaC 1.21 ) 84 or the second layer zirconia oxynitride film (ZrO 0.23 N 0.93 , ZrO 0.35 N 0.91 ) 86.

金属元素に対する添加元素の総和の原子数比xが最も大きい化合物層のxが1.2以上の、HfN1.26/HfN0.75,TaC0.82/TaC1.21,ZrN0.93/ZrO0.350.91/ZrN0.93では、膜厚tが5nm以下になると、ヴィア抵抗が著しく低減している。 In the compound layer where the atomic ratio x of the total sum of the added elements to the metal elements is the largest, x is 1.2 or more, HfN 1.26 / HfN 0.75 , TaC 0.82 / TaC 1.21 , ZrN 0.93 / ZrO 0.35 N 0.91 / ZrN 0.93 When the film thickness t becomes 5 nm or less, the via resistance is significantly reduced.

また、金属元素に対する添加元素の総和の原子数比xが最も大きい化合物層のxが1.0より大きく且つ1.2未満である、HfN1.15/HfN0.75,TaC0.82/TaC1.13,ZrN0.93/ZrO0.230.91/ZrN0.93では、膜厚tが10nm以下になると、ヴィア抵抗が著しく低減している。 Further, x of the compound layer having the largest atomic ratio x of the total of the additional elements to the metal elements is larger than 1.0 and smaller than 1.2, and HfN 1.15 / HfN 0.75 , TaC 0.82 / TaC 1.13 , ZrN 0.93 / In ZrO 0.23 N 0.91 / ZrN 0.93 , when the film thickness t becomes 10 nm or less, the via resistance is significantly reduced.

ヴィア抵抗が低減した原因は、明らかではないが、絶縁体の膜厚が数nm以下になるとトンネル効果により電流が流れる事実から類推すると、特定の膜厚以下で薄膜特有の何らかの伝導機構が機能し、電流が流れやすくなっていると推測される。   The cause of the decrease in via resistance is not clear, but by analogy with the fact that current flows due to the tunnel effect when the thickness of the insulator becomes several nm or less, some conduction mechanism peculiar to the thin film functions below a certain thickness. It is presumed that the current easily flows.

以上説明したように、バリアメタルを構成する化合物層膜の内、金属元素に対する添加元素の総和の原子数比xが最も大きい化合物層の厚さを10nm以下にすると、ヴィア抵抗を減少させることができる。   As described above, when the thickness of the compound layer having the largest total atomic number ratio x of the additive element to the metal element in the compound layer film constituting the barrier metal is set to 10 nm or less, the via resistance may be reduced. it can.

[第5実施形態]
図17は、本発明の第5実施形態に係わる半導体装置の構成を示す断面図である。なお、図17において、図1と同一な部分には同一符号を付し、その説明を省略する。
[Fifth Embodiment]
FIG. 17 is a sectional view illustrating a configuration of a semiconductor device according to a fifth embodiment of the present invention. In FIG. 17, the same portions as those in FIG. 1 are denoted by the same reference numerals, and description thereof will be omitted.

本実施形態の特徴は、バリアメタルとして、配線溝16の側壁及び底面に沿って形成されたTaNx (x>0.2)膜131と、Cuダマシン配線に接するTaNy z 膜132との積層膜が形成されていることである。 The feature of this embodiment is that a TaN x (x> 0.2) film 131 formed along the side wall and bottom surface of the wiring groove 16 as a barrier metal, and a TaN y O z film 132 in contact with the Cu damascene wiring. That is, a laminated film is formed.

本装置の製造工程を図18の工程断面図を用いて説明する。本装置のバリアメタルの製造工程以外は、図1に示した装置の製造工程と同様の工程(図3)で構成されるので、バリアメタルの製造工程係わる工程のみを示し、その図示はバリアメタルに係わる配線溝の底部を含む領域のみとする。   The manufacturing process of the present device will be described with reference to the process sectional view of FIG. Except for the manufacturing process of the barrier metal of the present device, the process is the same as the manufacturing process of the device shown in FIG. 1 (FIG. 3), so only the processes related to the manufacturing process of the barrier metal are shown. In the region including the bottom of the wiring groove.

先ず、図18(a)に示すように、第2の層間絶縁膜15に、Wヴィアプラグ14に接続する配線溝16を形成する。次いで、図18(b)に示すように、全面にロング・スロー・スパッタ法を用いてTaNx 膜131を形成する。次いで、大気5分間暴露することによって、TaNx 膜131の表面にTaNy z 膜132を形成する。そして、全面にCuを形成した後、第2の層間絶縁膜上のCu膜,TaNx 膜131及びTaNy z 膜132をCMP法等を用いて除去し、Cuダマシン配線17を形成する。 First, as shown in FIG. 18A, a wiring groove 16 connected to the W via plug 14 is formed in the second interlayer insulating film 15. Next, as shown in FIG. 18B, a TaN x film 131 is formed on the entire surface by using a long throw sputtering method. Next, by exposing to the atmosphere for 5 minutes, a TaN y O z film 132 is formed on the surface of the TaN x film 131. Then, after Cu is formed on the entire surface, the Cu film, the TaN x film 131 and the TaN y O z film 132 on the second interlayer insulating film are removed by a CMP method or the like, and the Cu damascene wiring 17 is formed.

また、図19に示すようなアモルファスシリコン154,TaNx 膜155,TaNy z 膜156及びCu膜157が順次積層された構造を有する試料を450℃で4時間アニールを行い、バリアメタルを通してアモルファスシリコン膜154に拡散したCuにより生成されたCu3 Si(Cuシリサイド)の生成量(シリサイド化率)によって、本装置のバリアメタルのバリア性の評価を行った。又、Cu成膜後のテープテストによりCu膜の下地への密着性を評価した。 Further, a sample having an amorphous silicon 154, TaN x film 155, TaN y O z layer 156 and the Cu film 157 are sequentially stacked as shown in FIG. 19 for 4 hours annealing at 450 ° C., amorphous through the barrier metal The barrier property of the barrier metal of this device was evaluated based on the amount of generated Cu 3 Si (Cu silicide) (silicidation ratio) generated by Cu diffused in the silicon film 154. The adhesion of the Cu film to the base was evaluated by a tape test after the Cu film formation.

図19に示した試料の構造について説明する。Si基板151上に形成された膜厚100nmの熱酸化膜152上に、低圧のCVD法により堆積された膜厚100nmのシリコン窒化膜153が形成されている。シリコン窒化膜153上にアモルファスシリコン膜154が形成されている。そして、アモルファスシリコン154上にTaNx 膜155が形成され、TaNx 膜155の表面にTaNy z 膜156が形成されている。なお、そして、TaNy z 膜156上にCu膜157が形成されている。 The structure of the sample shown in FIG. 19 will be described. A 100-nm-thick silicon nitride film 153 deposited by a low-pressure CVD method is formed on a 100-nm-thick thermal oxide film 152 formed on a Si substrate 151. An amorphous silicon film 154 is formed on the silicon nitride film 153. Then, a TaN x film 155 is formed on the amorphous silicon 154, and a TaN y O z film 156 is formed on the surface of the TaN x film 155. In addition, a Cu film 157 is formed on the TaN y O z film 156.

なお、TaNy z 膜156は、基板温度約300℃でN2 ガスとArガス中でTaターゲットをスパッタすることによって、TaNx 膜155を形成した後、大気中に5分間暴露することによって形成した。また、ArガスとN2 ガスとの流量を変えることによって、種々の組成のTaNx 膜155を形成した後、酸化処理を行うことによって、種々のTaNy z 膜156を形成した。 The TaN y O z film 156 is formed by sputtering a Ta target in a N 2 gas and an Ar gas at a substrate temperature of about 300 ° C. to form a TaN x film 155 and then exposing the film to the atmosphere for 5 minutes. Formed. Also, after changing the flow rates of the Ar gas and the N 2 gas, TaN x films 155 having various compositions were formed, and then oxidation treatment was performed to form various TaN y O z films 156.

シリサイド化率及び密着性を評価した結果を表2に示す。なお、TaNx 膜の組成の同定はRBS測定により行い、TaNx 表面に形成された酸化物層の組成の同定はXPS測定により行った。

Figure 2004289174
Table 2 shows the results of evaluating the silicidation ratio and the adhesion. The composition of the TaN x film was identified by RBS measurement, and the composition of the oxide layer formed on the TaN x surface was identified by XPS measurement.
Figure 2004289174

結果から分かるように、TaN0.2 以上の組成の表面に形成される酸化物層が、TaNx 膜のCu拡散に対するバリア性を向上させることが可能であり、又密着性も改善されている。又、TaNx 膜の窒素濃度の増大につれて表面酸化層が形成されにくくなっている。TaN1.4 膜においては、表面酸化層がほとんど形成されていなくても、良好なバリア性と密着性が実現されていることが分かる。 As can be seen from the results, the oxide layer formed on the surface having a composition of TaN 0.2 or more can improve the barrier property against Cu diffusion of the TaN x film, and also has improved adhesion. Further, the surface oxide layer becomes hard to be formed with increasing nitrogen concentration in the TaN x film. It can be seen that the TaN 1.4 film achieves good barrier properties and adhesion even when the surface oxide layer is hardly formed.

又、TaN0.3 膜を用いて、大気暴露の時間を0分、5分、10分、20分と変化させて、形成されるTaN0.3 1.0 の膜厚を変えてCu/TaN0.3 1.0 膜のヴィア抵抗を測定した結果を表3に示す。なお、ヴィア抵抗の測定は、ケルビンパターンを用いて行った。

Figure 2004289174
Using a TaN 0.3 film, the time of exposure to the air was changed to 0, 5, 10, and 20 minutes, and the thickness of the formed TaN 0.3 O 1.0 was changed to form a Cu / TaN 0.3 O 1.0 film. Table 3 shows the results of measuring the via resistance of the sample. The via resistance was measured using a Kelvin pattern.
Figure 2004289174

表3から分かるように、TaNy z 膜の膜厚が3nmを越えると著しくヴィア抵抗が増大してしまうことが分かった。 As can be seen from Table 3, it was found that when the thickness of the TaN y O z film exceeded 3 nm, the via resistance was significantly increased.

なお、本実施形態においては、表面を酸化する方法として大気への暴露を用いたが、酸素や水蒸気中などの酸化性雰囲気中でも同様の効果が得られる。   In this embodiment, exposure to the atmosphere is used as a method for oxidizing the surface, but the same effect can be obtained even in an oxidizing atmosphere such as oxygen or water vapor.

以上説明したように、TaNx 膜とTaNy z 膜との積層構造用いることによって、低抵抗且つ高いバリア性を有するバリアメタルを提供することができる。なお、ヴィア抵抗を低くするためにTaNy z 膜の膜厚を3nm以下にすることが好ましい。 As described above, by using a stacked structure of the TaN x film and the TaN y O z film, a barrier metal having low resistance and high barrier properties can be provided. It is preferable that the thickness of the TaN y O z film be 3 nm or less in order to reduce the via resistance.

[第6実施形態]
図20は、本発明の第6実施形態に係わる半導体装置の構成を示す断面図である。シリコン酸化物からなる層間絶縁膜161に配線溝162が形成され、配線溝162の側壁及び底面に沿ってTaNy z 膜163が形成されている。TaNy z 膜163の表面に沿ってTaNx 膜164が形成されている。そして、配線溝162内にCu配線165が埋め込み形成されている。そして、全面にCu配線165表面からの上層への構造物への拡散を防止するシリコン窒化膜166が形成されている。本実施形態のバリアメタルは、TaNy z 膜163とTaNx 膜164とが積層された積層膜である。
[Sixth embodiment]
FIG. 20 is a sectional view showing a configuration of a semiconductor device according to the sixth embodiment of the present invention. A wiring groove 162 is formed in an interlayer insulating film 161 made of silicon oxide, and a TaN y Oz film 163 is formed along a side wall and a bottom surface of the wiring groove 162. A TaN x film 164 is formed along the surface of the TaN y O z film 163. Then, a Cu wiring 165 is buried in the wiring groove 162. Then, a silicon nitride film 166 for preventing diffusion from the surface of the Cu wiring 165 to the upper layer is formed on the entire surface. The barrier metal of the present embodiment is a laminated film in which a TaN y O z film 163 and a TaN x film 164 are laminated.

次いで、本装置の製造工程を図21の工程断面図を用いて説明する。先ず、図21(a)に示すように、層間絶縁膜161に配線溝162を形成した後、全面にスパッタリング法を用いて、TaNx 膜164を形成する。次いで、図21(b)に示すように、アニールすることによって、層間絶縁膜161とTaNx 膜164との界面に接する層間絶縁膜161を還元すると共に、前記外面のTaNx 膜164を酸化し、TaNy z 膜163を形成する。次いで、図21(c)に示すように、全面にCu膜1651 を堆積し、配線溝162をCu膜1651 で埋め込む。次いで、図21(d)に示すように、余分なCu膜1651 ,TaNx 膜164及びTaNy z 膜163をCMP法を用いて除去し、Cu配線165を形成する。そして、全面にシリコン窒化膜を堆積することによって図20に示した構造を形成する。 Next, a manufacturing process of the present apparatus will be described with reference to a process sectional view of FIG. First, as shown in FIG. 21A, after a wiring groove 162 is formed in an interlayer insulating film 161, a TaN x film 164 is formed on the entire surface by using a sputtering method. Next, as shown in FIG. 21B, by annealing, the interlayer insulating film 161 in contact with the interface between the interlayer insulating film 161 and the TaN x film 164 is reduced, and the TaN x film 164 on the outer surface is oxidized. , A TaN y O z film 163 is formed. Next, as shown in FIG. 21C, a Cu film 165 1 is deposited on the entire surface, and the wiring groove 162 is filled with the Cu film 165 1 . Next, as shown in FIG. 21D, the excess Cu film 165 1 , TaN x film 164 and TaN y O z film 163 are removed by using the CMP method, and a Cu wiring 165 is formed. Then, a structure shown in FIG. 20 is formed by depositing a silicon nitride film on the entire surface.

次に、本実施形態のバリアメタルのバリア性の評価を行った。バリア性の評価は、図22に示すようなアモルファスシリコン膜182,SiO2 膜183,TaNx 膜184及びCu膜185が順次積層された構造を有する試料を別途作成し、試料を450℃で4時間アニールを行い、バリアメタルを通してアモルファスシリコン膜に拡散したCuにより生成されたCu3 Si(Cuシリサイド)の生成量(シリサイド化率)によって行った。 Next, the barrier properties of the barrier metal of the present embodiment were evaluated. For the evaluation of the barrier property, a sample having a structure in which an amorphous silicon film 182, an SiO 2 film 183, a TaN x film 184, and a Cu film 185 were sequentially laminated as shown in FIG. Annealing was performed for a time, and the annealing was performed based on the amount of generated Cu 3 Si (Cu silicide) (silicidation ratio) generated by Cu diffused into the amorphous silicon film through the barrier metal.

図22に示した試料の構造について説明する。プラズマCVD法により堆積された膜厚700nmのSiO2 膜181に、通常のフォトリソグラフィ技術,RIE法により深さ0.4μm、L/S=0.2/0.2μmの溝が形成されている。そして、全面にCVD法により堆積されたアモルファスシリコン膜182が形成されている。そして、アモルファスシリコン膜182上に、アモルファスシリコン膜182を酸化雰囲気にさらすことによって生成されたSiO2 膜183が形成されている。SiO2 膜183上に、溝内の一番薄いところの膜厚が5nmであるTaNx 膜184が形成されている。そして、TaNx膜184の生成から真空を破らずに堆積されたCu膜185が形成されている。 The structure of the sample illustrated in FIG. 22 will be described. Grooves having a depth of 0.4 μm and L / S = 0.2 / 0.2 μm are formed in a 700 nm thick SiO 2 film 181 deposited by a plasma CVD method using an ordinary photolithography technique or RIE method. . Then, an amorphous silicon film 182 deposited by the CVD method is formed on the entire surface. Then, an SiO 2 film 183 formed by exposing the amorphous silicon film 182 to an oxidizing atmosphere is formed on the amorphous silicon film 182. On the SiO 2 film 183, a TaN x film 184 having a thickness of 5 nm at the thinnest portion in the groove is formed. Then, a Cu film 185 deposited without breaking the vacuum from the generation of the TaNx film 184 is formed.

なお、酸化雰囲気にさらす前のアモルファスシリコン膜182の膜厚は30nmであり、酸化時間を変えることで表面に2,4,6nmのSiO2 膜183が形成された試料、又は酸化処理を行わない試料を形成した。また、それぞれの膜厚のSiO2 膜183上に、TaN0.1 ,TaN0.2 ,TaN0.5 又はTaN1.4 の組成のTaNx 膜184が形成された試料を作成した。 Note that the thickness of the amorphous silicon film 182 before exposure to an oxidizing atmosphere is 30 nm, and a sample in which a 2 , 4, or 6 nm SiO 2 film 183 is formed on the surface by changing the oxidation time, or an oxidation process is not performed. A sample was formed. In addition, samples were prepared in which a TaN x film 184 having a composition of TaN 0.1 , TaN 0.2 , TaN 0.5 or TaN 1.4 was formed on the SiO 2 film 183 having each thickness.

シリサイド化率の測定結果を表4に示す。

Figure 2004289174
Table 4 shows the measurement results of the silicidation ratio.
Figure 2004289174

このように、TaNx 膜184が形成される下地の表面に酸素を含有する層(SiO2 膜183)を設けておくことにより、TaNx 膜184と下地中に含まれる酸素とが反応して、TaNy z 膜が形成され、Cu膜185に対するバリア特性が著しく向上することが分かる。なお、生成されるTaNy z 膜の厚さが4.5nmの場合には、TaNy z 膜形成のための熱処理中に、TaNy z 膜とSiO2 膜との間で剥離が生じて、バリア性の評価には至らなかった。 As described above, by providing the layer containing oxygen (SiO 2 film 183) on the surface of the base on which the TaN x film 184 is formed, the TaN x film 184 reacts with the oxygen contained in the base. It can be seen that a TaN y O z film is formed, and the barrier characteristics for the Cu film 185 are significantly improved. Incidentally, when the thickness of the TaN y O z films produced is 4.5nm, during the heat treatment for TaN y O z film formation, peeling between the TaN y O z layer and the SiO 2 film It did not lead to the evaluation of the barrier properties.

以上説明したように、酸素を含む層間絶縁膜上にTaNx 膜を形成した後、TaNx 膜により層間絶縁膜を還元し、TaNx 膜を酸化することによって、TaNx 膜とTaNy z 膜とが積層されたバリアメタルを形成することができる。 As described above, after forming the TaN x film on the interlayer insulating film containing oxygen, reducing the interlayer insulating film by TaN x film, by oxidizing the TaN x film, TaN x film and TaN y O z It is possible to form a barrier metal in which a film is laminated.

なお、本発明においては、酸素を有する下地層に非晶質シリコン表面に形成した酸化層を用いているが、TaNx 膜が還元できる酸化物層であるならば、実質的に同様の効果が得られる。 In the present invention, is used an oxide layer formed on the amorphous silicon surface underlying layer having an oxygen, if TaN x film is an oxide layer capable of reducing, substantially the same effect can get.

[第7実施形態]
図23は、本発明の第7実施形態に係わる半導体装置の構成を示す断面図である。なお、図23において、図21と同一な部位には同一符号を付し、その説明を省略する。
[Seventh embodiment]
FIG. 23 is a cross-sectional view illustrating a configuration of a semiconductor device according to a seventh embodiment of the present invention. In FIG. 23, the same portions as those in FIG. 21 are denoted by the same reference numerals, and description thereof will be omitted.

本実施形態の特徴は、Cu膜165の表面が層間絶縁膜161の表面より低く形成され、Cu膜165上にTaNy z 膜191及びTaNx 膜192が順次積層されていることである。なお、TaNx 膜192の表面は層間絶縁膜161の表面と同一であり、TaNy z 膜191及びTaNx 膜192からなる積層膜はCu膜165表面からのCuの拡散を抑制するバリアメタルである。 The feature of this embodiment is that the surface of the Cu film 165 is formed lower than the surface of the interlayer insulating film 161, and the TaN y O z film 191 and the TaN x film 192 are sequentially laminated on the Cu film 165. The surface of the TaN x film 192 is the same as the surface of the interlayer insulating film 161, and the laminated film composed of the TaN y O z film 191 and the TaN x film 192 is a barrier metal that suppresses diffusion of Cu from the surface of the Cu film 165. It is.

次に、本装置の製造工程を図24の工程断面図を用いて説明する。なお、本装置の製造工程は、図21(a)〜(d)に示した製造工程までは、同一なので説明を省略する。   Next, a manufacturing process of the present apparatus will be described with reference to a process sectional view of FIG. The manufacturing process of this apparatus is the same as the manufacturing process shown in FIGS.

そして、図21(d)に示した工程の後、図24(a)に示すように、Cu膜165を選択的にエッチングし、Cu膜165の表面を層間絶縁膜161の表面より低くする。次いで、図24(b)に示すように、露出するCu膜165の表面を酸化し、銅酸化膜200を形成する。次いで、図24(c)に示すように、TaNx 膜192を形成する。次いで、図24(d)に示すように、アニールすることにより銅酸化膜200を還元すると共に、銅酸化膜200に接するTaNx 膜192を酸化することによって、TaNy z 膜191を形成する。そして、層間絶縁膜161上のTaNy z 膜191及びTaNx 膜192をCMP法を用いて除去することによって、層間絶縁膜161とTaNx 膜192の表面を平坦にし、図23に示した構造を形成する。 Then, after the step shown in FIG. 21D, as shown in FIG. 24A, the Cu film 165 is selectively etched to make the surface of the Cu film 165 lower than the surface of the interlayer insulating film 161. Next, as shown in FIG. 24B, the exposed surface of the Cu film 165 is oxidized to form a copper oxide film 200. Next, as shown in FIG. 24C, a TaN x film 192 is formed. Then, as shown in FIG. 24 (d), as well as reducing the copper oxide film 200 by annealing, by oxidizing the TaN x film 192 in contact with the copper oxide film 200, to form a TaN y O z layer 191 . Then, by removing the TaN y O z film 191 and the TaN x film 192 on the interlayer insulating film 161 by using the CMP method, the surfaces of the interlayer insulating film 161 and the TaN x film 192 are flattened, and as shown in FIG. Form the structure.

次に、本実施形態のバリアメタルのバリア性の評価を行った。バリア性の評価は、図25に示すようなCu214,銅酸化膜215,TaNx 膜216及びアモルファスシリコン膜217が順次積層された構造を有する試料を別途作成し、試料を450℃で4時間アニールを行い、TaNx 膜216を用いて銅酸化膜215を還元し、TaNx 膜216を酸化してTaNy z 膜を形成すると共に、バリアメタルを通してアモルファスシリコン膜に拡散したCuにより生成されたCu3 Si(Cuシリサイド)の生成量(シリサイド化率)によって行った。 Next, the barrier properties of the barrier metal of the present embodiment were evaluated. The barrier property was evaluated by separately preparing a sample having a structure in which a Cu 214, a copper oxide film 215, a TaN x film 216, and an amorphous silicon film 217 were sequentially stacked as shown in FIG. 25, and annealing the sample at 450 ° C. for 4 hours. It was carried out, reducing the copper oxide film 215 using the TaN x film 216, to form a TaN y O z layer by oxidizing the TaN x film 216, produced by Cu diffused into the amorphous silicon film through a barrier metal The determination was performed based on the amount of generated Cu 3 Si (Cu silicide) (silicidation ratio).

図25に示した試料の構造について説明する。プラズマCVD法により堆積された膜厚700nmのSiO2 膜211が形成されている。SiO2 膜211に、通常のフォトリソグラフィ技術,RIE法により深さ0.4μm、L/S=0.2/0.2μmの溝212が形成されている。全面に、溝内の一番薄いところの膜厚が5nmであるTaN1.4 膜213がスパッタ法によって形成されている。そして、TaN1.4 膜213上にCVD法により堆積された膜厚400nmのCu膜をCMP法により研磨することによって、溝内にCuダマシン配線214が埋め込み形成されている。そして、埋め込み形成されたCuダマシン配線214の表面に酸化雰囲気中の熱処理により生成された銅酸化膜215が形成されている。そして、全面に膜厚10nmのTaNx 膜216が形成され、TaNx 膜216の堆積から真空を破らずにスパッタ法により堆積されたアモルファスシリコン膜217が形成されている。 The structure of the sample illustrated in FIG. 25 will be described. A 700 nm thick SiO 2 film 211 is formed by plasma CVD. A groove 212 having a depth of 0.4 μm and L / S = 0.2 / 0.2 μm is formed in the SiO 2 film 211 by a usual photolithography technique or RIE method. The entire surface, TaN 1.4 film 213 is formed by sputtering the thinnest at the thickness of the grooves is 5 nm. The Cu damascene wiring 214 is buried in the groove by polishing the 400 nm-thick Cu film deposited on the TaN 1.4 film 213 by the CVD method by the CMP method. Then, a copper oxide film 215 generated by heat treatment in an oxidizing atmosphere is formed on the surface of the embedded Cu damascene wiring 214. Then, a TaN x film 216 having a thickness of 10 nm is formed on the entire surface, and an amorphous silicon film 217 deposited by a sputtering method without breaking vacuum from the deposition of the TaN x film 216 is formed.

なお、Cuダマシン配線214の酸化時間を変えることで表面に1,3,5,10nmの銅酸化膜215が形成された試料、又は酸化処理を行わない試料を形成した。また、それぞれの膜厚の銅酸化膜215上に、TaN0.1 ,TaN0.2 ,TaN0.5 又はTaN1.4 の組成の膜216が形成された試料を形成した。 By changing the oxidation time of the Cu damascene wiring 214, a sample in which a copper oxide film 215 of 1, 3, 5, and 10 nm was formed on the surface, or a sample not subjected to oxidation treatment was formed. Further, a sample was formed in which a film 216 having a composition of TaN 0.1 , TaN 0.2 , TaN 0.5 or TaN 1.4 was formed on the copper oxide film 215 having each thickness.

シリサイド化率の測定結果を表5に示す。

Figure 2004289174
Table 5 shows the measurement results of the silicidation ratio.
Figure 2004289174

その結果、第5実施形態と同様のTaNx膜のバリア性が確認された。但し、Cuダマシン配線214の表面の銅酸化膜215の膜厚が10nmの場合は、Cu酸化膜の表面からバリアメタルが剥離し、バリア性の評価には至らなかった。   As a result, the same barrier property of the TaNx film as in the fifth embodiment was confirmed. However, when the thickness of the copper oxide film 215 on the surface of the Cu damascene wiring 214 was 10 nm, the barrier metal was separated from the surface of the Cu oxide film, and the barrier property was not evaluated.

また、本実施形態では、Cu配線214の表面を酸素雰囲気中の熱処理により銅酸化膜215を形成し、TaNy z 膜を形成するための酸素の供給源としていたが、予め酸素が導入されたCu配線上にTaNx 膜を堆積した後、真空中で熱処理を行うことによっても、Cu配線中の酸素がCuとTaNx 膜との界面に拡散し、同様にTaNy z 膜を形成することができることを確認した。なお、この場合には、Cu配線中の酸素が、界面のTaNy z 膜の形成に消費されることによって、Cu配線の比抵抗が低下することが確認され、Cu配線中の酸素濃度により、TaNy z 膜の膜厚を制御することができることも分かった。 In the present embodiment, the copper oxide film 215 is formed on the surface of the Cu wiring 214 by heat treatment in an oxygen atmosphere to serve as a supply source of oxygen for forming the TaN y O z film. By depositing a TaN x film on the Cu wiring and then performing a heat treatment in a vacuum, oxygen in the Cu wiring diffuses to the interface between Cu and the TaN x film, and a TaN y O z film is similarly formed. Confirmed that you can. In this case, it is confirmed that the oxygen in the Cu wiring is consumed for forming the TaN y O z film at the interface, thereby lowering the specific resistance of the Cu wiring. , it has also been found that it is possible to control the thickness of the TaN y O z layer.

以上説明したように、Cu配線の表面に形成された銅酸化膜又は酸素を混入させたCu配線上にTaNx 膜を形成した後、TaNx 膜により銅酸化膜又は酸素を含むCu配線を還元し、TaNx 膜を酸化することによって、TaNx 膜とTaNy z 膜とが積層されたバリアメタルを形成することができる。 As described above, after the TaN x film is formed on the copper oxide film formed on the surface of the Cu wiring or the Cu wiring mixed with oxygen, the TaN x film reduces the copper oxide film or the Cu wiring containing oxygen. and, by oxidizing the TaN x film, it is possible to form the barrier metal and the TaN x film and TaN y O z layer are laminated.

なお、本発明は、上記実施形態に限定されるものではない。例えば、上記実施形態では、同一の金属元素と添加元素とからなる化合物膜を積層してバリアメタルを形成していたが、各層に含まれる金属元素が異なっていても良い。但し、各層に含まれる金属元素として同一なものを選択することによって、軽元素(ボロン,酸素,炭素,窒素)の導入量を変えるだけで積層膜を形成することができるので、プロセスが容易である。また、同一な金属元素を選択してバリアメタルを形成することによって、層間の反応を抑制することができる。   Note that the present invention is not limited to the above embodiment. For example, in the above embodiments, the barrier metal is formed by laminating compound films made of the same metal element and the additional element, but the metal elements contained in each layer may be different. However, by selecting the same metal element contained in each layer, a laminated film can be formed only by changing the introduction amount of light elements (boron, oxygen, carbon, nitrogen), so that the process is easy. is there. In addition, by selecting the same metal element to form a barrier metal, a reaction between layers can be suppressed.

又、上記実施形態では、段階的にガス流量を増減させることで化合物膜αβn のn値が異なる膜を積層したが、例えば徐々にガス流量を変化させることで連続的な組成変化を有する膜を形成しても良い。 Further, in the above embodiment, the films having different n values of the compound films αβ n are laminated by increasing and decreasing the gas flow rate in a stepwise manner, but for example, a film having a continuous composition change by gradually changing the gas flow rate May be formed.

また、バリアメタルを構成する金属元素としては、IVB族,VB族又はVIB族に属する元素を用いることができる。   In addition, as a metal element constituting the barrier metal, an element belonging to the IVB group, the VB group, or the VIB group can be used.

なお、本発明のバリアメタルは、金属配線やプラグ電極以外の金属電極にも適用可能である。   Note that the barrier metal of the present invention can be applied to metal electrodes other than metal wiring and plug electrodes.

又、第5〜6実施形態において、バリアメタルを構成する化合物膜としてTaNx 膜とその酸化膜であるTaNy z 膜と例に挙げて説明したが、それに限るものではなく、タンタルの代わりに金属元素から少なくとも一つの元素が選ばれたαとボロン,炭素,窒素から少なくとも一つの元素が選ばれたγとから構成された化合物膜αγn とその酸化膜であるαγy z を用いることができる。 Further, in the 5-6 embodiment, has been described by way of TaN y O z layer and examples are TaN x film and its oxide film as a compound film constituting the barrier metal is not limited thereto, instead of the tantalum used αγ y O z is at least one element selected α and boron, the oxide film carbon, compounds of at least one element is composed of a γ selected from nitrogen film Arufaganma n from metal elements be able to.

その他、本発明は、その要旨を逸脱しない範囲で、種々変形して実施することが可能である。   In addition, the present invention can be variously modified and implemented without departing from the gist thereof.

第1実施形態に係わる半導体装置の構成を示す断面図。FIG. 2 is a cross-sectional view illustrating a configuration of the semiconductor device according to the first embodiment. 図1の半導体装置のバリアメタルの構成を示す断面図。FIG. 2 is a cross-sectional view illustrating a configuration of a barrier metal of the semiconductor device in FIG. 1. 図1の半導体装置の製造工程を示す工程断面図。FIG. 2 is a process cross-sectional view illustrating a manufacturing process of the semiconductor device in FIG. 1. 第1実施形態に係わるバリア性の検証に用いた試料の構成を示す断面図。FIG. 4 is a cross-sectional view illustrating a configuration of a sample used for verifying barrier properties according to the first embodiment. 通常のロング・スロー・スパッタ法により成膜されたバリアメタルの成膜形状を示す断面図。Sectional drawing which shows the film-forming shape of the barrier metal formed by the normal long throw sputtering method. 通常のロング・スロー・スパッタ法により成膜されたバリアメタル上に、Cu膜をリフローさせた場合を示す断面図。FIG. 9 is a cross-sectional view showing a case where a Cu film is reflowed on a barrier metal formed by a normal long-throw sputtering method. 基板バイアスを印加したロング・スロー・スパッタ法により成膜されたバリアメタルの成膜形状を示す断面図。Sectional drawing which shows the film-forming shape of the barrier metal formed by the long throw sputtering method to which the substrate bias was applied. 基板バイアスを印加したロング・スロー・スパッタ法により成膜されたバリアメタル上にCu膜をリフローさせた場合を示す断面図。FIG. 4 is a cross-sectional view showing a case where a Cu film is reflowed on a barrier metal formed by a long throw sputtering method with a substrate bias applied. 第2実施形態に係わる半導体装置のバリアメタルの構成を示す断面図。FIG. 9 is a cross-sectional view illustrating a configuration of a barrier metal of the semiconductor device according to the second embodiment. 図9に示したバリアメタルのシリサイド化率(バリア性)の軽元素濃度依存性を示す特性図。FIG. 10 is a characteristic diagram showing the light element concentration dependency of the silicidation rate (barrier property) of the barrier metal shown in FIG. 9. 第3実施形態に係わる半導体装置の構成を示す断面図。FIG. 13 is a cross-sectional view illustrating a configuration of a semiconductor device according to a third embodiment. 図11の半導体装置のバリアメタルの構成を示す断面図。FIG. 12 is a cross-sectional view illustrating a configuration of a barrier metal of the semiconductor device in FIG. 11. 図11の半導体装置の製造工程を示す工程断面図。FIG. 12 is a process cross-sectional view illustrating a manufacturing process of the semiconductor device in FIG. 11. 図12に示したバリアメタル上に形成されたCuデュアルダマシン配線のオープンイールドを示す特性図。FIG. 13 is a characteristic diagram showing an open yield of the Cu dual damascene wiring formed on the barrier metal shown in FIG. 12. 第4実施形態に係わる半導体装置の要部構成を示す断面図。FIG. 11 is a sectional view showing a configuration of a main part of a semiconductor device according to a fourth embodiment. 図15の半導体装置のCuダマシン配線のヴィア抵抗を示す特性図。FIG. 16 is a characteristic diagram showing via resistance of Cu damascene wiring of the semiconductor device of FIG. 15. 第5実施形態に係わる半導体装置の構成を示す断面図。FIG. 14 is a sectional view showing the configuration of a semiconductor device according to a fifth embodiment. 図17の半導体装置の製造工程を示す工程断面図。FIG. 18 is a process cross-sectional view illustrating a manufacturing process of the semiconductor device in FIG. 17. 第5実施形態に係わるバリア性の検証に用いた試料の構成を示す断面図。FIG. 13 is a cross-sectional view showing a configuration of a sample used for verifying barrier properties according to a fifth embodiment. 第6実施形態に係わる半導体装置の構成を示す断面図。FIG. 14 is a sectional view showing the configuration of a semiconductor device according to a sixth embodiment. 図20の半導体装置の製造工程を示す工程断面図。FIG. 21 is a process sectional view illustrating the manufacturing process of the semiconductor device in FIG. 20; 第6実施形態に係わるバリア性の検証に用いた試料の構成を示す断面図。FIG. 14 is a cross-sectional view illustrating a configuration of a sample used for verifying barrier properties according to a sixth embodiment. 第7実施形態に係わる半導体装置の構成を示す断面図。FIG. 13 is a sectional view showing a configuration of a semiconductor device according to a seventh embodiment. 図23の半導体装置の製造工程を示す工程断面図。FIG. 24 is a process sectional view illustrating the manufacturing process of the semiconductor device in FIG. 23; 第7実施形態に係わるバリア性の検証に用いた試料の構成を示す断面図。FIG. 17 is a cross-sectional view illustrating a configuration of a sample used for verifying barrier properties according to a seventh embodiment. TaNx 膜のバリア性のx依存性を示す特性図。FIG. 4 is a characteristic diagram showing x dependence of the barrier property of the TaN x film.

符号の説明Explanation of reference numerals

11…第1の層間絶縁膜,12…下層配線,14…Wヴィアプラグ,15…第2の層間絶縁膜,16…配線溝,17…ダマシン配線,20…バリアメタル,31,34,35,37,38…TaN0.87膜,32,33,36,39…TaN1.19膜,51,52…ニオブ窒化物(NbNx )膜,53,54…タンタル窒酸化物(TaOy z )膜,55,56,57…チタン炭化物(TiCx )膜,61…層間絶縁膜,62…ダマシン配線,63…ヴィアホール,64…配線溝,65…バリアメタル,65…配線溝,66…デュアルダマシン配線,71,72…タングステン窒化物(WNx )膜,73,74…タンタル炭窒化物(TaCy z )膜,75,76,77…チタン・ホウ窒化物膜(TiBx y )膜,81,82…ハフニウム窒化物(HfNx )膜,83,84…タンタル炭化物(TaCx )膜,85,86,87…ジルコニア窒化物(ZrNx )膜,131…TaNx (x>0.2)膜,132…TaNy z 膜,161…層間絶縁膜,162…配線溝,163…TaNy z 膜,164…TaNx 膜,165…Cu配線,166…シリコン窒化膜,191…TaNy z 膜,192…TaNx 膜,200…銅酸化膜 DESCRIPTION OF SYMBOLS 11 ... 1st interlayer insulation film, 12 ... lower wiring, 14 ... W via plug, 15 ... 2nd interlayer insulation film, 16 ... wiring groove, 17 ... damascene wiring, 20 ... barrier metal, 31, 34, 35, 37, 38 ... TaN 0.87 film, 32,33,36,39 ... TaN 1.19 film, 51, 52 ... niobium nitride (NbN x) film, 53, 54 ... tantalum oxynitride (TaO y N z) layer, 55 , 56, 57: titanium carbide (TiC x ) film, 61: interlayer insulating film, 62: damascene wiring, 63: via hole, 64: wiring groove, 65: barrier metal, 65: wiring groove, 66: dual damascene wiring, 71, 72 ... tungsten nitride (WN x) layer, 73, 74 ... tantalum carbonitride (TaC y N z) layer, 75, 76, 77 ... titanium boride nitride film (TiB x N y) film, 81 , 82 ... hafnium nitride (HfN x ) film, 83, 84: tantalum carbide (TaC x ) film, 85, 86, 87: zirconia nitride (ZrN x ) film, 131: TaN x (x> 0.2) film, 132: TaN y O z layer, 161 ... interlayer insulating film, 162 ... wiring groove, 163 ... TaN y O z layer, 164 ... TaN x film, 165 ... Cu wiring, 166 ... silicon nitride film, 191 ... TaN y O z layer, 192 ... TaN x film, 200: copper oxide film

Claims (7)

金属配線を構成する元素の拡散を抑制するバリアメタルを具備する半導体装置であって、
前記バリアメタルは、金属元素から少なくとも一つの元素が選ばれたαと、ボロン,炭素,窒素から少なくとも一つの元素が選ばれたγとから構成された化合物膜αγx と、
前記α及びγと、酸素(O)とから構成された化合物膜αγy z とが積層された構成を含むことを特徴とする半導体装置。
A semiconductor device comprising a barrier metal that suppresses diffusion of an element constituting a metal wiring,
The barrier metal, and α at least one element selected from metal elements, boron, carbon, and compound film Arufaganma x in which at least one element is composed of a γ selected from nitrogen,
A semiconductor device having a configuration in which a compound film αγ y O z composed of α and γ and oxygen (O) is stacked.
前記xは、0.2以上であることを特徴とする請求項1に記載の半導体装置。   2. The semiconductor device according to claim 1, wherein x is 0.2 or more. 前記化合物膜αγy z の膜厚は、3nm以下であることを特徴とする請求項1に記載の半導体装置。 2. The semiconductor device according to claim 1, wherein the thickness of the compound film αγ y O z is 3 nm or less. 前記金属元素は、IVB族,VB族又はVIB族の何れかに属することを特徴とする請求項1に記載の半導体装置。   2. The semiconductor device according to claim 1, wherein the metal element belongs to any one of a group IVB, a group VB, and a group VIB. 基体上に、バリアメタルを介して金属配線が形成された半導体装置の製造方法であって、
前記基体上に化合物膜αγx を形成する工程と、
前記化合物膜αγx の表面を酸化して化合物膜αγy z を形成する工程と、
前記化合物膜αγy z 上に前記金属配線を形成する工程とを含むことを特徴とする半導体装置の製造方法。
A method for manufacturing a semiconductor device in which metal wiring is formed on a base via a barrier metal,
Forming a compound film αγ x on the substrate,
Oxidizing the surface of the compound film αγ x to form a compound film αγ y O z ;
Forming the metal wiring on the compound film αγ y O z .
酸素(O)を含む基体上にバリアメタルを形成する半導体装置の製造方法であって、
前記基体上に、金属元素から少なくとも一つの元素が選ばれたαと、ボロン,炭素,窒素のうち少なくとも1種を含むγとから構成された化合物膜αγx を形成する工程と、
前記化合物膜αγx により前記基体の還元を行うことによって、該化合物膜αγx を酸化して、該化合物膜αγx と該基体との界面に化合物膜αγy z を形成する工程とを含み、
前記化合物膜αγx と前記化合物膜αγy z とが順次積層された構成を含むバリアメタルを形成することを特徴とする半導体装置の製造方法。
A method for manufacturing a semiconductor device, wherein a barrier metal is formed on a substrate containing oxygen (O),
Forming a compound film αγ x composed of α on which at least one element is selected from metal elements and γ containing at least one of boron, carbon, and nitrogen on the base;
By performing the reduction of the substrate by the compound film αγ x, and a step of oxidizing the said compound film αγ x, to form a compound film αγ y O z at the interface between the compound layer Arufaganma x and said substrate ,
The method of manufacturing a semiconductor device, which comprises forming a barrier metal including a configuration wherein the compound film Arufaganma x and the compound film αγ y O z are sequentially laminated.
酸素(O)を含む金属配線を形成する工程と、
前記金属配線上に、金属元素から少なくとも一つの元素が選ばれたαとボロン,炭素,窒素のうち少なくとも1種を含むγとから構成された化合物膜αγx を形成する工程と、
前記化合物膜αγx により前記金属配線の還元を行うことによって、該化合物膜αγx を酸化して、該化合物膜αγx と該金属配線との界面に化合物膜αγy z を形成する工程とを含み、
前記化合物膜αγx と前記化合物膜αγy z とが順次積層された構成を含むバリアメタルを形成することを特徴とする半導体装置の製造方法。
Forming a metal wiring containing oxygen (O);
Forming a compound film αγ x composed of α on which at least one element is selected from metal elements and γ containing at least one of boron, carbon, and nitrogen on the metal wiring;
By performing the reduction of the metal wiring by the compound film αγ x, a step of oxidizing the compound film αγ x, to form a compound film αγ y O z at the interface between the compound layer Arufaganma x and the metal wire Including
The method of manufacturing a semiconductor device, which comprises forming a barrier metal including a configuration wherein the compound film Arufaganma x and the compound film αγ y O z are sequentially laminated.
JP2004151842A 2004-05-21 2004-05-21 Semiconductor device and manufacturing method therefor Pending JP2004289174A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2004151842A JP2004289174A (en) 2004-05-21 2004-05-21 Semiconductor device and manufacturing method therefor

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2004151842A JP2004289174A (en) 2004-05-21 2004-05-21 Semiconductor device and manufacturing method therefor

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP21598898A Division JP2000049116A (en) 1998-07-30 1998-07-30 Semiconductor device and manufacture of the same

Publications (1)

Publication Number Publication Date
JP2004289174A true JP2004289174A (en) 2004-10-14

Family

ID=33297077

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004151842A Pending JP2004289174A (en) 2004-05-21 2004-05-21 Semiconductor device and manufacturing method therefor

Country Status (1)

Country Link
JP (1) JP2004289174A (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009194009A (en) * 2008-02-12 2009-08-27 Fujitsu Microelectronics Ltd Semiconductor device, and method of manufacturing the same
JP2010199601A (en) * 2006-07-21 2010-09-09 Toshiba Corp Semiconductor device
JP2011187898A (en) * 2010-03-11 2011-09-22 Fujitsu Ltd Semiconductor device and method of manufacturing the same

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010199601A (en) * 2006-07-21 2010-09-09 Toshiba Corp Semiconductor device
JP2009194009A (en) * 2008-02-12 2009-08-27 Fujitsu Microelectronics Ltd Semiconductor device, and method of manufacturing the same
US7816279B2 (en) 2008-02-12 2010-10-19 Fujitsu Semiconductor Limited Semiconductor device and method for manufacturing the same
US8497208B2 (en) 2008-02-12 2013-07-30 Fujitsu Semiconductor Limited Semiconductor device and method for manufacturing the same
JP2011187898A (en) * 2010-03-11 2011-09-22 Fujitsu Ltd Semiconductor device and method of manufacturing the same

Similar Documents

Publication Publication Date Title
JP2000049116A (en) Semiconductor device and manufacture of the same
JP4591084B2 (en) Copper alloy for wiring, semiconductor device, and method for manufacturing semiconductor device
US6150270A (en) Method for forming barrier layer for copper metallization
US9343402B2 (en) Semiconductor device having Ti- and N-containing layer, and manufacturing method of same
JP2007059660A (en) Semiconductor device and manufacturing method thereof
US20080012134A1 (en) Metal interconnection structures and methods of forming the same
JP2009231497A (en) Semiconductor device and manufacturing method therefor
JP2008047719A (en) Method for manufacturing semiconductor device
JP4498391B2 (en) Manufacturing method of semiconductor device
US20080042281A1 (en) Semiconductor device and semiconductor device fabrication method
JP2004214654A (en) Wiring of semiconductor device having double capping film and its forming method
JP5481989B2 (en) Manufacturing method of semiconductor device
US6569756B1 (en) Method for manufacturing a semiconductor device
JP2004506814A (en) Sputtering target
US6069072A (en) CVD tin barrier layer for reduced electromigration of aluminum plugs
US7531902B2 (en) Multi-layered metal line of semiconductor device having excellent diffusion barrier and method for forming the same
JP2005525694A (en) Thin film, structure having thin film, and method of forming thin film
US20030227068A1 (en) Sputtering target
JP4005295B2 (en) Manufacturing method of semiconductor device
JP2004289174A (en) Semiconductor device and manufacturing method therefor
WO2002037558A1 (en) Semiconductor device and its manufacturing method
US7144812B2 (en) Method of forming copper wire
JP4527393B2 (en) Cu-based alloy wiring for semiconductor device and manufacturing method thereof
JP2008147252A (en) Semiconductor device and method for manufacturing the same
JP2000040672A (en) Manufacture of semiconductor device

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080129

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080318

A02 Decision of refusal

Effective date: 20080415

Free format text: JAPANESE INTERMEDIATE CODE: A02