JP2004115872A - Substrate treatment device and substrate treatment method - Google Patents

Substrate treatment device and substrate treatment method Download PDF

Info

Publication number
JP2004115872A
JP2004115872A JP2002281628A JP2002281628A JP2004115872A JP 2004115872 A JP2004115872 A JP 2004115872A JP 2002281628 A JP2002281628 A JP 2002281628A JP 2002281628 A JP2002281628 A JP 2002281628A JP 2004115872 A JP2004115872 A JP 2004115872A
Authority
JP
Japan
Prior art keywords
substrate
holding
rotation
holding members
wafer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2002281628A
Other languages
Japanese (ja)
Inventor
Yasuhiro Kurata
倉田 康弘
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Dainippon Screen Manufacturing Co Ltd
Original Assignee
Dainippon Screen Manufacturing Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Dainippon Screen Manufacturing Co Ltd filed Critical Dainippon Screen Manufacturing Co Ltd
Priority to JP2002281628A priority Critical patent/JP2004115872A/en
Priority to US10/623,927 priority patent/US7018555B2/en
Publication of JP2004115872A publication Critical patent/JP2004115872A/en
Priority to US11/120,242 priority patent/US7241362B2/en
Priority to US11/332,637 priority patent/US7413628B2/en
Pending legal-status Critical Current

Links

Images

Landscapes

  • ing And Chemical Polishing (AREA)
  • Weting (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

<P>PROBLEM TO BE SOLVED: To hold/release a substrate by a holding member with a simple constitution, and to switch a state of holding/releasing the substrate and a state of maintaining a holding state or a releasing state. <P>SOLUTION: A substrate treatment device comprises a spin chuck 1 which is rotated while holding a wafer W, a plurality of holding pins 21 for holding/releasing the wafer W, and an interlocking mechanism to interlock the operations of the plurality of holding pins 21 with the rotation of the spin chuck 1. The interlocking mechanism comprises a cam member 30 having a cam surface 31 uneven in a direction parallel to a rotary shaft 12 of the spin chuck 1, a cam follower 25 to be vertically moved when moved on the cam surface 31 as the spin chuck 1 is rotated, and an operation conversion mechanism 20 to convert the operation of the cam follower 25 into the holding/releasing operation of the holding pins 21. By vertically moving the cam member 30, the interlocking/non-interlocking of the rotation of the spin chuck 1 with the operation of the holding pins 21 can be switched. <P>COPYRIGHT: (C)2004,JPO

Description

【0001】
【発明の属する技術分野】
この発明は、基板を回転させながら当該基板に対して処理(とくに処理流体による処理)を施す基板処理装置および基板処理方法に関する。処理対象の基板には、半導体ウエハ、液晶表示装置用ガラス基板、プラズマディスプレイパネル用ガラス基板、光ディスク用基板、磁気ディスク用基板、光磁気ディスク用基板およびフォトマスク用基板等の各種の基板が含まれる。
【0002】
【従来の技術】
半導体装置の製造工程においては、半導体ウエハ(以下、単に「ウエハ」という。)の表面および周端面(場合によってはさらに裏面)の全域に銅薄膜などの金属薄膜を形成した後、この金属薄膜の不要部分をエッチング除去する処理が行われる場合がある。たとえば、配線形成のための銅薄膜は、ウエハの表面のデバイス形成領域に形成されていればよいから、ウエハの表面の周縁部(たとえば、ウエハの周端から幅5mm程度の部分)、裏面および周端面に形成された銅薄膜は不要となる。そればかりでなく、周縁部、裏面および周端面の銅または銅イオンは、基板処理装置に備えられた基板搬送ロボットのハンドを汚染し、さらにこの汚染が当該ハンドによって保持される別の基板へと転移するという問題を引き起こす。
【0003】
同様の理由から、基板周縁に形成された金属膜以外の膜(酸化膜や窒化膜など)を薄くエッチングすることによって、その表面の金属汚染物(金属イオンを含む)を除去するための処理が行われることがある。
ウエハの周縁部および周端部の薄膜を選択的にエッチングするための基板周縁処理装置は、たとえば、ウエハを水平に保持して回転するスピンチャックと、このスピンチャックの上方においてウエハ上の空間を制限する遮断板と、ウエハの下面にエッチング液を供給するエッチング液供給ノズルとを含む。ウエハの下面に供給されたエッチング液は、遠心力によってウエハの下面を伝わってその回転半径方向外方へと向かい、ウエハの周端面を伝ってその上面に回り込み、このウエハの上面の周縁部の不要物をエッチングする。このとき、遮断板は、ウエハの上面に近接して配置され、この遮断板とウエハとの間には、窒素ガス等の不活性ガスが供給される。
【0004】
この不活性ガスの流量、遮断板とウエハとの距離およびスピンチャックの回転数を適切に調整することによって、エッチング液の回り込み量を調整できるので、ウエハ上面の周縁部の所定幅(たとえば1〜7mm)の領域を選択的にエッチング処理することができる(いわゆるベベルエッチング処理)。
ウエハの下面からエッチング液を供給する関係で、スピンチャックには、複数の挟持ピンでウエハの周縁部を挟持する構成のメカニカルチャックが用いられる。しかし、挟持ピンがウエハの周縁部の同一箇所に終始接触していると、挟持ピンの陰になる箇所についてはウエハの周縁部の処理を行うことができない。
【0005】
そこで、下記特許文献1に開示されているように、スピンチャックを回転している途中で挟持ピンによる挟持を一時的に緩和または解除し、スピンチャックとウエハとの相対回転を生じさせることにより、挟持ピンによる挟持位置をずらす構成が提案されている。
【0006】
【特許文献1】
特開2001−118824号公報
【特許文献2】
特開平4−186626号公報
【0007】
【発明が解決しようとする課題】
しかし、上記の先行技術では、挟持ピンを駆動するために、スピンベース内にエアシリンダおよびリンク機構を組み込み、スピンベースに駆動用の圧縮空気を供給する構成をとっているため、構成が複雑であり、それに応じて、挟持ピンによる挟持/解放動作が安定しないという問題があった。
また、上記のような構成では、スピンチャックに対してウエハを相対回転させるときに、挟持ピンとウエハの周端面とが擦れ合うから、挟持ピンの摩耗が生じるという問題がある。
【0008】
さらに、スピンチャックに対するウエハの相対回転量を正確に制御することができないから、ウエハの回転位置を管理することができない。
また、処理中に挟持ピンによるウエハの挟持を解除すると、ウエハと遮断板との間の微小な間隙を一定に保持することができず、これにより、ウエハの裏面からのエッチング液の回り込み量を正確に制御することができなくなる。
そこで、この発明の目的は、簡単な構成で、基板の回転中に、挟持部材による基板の挟持/解放を行うことができる基板処理装置および基板処理方法を提供することである。
【0009】
また、この発明の他の目的は、挟持部材による基板の挟持/解放を行う状態と基板を挟持状態または解放状態に保持する状態とを切り換えることができる基板処理装置および基板処理方法を提供することである。
この発明のさらに他の目的は、部品の摩耗の問題を軽減でき、基板を安定に保持して回転させることができ、これにより高品質な基板処理を可能とした基板処理装置および基板処理方法を提供することである。
【0010】
また、この発明のさらに他の目的は、基板の回転位置の管理が容易な基板処理装置および基板処理方法を提供することである。
さらに、この発明の他の目的は、基板の周縁部に対する処理を良好に行うことができる基板処理装置および基板処理方法を提供することである。
また、この発明のさらに他の目的は、基板処理中に、基板を終始安定に保持することができる基板処理装置および基板処理方法を提供することである。
【0011】
【課題を解決するための手段および発明の効果】
上記の目的を達成するための請求項1記載の発明は、基板(W)を保持しつつ所定の回転軸まわりに回転する基板回転部材(1)と、この基板回転部材に設けられ、基板を挟持/解放可能な複数の挟持部材(21,21−1〜21−6,21A,21B,21C,21D,21a,21b,21c)と、これらの複数の挟持部材の挟持/解放動作を上記基板回転部材の回転と連動させる連動機構(20,30)とを含み、上記連動機構が、上記回転軸と平行な方向に関して凹凸を有し、上記基板回転部材の回転軸を周回する形状のカム面(31)を有するカム部材(30)と、上記基板回転部材に設けられ、上記カム部材のカム面に当接し、上記基板回転部材と上記カム部材との相対回転に伴って上記カム面上を移動することにより上記回転軸にほぼ平行な方向に運動するカムフォロワ(25)を備え、このカムフォロワの運動を上記複数の挟持部材の挟持/解放動作に変換する動作変換機構(20)とを有するものであることを特徴とする基板処理装置である。なお、括弧内の英数字は後述の実施形態における対応構成要素等を表す。以下、この項において同じ。
【0012】
この構成によれば、基板回転部材が回転軸まわりに回転すると、この基板回転部材とカム部材との相対回転に伴って、カムフォロワがカム部材のカム面上を周回移動し、その結果、カムフォロワは回転軸に沿って運動する。このカムフォロワの運動は、基板回転部材に設けられた複数の挟持部材の動作に変換される。このような簡単な構成によって、基板を回転させている状態で、挟持部材による基板の挟持/解放を行わせることができる。
【0013】
複数の挟持部材は、同時に基板を挟持し、かつ同時に基板を解放するように動作してもよい。たとえば、すべての挟持部材が基板の挟持を解放している状態で基板回転部材の回転を加速または減速することにより、基板回転部材に対する基板の相対回転を生じさせることができる。これにより、挟持部材による基板の挟持位置を変更することができる。
ただし、このような構成を採用すると、挟持部材と基板との摺接に起因して挟持部材が摩耗するので、基板回転部材に対する基板の相対回転が生じないようにする方が好ましい。
【0014】
請求項2記載の発明は、上記カム面と上記カムフォロワとの関係が、上記複数の挟持部材のうちの少なくとも一対の挟持部材による基板の挟持/解放をタイミングをずらして生じさせるように定められていることを特徴とする請求項1記載の基板処理装置である。
この構成によれば、複数の挟持部材のうちの少なくとも一対の挟持/解放のタイミングがずれるから、いずれかの挟持部材で基板を挟持する一方で、別の挟持部材は基板の周端面から退避させることができる。したがって、複数の挟持部材を順次基板の周端面から退避させていけば、基板の周縁部および周端面に対して処理流体(処理液または処理ガス)による処理を施すときに、基板の全周にわたって良好な処理を施すことができる。しかも、この場合には、基板回転部材に対して基板を相対回転させる必要がないので、挟持部材の摩耗を抑制することができる。
【0015】
さらに、基板が終始いずれかの挟持部材によって挟持されるようにしておけば、基板の保持を、その回転期間中終始安定に行うことができる。したがって、たとえば、基板の表面に遮断板を近接させた状態で基板表面に対する処理を行う場合には、遮断板と基板表面との間の間隔を終始厳密に制御できる。これによって、基板に対する処理品質を向上することができる。
さらに、基板の回転中、基板が終始いずれかの挟持部材によって保持され、基板回転部材に対する基板の相対回転を生じないようにすることによって、基板の回転位置の管理を容易に行える。
【0016】
請求項3記載の発明は、上記基板回転部材と上記カム部材のカム面との間の上記回転軸に沿う方向の距離を変化させることにより、上記連動機構を作動モードと不作動モードとの間で切り換えるモード切り換え手段(43,50)をさらに含むことを特徴とする請求項1または2記載の基板処理装置である。
この構成によれば、基板回転部材とカム部材のカム面との間の距離を変化させることにより、カムフォロワとカム面とが接触しない状態としたり、カムフォロワがカム面上を移動することにより挟持部材が挟持/解放動作する状態としたり、カム面と基板回転部材とを近接させて、たとえば挟持部材が終始解放状態保持にされるようにしたりすることができる。このようにして、基板回転部材の回転に連動して挟持部材の挟持/解放が生じる作動モードと、このような連動が生じない不作動モードとの間で、連動機構の動作モードを切り換えることができる。
【0017】
たとえば、基板回転部材に対する基板の搬入または搬出を行うときは、基板回転部材の回転位置によらずに挟持部材が解放状態に維持されることが好ましいから、このような状態となり得る不作動モードとすればよい。また、とくに、基板回転部材に保持された基板の周縁部に対して処理流体による処理を施すときには、連動機構を作動モードとして、挟持部材による基板の挟持を開閉させることが好ましい。また、たとえば処理液によって基板を処理した後に、基板を高速回転させてその表面の処理液を振り切って乾燥する乾燥処理を行うときには、挟持部材が挟持状態に維持されるように、連動機構を不作動モードとすることが好ましい。
【0018】
請求項4記載の発明は、基板を保持しつつ回転する基板回転部材(1)と、この基板回転部材に設けられ、基板を挟持/解放可能な複数の挟持部材(21,21−1〜21−6,21A,21B,21C,21D,21a,21b,21c)と、これらの複数の挟持部材の挟持/解放動作を上記基板回転部材の回転と連動させるとともに、上記複数の挟持部材のうちの少なくとも一対の挟持部材による基板の挟持/解放をタイミングをずらして生じさせる連動機構(20,30)と、この連動機構を作動モードと不作動モードとで切り換えるモード切り換え手段(43,50)とを含むことを特徴とする基板処理装置である。
【0019】
この構成により、請求項2および請求項3に関連して説明した効果と同様な効果を達成できる。
請求項5記載の発明は、上記モード切り換え手段は、上記複数の挟持部材が上記基板回転部材の回転に連動して基板を挟持/解放する作動モードと、上記複数の挟持部材を挟持状態で保持する不作動モードとの間で上記連動機構の動作モードを切り換えるものであることを特徴とする請求項3または4記載の基板処理装置である。
【0020】
この構成によれば、作動モードにおいて挟持部材による基板の挟持/解放が生じ、不作動モードにおいて挟持部材が挟持状態に保持される。これにより、たとえば基板の周縁部に処理流体を供給し、この周縁部の処理を行うときには、作動モードとし、その後に基板表面の処理液を振り切って乾燥するときには不作動モードとすることにより、基板の周縁部の処理を良好に行うことができ、かつ、乾燥工程における基板の保持を安定に行うことができる。
【0021】
請求項6記載の発明は、上記切り換え手段は、上記複数の挟持部材が上記基板回転部材の回転に連動して基板を挟持/解放動作させる作動モードと、上記複数の挟持部材を挟持状態に保持する第1不作動モードと、上記複数の挟持部材を解放状態に保持する第2不作動モードとのいずれかに、上記連動機構の動作モードを切り換えるものであることを特徴とする請求項3または4記載の基板処理装置である。
【0022】
この構成によれば、基板に処理流体を供給して処理を行うときには作動モードとし、たとえば、基板回転部材の回転を加速または減速するときや、基板表面の処理液の排除等のために基板回転部材を高速回転するときには第1不作動モードとし、基板回転部材に対する基板の相対回転を生じさせたいときや、基板回転部材に対する基板の搬入または搬出を行うときには第2不作動モードとすればよい。
【0023】
請求項7記載の発明は、上記作動モードは、上記複数の挟持部材の一部によって基板を保持する第1基板保持状態と、上記複数の挟持部材の別の一部によって基板を保持する第2基板挟持状態と、第1基板挟持状態と第2基板挟持状態との間での切り換えの際に上記複数の挟持部材の全てによって基板を挟持する中間挟持状態とを含むことを特徴とする請求項3ないし6のいずれかに記載の基板処理装置である。
【0024】
この発明によれば、作動モードにおいて、複数の挟持部材はそれらの一部により基板を保持する第1基板保持状態と、それらのうちの別の一部によって基板を保持する第2基板保持状態との間で切り換えられ、これにより、たとえば基板の周縁部に処理流体を供給する場合に、この処理流体を基板の周縁部の全域に良好に供給できる。さらに、第1基板保持状態と第2基板保持状態と間の切り換えの際に、複数の挟持部材のすべてによって基板を挟持する中間挟持状態が存在するから、基板を保持する挟持部材の切り換えの際に、基板の保持が不安定になることがない。これにより、たとえば、基板の表面に遮断板を近接させた状態で処理を行う場合に、遮断板と基板との間の距離を基板処理期間中終始安定に保持することができる。また、上記中間挟持状態が存在することによって、基板回転部材に対する基板の相対回転を確実に阻止することができるから、そのような相対回転に起因する挟持部材の摩耗を抑制することができる。
【0025】
請求項8記載の発明は、上記連動機構は、上記作動モードにおいて、上記複数の挟持部材の挟持/解放の周期を変化させる周期変更手段(50)を含むものであることを特徴とする請求項1ないし7のいずれかに記載の基板処理装置である。
この構成により、挟持部材の挟持/解放の周期を変更できるから、たとえば処理流体による基板の周縁部の処理に悪影響を与えない速さで挟持部材の挟持/解放を行わせることができる。
【0026】
たとえば、上記カム部材が上記カム面を上記回転軸まわりに回転させることができるように設けられており、このカム面を回転軸まわりに回転させるように上記カム部材を回転駆動するカム回転駆動機構(38)が備えられている場合には、上記周期変更手段は、上記カム回転駆動機構を制御してカム部材の回転速度を可変制御するカム回転制御手段(50)によって構成することができる。
請求項9記載の発明は、上記基板回転部材に保持された基板の周縁部にエッチング液を供給するエッチング液供給手段(15,16,18)をさらに含むことを特徴とする請求項1ないし8のいずれかに記載の基板処理装置である。
【0027】
この構成によって、基板の周縁部に対してエッチング処理または洗浄処理を施すことができる。
請求項10記載の発明は、基板回転部材(1)により基板を保持しつつ回転させる基板回転工程と、上記基板回転部材に設けられた複数の挟持部材(21,21−1〜21−6,21A,21B,21C,21D,21a,21b,21c)による挟持/解放動作を上記基板回転部材の回転と連動させるとともに、上記複数の挟持部材のうちの少なくとも一対の挟持部材による基板の挟持/解放をタイミングをずらして生じさせる基板挟持/解放工程と、上記複数の挟持部材による挟持/解放動作が上記基板回転部材の回転と連動する作動モードと、このような連動が生じない不作動モードとで切り換えるモード切り換え工程とを含むことを特徴とする基板処理方法である。
【0028】
この方法により、請求項2および3の発明に関連して述べた効果と同様な効果が得られる。
【0029】
【発明の実施の形態】
以下では、この発明の実施の形態を、添付図面を参照して詳細に説明する。
図1は、この発明の一実施形態に係る基板処理装置の構成を説明するための図解的な断面図である。この基板処理装置は、ほぼ円形の基板の一例である半導体ウエハ(以下、単に「ウエハ」という。)Wをほぼ水平に保持して、その中心を通る鉛直軸線まわりに回転させるスピンチャック1と、このスピンチャック1の上方に配置され、スピンチャック1に保持されたウエハWの上面に近接配置させることができるウエハ対向面2aを備えた遮断板2とを有している。遮断板2は、ほぼ円盤状に形成されていて、ウエハ対向面2aは、ウエハWよりも径の若干小さな円形に形成されている。遮断板2の上面には鉛直方向に沿った回転軸3が結合されており、この回転軸3には、遮断板回転駆動機構4からの回転力が与えられるようになっている。また、遮断板2をスピンチャック1に対して昇降させるために、遮断板昇降駆動機構5が設けられている。
【0030】
回転軸3は、中空軸となっており、その内部に処理液供給管6が挿通されている。この処理液供給管6の先端は、遮断板2の中央に形成された貫通孔を挿通して、スピンチャック1に保持されたウエハWの上面の中央に対向する位置まで延び、処理液供給ノズル7を形成している。処理液供給管6には、処理液供給バルブ8を介して、純水等の処理液を供給できるようになっている。
回転軸3の内部において、回転軸3の内壁と処理液供給管6との間には、不活性ガス供給通路9が形成されている。この不活性ガス供給通路9には、不活性ガス供給バルブ10を介して、窒素ガス等の不活性ガスが供給されるようになっている。
【0031】
スピンチャック1は、円盤状のスピンベース11と、スピンベース11の下面の中央部に鉛直方向に沿って結合された中空の回転軸12と、この回転軸12に回転駆動力を与えるチャック回転駆動機構13とを備えている。回転軸12には、処理液供給管15が挿通されており、この処理液供給管15には、エッチング液供給源からのエッチング液がエッチング液供給バルブ16を介して供給され、また、純水供給源からの純水が純水供給バルブ17を介して供給できるようになっている。処理液供給管15は、スピンベース11の上面まで延びていて、その先端部は、スピンチャック1に保持されたウエハWの下面の中央に向けてエッチング液または純水を供給する処理液供給ノズル18を形成している。
【0032】
スピンベース11の上面には、ウエハWの下面の周縁部を支持する支持ピン19が、スピンベース11の周方向に沿って複数個(たとえば等角度間隔で3個)設けられている。また、スピンベース11には、ウエハWの周端面に当接して支持ピン19上に保持されたウエハWの周端面に当接して、このウエハWを挟持するための複数本の挟持ピン21が取り付けられている。この実施形態では、挟持ピン21は、スピンベース11の周方向に沿って、等角度間隔で6本設けられている。
【0033】
挟持ピン21によるウエハWの挟持/解放を切り換えるために、各挟持ピン21に関連して動作変換機構20が設けられている。より具体的には、動作変換機構20は、一端に上記挟持ピン21が結合され、他端にカムフォロワとしてのコロ25が回転可能に取り付けられた揺動レバー24を備えている。この揺動レバー24は、スピンベース11の下面に固定されたブラケット22に支持されている支軸23に対して回転可能に結合されている。支軸23は、スピンベース11の回転半径方向に直交する水平方向に沿っている。したがって、揺動レバー24はスピンベース11の回転半径方向を含む鉛直面内で揺動可能となっている。
【0034】
揺動レバー24において支軸23とコロ25との間の位置には、挟持ピン21を挟持状態に付勢するための付勢手段としての圧縮コイルばね26がスピンベース11の下面との間に配置されている。すなわち、圧縮コイルばね26は、揺動レバー24をスピンベース11の回転半径方向内方側の位置において押し下げるように付勢し、これにより、揺動レバー24の支軸23よりも回転半径方向外方側の部分が押し上げられるから、挟持ピン21は、回転半径方向内方へと向かうように付勢されることになる。
【0035】
コロ25は、揺動レバー24の端部に、揺動レバー24の軸まわりに回転可能に設けられている。このコロ25の下方には、回転軸12を取り囲むように、カム部材30が設けられている。このカム部材30は、コロ25に対向する上面に、回転軸12のまわりを周回する形状のカム面31を有している。より具体的には、カム部材30は、回転軸12まわりに回転可能に設けられ、回転軸12がその内部を挿通している筒状の本体部32と、この本体部32の上端において回転半径方向外方側へと張り出したフランジ部33とを備えていて、このフランジ部33の上面にカム面31が設けられている。
【0036】
筒状の本体部32は、回転軸12の方向に沿って間隔をあけて外嵌された一対対の軸受け35,36を介してブラケット37に結合されている。このブラケット37には、カム部材30を回転軸12のまわりに回転駆動するための駆動力を発生するカム部材回転駆動機構としてのモータ38が取り付けられている。このカム部材回転用モータ38の回転力は、モータプーリ39およびタイミングベルト40を介して、筒状の本体部32の下端に結合されたプーリ41に伝達されるようになっている。
【0037】
一方、ブラケット37には、たとえば多段エアシリンダやボールねじ機構によって構成されるカム部材昇降駆動機構43が結合されていて、このカム部材昇降駆動機構43を動作させてブラケット37を昇降させることにより、カム部材30を回転軸12に沿って上下動させることができるようになっている。
図2(A)は、カム面31の構成を説明するための平面図であり、図2(B)は、カム面31の周方向に沿う切断面線IIA−IIAにおける断面図である。カム面31には、周方向に間隔をあけて、複数箇所(この実施形態では等間隔で3箇所)に、上方(回転軸と平行な方向)に突出した凸部45(図中斜線を付して示す。)が形成されている。そして、隣り合う凸部45,45の間の谷部46は平坦面をなしている。
【0038】
この実施形態では、谷部46を形成する平坦面は、60度よりも大きな角度範囲にわたって形成されており、この結果、凸部45は、60度よりも少ない角度範囲に延在して形成されている。凸部45は、周方向に沿うなだらかな勾配の登り斜面および下り斜面によって形成された山形状をしており、3箇所に形成された凸部45の頂部は、等角度間隔(すなわち120度間隔)で、カム面31上に存在している。
【0039】
6本の挟持ピン21−1〜21−6がカム面31に対して図2(A)に示す相対配置にあるとき、カム面31が適切な高さにあれば、挟持ピン21−1,21−3,21−5に対応した動作変換機構20のコロ25(図1参照)は、回転軸12に沿って上方に押し上げられ、その結果、挟持ピン21−1,21−3,21−5は、ウエハWの周端面から退避し、ウエハWの挟持を解放した解放状態となる。これに対して、谷部46に対応した位置にある挟持ピン21−2,21−4,21−6については、それらに対応する動作変換機構20のコロ25がカム面31からの上方への押し上げ力を受けないので、圧縮コイルばね26の付勢力によって、ウエハWの周端面に当接した挟持状態となっている。
【0040】
このような状態から、スピンチャック1とカム部材30とを回転軸12まわりに相対回転させると、挟持ピン21−1〜21−6と凸部45との位置関係が変動する。その結果、3本の挟持ピン21−1,21−3,21−5の組がウエハWを挟持して保持する第1保持状態と、別の3本の挟持ピン21−2,21−4,21−6の組がウエハWを挟持して保持する第2保持状態との間で交互に切り換わることになる。上記のとおり、谷部46を形成する平坦面は、60度よりも大きな角度範囲にわたって形成されているから、第1保持状態と第2保持状態との間での切り換わりに際し、すべての挟持ピン21−1〜21−6がウエハWを挟持する中間挟持状態が存在することになる。
【0041】
カム面31の高さは、カム部材昇降駆動機構43を作動させて変更することができる。これにより、カム面31を十分低い位置(全閉位置)に配置すれば、カム面31の凸部45がいずれの動作変換機構20のコロ25とも接触しない状態とすることができる。これにより、すべての挟持ピン21−1〜21−6が挟持状態(閉状態)となり、スピンチャック1とカム部材30との相対回転に拘わらず、ウエハWを終始挟持する第1不作動モードとすることができる。
【0042】
また、カム面31の高さを、凸部45がコロ25を押し上げ、谷部46においてはコロ25の押し上げが生じない中間高さ(開閉位置)に設定すると、スピンチャック1とカム部材30との相対回転に伴って、すなわち、スピンチャック1の回転に連動して、挟持ピン21−1〜21−6の開閉が生じる作動モードとすることができる。
さらに、カム面31の高さを凸部45および谷部46のいずれにおいてもコロ25の押し上げが生じる十分な高さ(全開位置)に設定すれば、スピンチャック1とカム部材30との相対回転によらずにすべての挟持ピン21−1〜21−6は、ウエハWの周端面から退避した解放状態(閉状態)に保持され、第2不作動モードとすることができる。
【0043】
チャック回転駆動機構13、カム部材回転用モータ38、カム部材昇降駆動機構43、遮断板回転駆動機構4および遮断板昇降駆動機構5の動作は、制御部50によって制御されるようになっている。また、処理液供給バルブ8、不活性ガス供給バルブ10、エッチング液供給バルブ16および純水供給バルブ17の開閉も、制御部50によって制御されるようになっている。
未処理のウエハWを搬入するときは、遮断板昇降駆動機構5が制御部50によって制御されることにより、遮断板2は、スピンチャック1の上方に退避した状態とされる。また、制御部50は、カム部材昇降駆動機構43を制御することにより、カム部材30を全開位置まで上昇させ、挟持ピン21−1〜21−6のすべてが解放状態となる上記第2不作動モードとする。この状態で、搬送ロボット(図示せず)によって、スピンベース11上の支持ピン19に、未処理のウエハWが載置される。このとき、ウエハWは、たとえば、そのデバイス形成面(活性面)が、遮断板2に対向する上面とされる。
【0044】
次に、制御部50は、カム部材昇降駆動機構43を制御することによって、カム部材30を、全閉位置まで下降させ、上記第1不作動モードとする。したがって、すべての挟持ピン21によってウエハWが安定に保持されることになる。さらに、制御部50は、遮断板昇降駆動機構5を制御することにより、遮断板2をスピンチャック1に向けて下降させ、ウエハ対向面2aをウエハWの上面に近接した位置に導く。
【0045】
この状態で、制御部50は、遮断板回転駆動機構4およびチャック回転駆動機構13を制御することによって、スピンチャック1および遮断板2を、たとえば同方向にほぼ同回転速度で同期回転させる。それとともに、制御部50は、不活性ガス供給バルブ10を開いて、ウエハWの上面に不活性ガスを供給させる。また、制御部50は、エッチング液供給バルブ16を開き、処理液供給ノズル18からウエハWの下面の中央に向けてエッチング液を吐出させる。
【0046】
また、制御部50は、カム部材回転用モータ38を制御し、スピンチャック1とカム部材30との間で所定速度での相対回転が生じるように、カム部材30を回転させる。たとえば、カム部材30は、スピンチャック1と同方向に回転され、スピンチャック1とカム部材30との回転速度の差が10rpm〜300rpm(より好ましくは約60rpm)とされることが好ましい。
スピンチャック1が、所定の回転速度まで加速されて等速回転状態になると、制御部50は、カム部材昇降駆動機構43を制御することにより、カム部材30を上昇させて、中間高さである上記開閉位置に導く。この開閉位置においては、コロ25はカム面31の凸部45によって押し上げられるが、谷部46による押し上げは生じない。これにより、120度の角度間隔で配置された3本の挟持ピン21−1,21−3,21−5が同期して開閉動作を行い、同じく120度間隔で配置された残り3本の挟持ピン21−2,21−4,21−6が同期して開閉動作を行うことになる。そして、3本の挟持ピン21−1,21−3,21−5の組と、他の3本の挟持ピン21−2,21−4,21−6の組とは、交互に、すなわちタイミングをずらして開閉される。これにより、ウエハWを終始いずれかの挟持ピン21によって挟持した状態で、その挟持位置を切り換えることができる。
【0047】
処理液供給ノズル18からウエハWの下面中央に供給されたエッチング液は、遠心力を受けて、ウエハWの下面を伝ってその回転半径方向外方側へと向かい、ウエハWの周端面を回り込んで、その上面の周縁部へと至る。これによって、ウエハWの周端面および上面の周縁部から不要物をエッチング除去することができ、いわゆるベベルエッチング処理またはベベル洗浄処理を実現できる。このようなベベルエッチング処理またはベベル洗浄処理の期間中、挟持ピン21が開閉されて、ウエハWの挟持位置が変化するから、ウエハWの周端面および上面の周縁部の全域において、良好なエッチング処理を行うことができる。
【0048】
ウエハWの上面へのエッチング液の回り込み量は、スピンチャック1の回転速度、遮断板2とウエハWの上面との距離、およびウエハWの上面に供給される不活性ガスの流量によって制御される。
こうしてベベルエッチング処理が終了すると、制御部50はエッチング液供給バルブ16を閉じ、代わって純水供給バルブ17を開く。これにより、処理液供給ノズル18からは、ウエハWの下面の中央に向けて純水が供給されるので、ウエハWの表面からエッチング液を洗い流す純水リンス処理が行われる。このとき、必要に応じて、制御部50の制御により、処理液供給バルブ8を開いて、ウエハWの上面に純水を供給するようにしてもよい。
【0049】
このような純水リンス工程中においても、挟持ピン21−1,21−3,21−5と、挟持ピン21−2,21−4,21−6とは交互に開閉動作を行うので、ウエハWの全表面を良好にリンスすることができる。
こうしてリンス工程が終了すると、制御部50は、純水供給バルブ17を閉じ、また、処理液供給バルブ8を開いていた場合には、この処理液供給バルブ8を閉じる。その後、制御部50は、カム部材昇降駆動機構43を制御することによって、カム部材30を下方の全閉位置へと導き、さらに、モータ38を停止させる。これにより、すべての挟持ピン21がウエハWを挟持した状態となる。この状態で、制御部50は、チャック回転駆動機構13および遮断板回転駆動機構4を制御することによって、スピンチャック1および遮断板2の回転を加速し、ウエハWを高速回転させる。こうして、ウエハWの表面に残留する水分を遠心力によって除去する乾燥工程が行われる。この乾燥工程中には、全ての挟持ピン21−1〜21−6によってウエハWが安定に保持される。
【0050】
スピンチャック1を所定時間だけ高速回転させることによって乾燥工程が終了すると、制御部50は、遮断板回転駆動機構4およびチャック回転駆動機構13を制御して、スピンチャック1および遮断板2の回転を停止させる。さらに、制御部50は、遮断板昇降駆動機構5を制御することによって、遮断板2をスピンチャック1の上方の退避位置へと上昇させる。また、制御部50は、カム部材昇降駆動機構43を制御することによって、カム部材30を上昇させて全開位置へと導く。これにより、すべての挟持ピン21は解放状態となる。この状態で、搬送ロボットにより、支持ピン19上に支持されている処理済のウエハWが搬出される。
【0051】
以上のように、この実施形態の構成によれば、カム機構によって揺動レバー24を揺動させる簡単な構成によって、スピンチャック1の回転と連動させて挟持ピン21を開閉動作させることができる。また、3本の挟持ピン21−1,21−3,21−5と、他の3本の挟持ピン21−2,21−4,21−6とが交互にウエハWを挟持するようにしているから、スピンチャック1に対するウエハWの相対回転を生じさせることなく、ウエハWの周縁部および周端面の全域に対する良好な処理を実現できる。したがって、挟持ピン21の摩耗を抑制できる。
【0052】
しかも、3本の挟持ピン21−1,21−3,21−5によるウエハWの挟持状態(第1保持状態)と、他の3本の挟持ピン21−2,21−4,21−6によるウエハWの挟持状態(第2保持状態)との間の中間保持状態において、すべての挟持ピン21−1〜21−6によってウエハWが保持されるから、ウエハWは、終始いずれかの挟持ピン21によって安定に保持されていて、ウエハWの保持が不安定になる期間がない。よって、スピンチャック1からのウエハWの飛び出しが生じたりすることがなく、また、ウエハWと遮断板2との間の微少な間隔を確実に保持して、エッチング液の回り込み量を厳密に制御することができる。これによって、ウエハWの周縁部の処理を精密に行うことができる。
【0053】
また、この実施形態では、カム部材30を回転軸12まわりに回転可能としていて、その回転速度をスピンチャック1の回転速度とは独立して制御することができるので、挟持ピン21の開閉動作の時間間隔を適切に設定することができる。さらに、必要であれば、ウエハWの処理中において、挟持ピン21の開閉時間間隔を変動させることもできる。
さらに、カム部材30を昇降可能としていることによって、挟持ピン21が挟持状態に保持される第1不作動モードと、解放状態に保持される第2不作動モードと、挟持状態と解放状態との間で交互に切り換えられる作動モードとの間で切り換えることができる。このように、簡単な構成で、挟持ピン21の動作状態を切り換えることができる。
【0054】
以上、この発明の一実施形態について説明したが、この発明は他の形態で実施することもできる。たとえば、上記の実施形態では、カム面31には周方向に間隔をあけて3箇所に凸部45が設けられているが、図3(A)に示すようにカム面31上において4箇所に凸部45を設けたり、図3(B)に示すようにカム面31上において周方向に間隔をあけて6箇所に凸部45を設けたり、また図3(C)に示すように回転中心を挟んで対向する2箇所に凸部45を設けたりしてもよい。いずれの構成によっても、複数の挟持ピンを挟持状態と解放状態とで切り換えることができる。
【0055】
たとえば、図3(C)の構成の場合には、6本の挟持ピン21−1〜21−6のうち、回転軸を挟んで対向する1対の挟持ピンが順次解放状態とされ、残り4本の挟持ピンによってウエハWの保持が行われることになる。
また、図3(B)の構成の場合には、6本の挟持ピン21−1〜21−6の開閉が同時に生じることになる。スピンチャック1上において、ウエハWの相対回転を生じさせたい場合には、このような構成を採用するとよい。すなわち、挟持ピン21の摩耗がさほど問題でないのであれば、エッチング液等の処理液をウエハWに供給して処理を行っている期間中に、挟持ピン21を全開状態とし、かつスピンチャック1の回転を加速または減速させることにより、ウエハWのスピンチャック1に対する相対回転位置を変更するようにしてもよい。
【0056】
ただし、一般的には、カム面31上の凸部45の形状および配置は、カム部材30が上記開閉位置にあるときに、隣接する一対の挟持ピン21が同時に解放状態となることがないように定めることが好ましく、これにより、スピンチャック1に対するウエハWの相対回転を抑制できる。
また、上記の実施形態では、ウエハの周囲に等角度間隔で配置された6本の挟持ピン21によってウエハWを保持する構成について説明したが、ウエハWの保持が達成される限りにおいて、挟持ピンの本数は任意に定めることができる。
【0057】
さらに、ウエハWを挟持する挟持部材はピン形状を有している必要はなく、図4(A)(B)に示すように、ウエハWの周端面に一定の角度範囲で線接触するほぼ円弧形状の挟持部材21A,21B,21C,21D;21a,21b,21cを用いることができる。
たとえば、図4(A)の構成の場合、ほぼ90度の角度範囲においてウエハWの周端面にそれぞれ線接触することができる円弧形状の4個の挟持部材21A,21B,21C,21Dを等角度間隔で配置することにより、回転中心を挟んで対向する1対の挟持部材21A,21C;21B,21Dによって、ウエハWを挟持できる。そのため、エッチング液等による処理中に、1対の挟持部材21A,21Cと他の一対の挟持部材21B,21Dとを交互に開閉しても、ウエハWを安定に保持できる。
【0058】
また、図4(B)の構成の場合、ほぼ120度の角度範囲においてウエハWの周端面にそれぞれ線接触することができる円弧形状の3個の挟持部材21a,21b,21cが等角度間隔で配置されている。これらの挟持部材21a,21b,21cを一つずつ循環的に開閉させれば、ウエハWを約240度の角度範囲(すなわち、180度よりも大きい角度範囲)で安定に保持しつつ、ウエハWの周縁部に対する処理をくまなく良好に行える。
【0059】
さらに、上記の実施形態では、ウエハWの周縁部を保持するベベルエッチング処理またはベベル洗浄処理について説明したが、この発明の基板処理装置は、ベベルエッチング処理またはベベル洗浄処理以外にも、基板の全面に対してエッチング液等の処理液を供給する基板処理処理装置に対しても適用することができる。
また、上記の実施形態では、カム部材30が回転軸12まわりに回転可能に設けられているが、カム部材30を回転軸12まわりに非回転状態で設けてもよい。この場合、挟持ピン21の開閉の時間間隔は、スピンチャック1の回転速度にのみ依存することになる。
【0060】
さらに、上記の実施形態では、処理対象の基板としてウエハWを例にとったが、この発明は、光ディスク用基板や磁気ディスク用基板などの他の円形基板を処理する基板処理装置や、液晶表示装置用ガラス基板などの角形基板を処理する基板処理装置にも適用可能である。
その他、特許請求の範囲に記載された事項の範囲で種々の設計変更を施すことが可能である。
【図面の簡単な説明】
【図1】この発明の一実施形態に係る基板処理装置の構成を説明するための図解的な断面図である。
【図2】カム面の構成を説明するための図である。
【図3】カム面の他の構成例を示す平面図である。
【図4】挟持部材の変形例を示す平面図である。
【符号の説明】
1  スピンチャック
2  遮断板
2a ウエハ対向面
3  回転軸
4  遮断板回転駆動機構
5  遮断板昇降駆動機構
6  処理液供給管
7  処理液供給ノズル
8  処理液供給バルブ
9  不活性ガス供給通路
10  不活性ガス供給バルブ
11  スピンベース
12  回転軸
13  チャック回転駆動機構
13  回転駆動機構13
15  処理液供給管
16  エッチング液供給バルブ
17  純水供給バルブ
18  処理液供給ノズル
19  支持ピン
20  動作変換機構
21  挟持ピン
21−1〜21−6  挟持ピン
21a,21b,21c  挟持部材
21A,21B,21C,21D  挟持部材
22  ブラケット
23  支軸
24  揺動レバー
25  コロ
30  カム部材
31  カム面
32  本体部
33  フランジ部
35,36  軸受け
37  ブラケット
38  カム部材回転用モータ
39  モータプーリ
40  タイミングベルト
41  プーリ
43  カム部材昇降駆動機構
45  凸部
46  谷部
50  制御部
W   ウエハ
[0001]
TECHNICAL FIELD OF THE INVENTION
The present invention relates to a substrate processing apparatus and a substrate processing method for performing processing (in particular, processing with a processing fluid) on a substrate while rotating the substrate. Substrates to be processed include various substrates such as semiconductor wafers, glass substrates for liquid crystal display devices, glass substrates for plasma display panels, substrates for optical disks, substrates for magnetic disks, substrates for magneto-optical disks, and substrates for photomasks. It is.
[0002]
[Prior art]
In a manufacturing process of a semiconductor device, a metal thin film such as a copper thin film is formed on the entire surface of a semiconductor wafer (hereinafter, simply referred to as a “wafer”) and a peripheral end surface (and, in some cases, a back surface). In some cases, a process of removing unnecessary portions by etching is performed. For example, the copper thin film for forming the wiring only needs to be formed in the device forming region on the front surface of the wafer, and therefore, the peripheral portion of the front surface of the wafer (for example, a portion having a width of about 5 mm from the peripheral end of the wafer), the back surface, The copper thin film formed on the peripheral end surface becomes unnecessary. In addition, the copper or copper ions on the peripheral portion, the back surface, and the peripheral end surface contaminate the hand of the substrate transfer robot provided in the substrate processing apparatus, and the contamination is transferred to another substrate held by the hand. Causes the problem of metastasis.
[0003]
For the same reason, a process for removing metal contaminants (including metal ions) on the surface by etching a thin film (such as an oxide film or a nitride film) other than the metal film formed on the periphery of the substrate is required. May be done.
A substrate peripheral processing apparatus for selectively etching a thin film at a peripheral portion and a peripheral end portion of a wafer includes, for example, a spin chuck that rotates while holding the wafer horizontally, and a space on the wafer above the spin chuck. A limiting plate; and an etchant supply nozzle for supplying an etchant to the lower surface of the wafer. The etchant supplied to the lower surface of the wafer travels on the lower surface of the wafer due to centrifugal force, moves outward in the radial direction of rotation, travels along the peripheral end surface of the wafer, and wraps around the upper surface thereof. Etch unnecessary objects. At this time, the blocking plate is arranged close to the upper surface of the wafer, and an inert gas such as nitrogen gas is supplied between the blocking plate and the wafer.
[0004]
By appropriately adjusting the flow rate of the inert gas, the distance between the shut-off plate and the wafer, and the number of revolutions of the spin chuck, the amount of wraparound of the etching solution can be adjusted. 7 mm) can be selectively etched (so-called bevel etching).
In order to supply the etching liquid from the lower surface of the wafer, a mechanical chuck having a configuration in which a peripheral portion of the wafer is held by a plurality of holding pins is used as the spin chuck. However, if the pin is in constant contact with the same portion of the peripheral portion of the wafer, the process of the peripheral portion of the wafer cannot be performed in a portion that is shaded by the pin.
[0005]
Therefore, as disclosed in Patent Document 1 below, by temporarily relaxing or canceling the holding by the holding pins while rotating the spin chuck, the relative rotation between the spin chuck and the wafer is caused to occur, A configuration has been proposed in which a holding position by a holding pin is shifted.
[0006]
[Patent Document 1]
JP 2001-118824 A
[Patent Document 2]
JP-A-4-186626
[0007]
[Problems to be solved by the invention]
However, in the above-mentioned prior art, an air cylinder and a link mechanism are incorporated in the spin base to drive the pin, and compressed air for driving is supplied to the spin base. Accordingly, there is a problem that the holding / releasing operation by the holding pin is not stable.
Further, in the above configuration, when the wafer is relatively rotated with respect to the spin chuck, the pin and the peripheral end face of the wafer rub against each other, so that there is a problem that the pin is worn.
[0008]
Further, since the relative rotation amount of the wafer with respect to the spin chuck cannot be accurately controlled, the rotation position of the wafer cannot be managed.
Also, if the pinching of the wafer by the pin is released during the processing, the minute gap between the wafer and the blocking plate cannot be kept constant, thereby reducing the amount of the etchant spilling from the back surface of the wafer. It cannot be controlled accurately.
SUMMARY OF THE INVENTION An object of the present invention is to provide a substrate processing apparatus and a substrate processing method capable of holding / releasing a substrate by a holding member during rotation of the substrate with a simple configuration.
[0009]
Another object of the present invention is to provide a substrate processing apparatus and a substrate processing method capable of switching between a state in which a substrate is held / released by a holding member and a state in which the substrate is held in a held state or a released state. It is.
Still another object of the present invention is to provide a substrate processing apparatus and a substrate processing method which can reduce the problem of wear of parts, stably hold and rotate a substrate, thereby enabling high quality substrate processing. To provide.
[0010]
Still another object of the present invention is to provide a substrate processing apparatus and a substrate processing method that can easily manage the rotational position of a substrate.
Still another object of the present invention is to provide a substrate processing apparatus and a substrate processing method capable of performing a favorable processing on a peripheral portion of a substrate.
Still another object of the present invention is to provide a substrate processing apparatus and a substrate processing method that can stably hold a substrate during substrate processing.
[0011]
Means for Solving the Problems and Effects of the Invention
According to the first aspect of the present invention, there is provided a substrate rotating member (1) which rotates around a predetermined rotation axis while holding a substrate (W), and a substrate rotating member (1) provided on the substrate rotating member. A plurality of holding members (21, 21-1 to 21-6, 21A, 21B, 21C, 21D, 21a, 21b, 21c) that can be held / released, and the holding / release operation of the plurality of holding members are performed on the substrate. An interlocking mechanism (20, 30) for interlocking with the rotation of the rotating member, wherein the interlocking mechanism has irregularities in a direction parallel to the rotation axis and has a cam surface having a shape orbiting the rotation axis of the substrate rotation member. A cam member (30) having (31) and the substrate rotating member, abutting against a cam surface of the cam member, and moving on the cam surface with the relative rotation of the substrate rotating member and the cam member; The above rotation by moving And a motion conversion mechanism (20) for converting the motion of the cam follower into a clamping / releasing operation of the plurality of clamping members. It is a substrate processing apparatus. It should be noted that the alphanumeric characters in parentheses indicate corresponding components and the like in embodiments described later. Hereinafter, the same applies in this section.
[0012]
According to this configuration, when the substrate rotating member rotates around the rotation axis, the cam follower orbits on the cam surface of the cam member with the relative rotation between the substrate rotating member and the cam member. As a result, the cam follower Moves along the axis of rotation. The movement of the cam follower is converted into the operation of a plurality of holding members provided on the substrate rotating member. With such a simple configuration, the holding member can be held / released by the holding member while the substrate is being rotated.
[0013]
The plurality of holding members may operate to simultaneously hold the substrate and simultaneously release the substrate. For example, the relative rotation of the substrate with respect to the substrate rotating member can be caused by accelerating or decelerating the rotation of the substrate rotating member in a state where all the clamping members release the clamping of the substrate. Thereby, the holding position of the substrate by the holding member can be changed.
However, when such a configuration is adopted, the holding member is worn due to the sliding contact between the holding member and the substrate, and therefore it is preferable to prevent the substrate from rotating relative to the substrate rotating member.
[0014]
According to a second aspect of the present invention, the relationship between the cam surface and the cam follower is determined so that at least a pair of the holding members of the plurality of holding members cause the holding / release of the substrate to be shifted in timing. The substrate processing apparatus according to claim 1, wherein:
According to this configuration, the timing of at least one pair of the plurality of holding members is shifted, so that one of the holding members holds the substrate, while another holding member is retracted from the peripheral end surface of the substrate. be able to. Therefore, if the plurality of holding members are sequentially retracted from the peripheral end surface of the substrate, when the peripheral edge and the peripheral end surface of the substrate are subjected to the processing with the processing fluid (processing liquid or processing gas), the entire periphery of the substrate is provided. Good processing can be performed. Moreover, in this case, it is not necessary to rotate the substrate relative to the substrate rotating member, so that wear of the holding member can be suppressed.
[0015]
Further, if the substrate is held by any one of the holding members, the substrate can be stably held throughout the rotation period. Therefore, for example, when performing processing on the substrate surface in a state where the blocking plate is brought close to the surface of the substrate, the distance between the blocking plate and the substrate surface can be strictly controlled from beginning to end. Thereby, the processing quality of the substrate can be improved.
Further, during the rotation of the substrate, the substrate is held by one of the holding members from the beginning and the relative rotation of the substrate with respect to the substrate rotating member is prevented from occurring, so that the rotation position of the substrate can be easily managed.
[0016]
According to a third aspect of the present invention, the interlocking mechanism is switched between an operation mode and a non-operation mode by changing a distance in a direction along the rotation axis between the substrate rotation member and a cam surface of the cam member. 3. The substrate processing apparatus according to claim 1, further comprising mode switching means (43, 50) for switching by (3).
According to this configuration, the distance between the substrate rotating member and the cam surface of the cam member is changed so that the cam follower and the cam surface do not come into contact with each other, or the cam follower moves on the cam surface to hold the holding member. Can be in a state of holding / releasing operation, or the cam surface and the substrate rotating member can be brought close to each other, for example, so that the holding member is kept in the released state all the time. In this way, the operation mode of the interlocking mechanism can be switched between the operation mode in which the holding member is pinched / released in conjunction with the rotation of the substrate rotating member and the inoperative mode in which such interlock does not occur. it can.
[0017]
For example, when loading or unloading a substrate from or to the substrate rotating member, it is preferable that the holding member be maintained in the released state regardless of the rotational position of the substrate rotating member. do it. In particular, when performing processing with the processing fluid on the peripheral portion of the substrate held by the substrate rotating member, it is preferable that the interlocking mechanism be set to the operation mode to open and close the substrate by the holding member. Also, for example, when performing a drying process in which the substrate is rotated at a high speed and the processing liquid on the surface thereof is shaken off and dried after processing the substrate with the processing liquid, the interlocking mechanism is not provided so that the holding member is maintained in the holding state. It is preferable to set the operation mode.
[0018]
According to a fourth aspect of the present invention, there is provided a substrate rotating member (1) rotating while holding a substrate, and a plurality of clamping members (21, 21-1 to 21-21) provided on the substrate rotating member and capable of clamping / releasing the substrate. -6, 21A, 21B, 21C, 21D, 21a, 21b, 21c) and the operation of holding / releasing the plurality of holding members in conjunction with the rotation of the substrate rotating member. An interlocking mechanism (20, 30) for causing the holding / release of the substrate by at least a pair of nipping members at a shifted timing, and a mode switching means (43, 50) for switching the interlocking mechanism between an operation mode and a non-operation mode. A substrate processing apparatus characterized by including:
[0019]
With this configuration, the same effect as the effect described in relation to the second and third aspects can be achieved.
According to a fifth aspect of the present invention, the mode switching means includes an operation mode in which the plurality of holding members sandwich / release the substrate in conjunction with the rotation of the substrate rotating member, and holding the plurality of holding members in a sandwiched state. 5. The substrate processing apparatus according to claim 3, wherein the operation mode of the interlocking mechanism is switched between a non-operation mode and a non-operation mode.
[0020]
According to this configuration, the holding member is held / released by the holding member in the operation mode, and the holding member is held in the holding state in the non-operation mode. Thus, for example, the processing fluid is supplied to the peripheral portion of the substrate, and when the peripheral portion is processed, the operation mode is set, and when the processing liquid on the substrate surface is shaken off and dried, the non-operation mode is set. Can be performed satisfactorily, and the substrate can be stably held in the drying step.
[0021]
According to a sixth aspect of the present invention, the switching means includes an operation mode in which the plurality of holding members hold / release the substrate in conjunction with the rotation of the substrate rotating member, and holding the plurality of holding members in a holding state. The operation mode of the interlocking mechanism is switched between one of a first non-operation mode, and a second non-operation mode in which the plurality of holding members are held in a released state. 5. A substrate processing apparatus according to item 4.
[0022]
According to this configuration, when the processing is performed by supplying the processing fluid to the substrate, the operation mode is set. For example, when the rotation of the substrate rotating member is accelerated or decelerated, or when the substrate is rotated to remove the processing liquid from the substrate surface, the substrate is rotated. The first non-operation mode may be set when the member is rotated at a high speed, and the second non-operation mode may be set when the substrate is to be rotated relative to the substrate rotation member or when the substrate is loaded or unloaded from the substrate rotation member.
[0023]
According to a seventh aspect of the present invention, in the operation mode, a first substrate holding state in which the substrate is held by a part of the plurality of holding members, and a second substrate holding state in which another part of the plurality of holding members holds the substrate. 2. The method according to claim 1, further comprising: a substrate clamping state; and an intermediate clamping state in which the substrate is clamped by all of the plurality of clamping members when switching between the first substrate clamping state and the second substrate clamping state. 7. The substrate processing apparatus according to any one of 3 to 6.
[0024]
According to the present invention, in the operation mode, the plurality of holding members have a first substrate holding state in which the substrate is held by a part of them, and a second substrate holding state in which the substrate is held by another part thereof. Thus, for example, when the processing fluid is supplied to the peripheral portion of the substrate, the processing fluid can be preferably supplied to the entire peripheral portion of the substrate. Further, when switching between the first substrate holding state and the second substrate holding state, there is an intermediate clamping state in which the substrate is clamped by all of the plurality of clamping members. In addition, the holding of the substrate does not become unstable. Thus, for example, when processing is performed in a state where the blocking plate is brought close to the surface of the substrate, the distance between the blocking plate and the substrate can be stably maintained throughout the substrate processing period. Further, since the relative rotation of the substrate with respect to the substrate rotating member can be reliably prevented by the presence of the intermediate clamping state, abrasion of the clamping member due to such relative rotation can be suppressed.
[0025]
The invention according to claim 8 is characterized in that the interlocking mechanism includes a cycle changing means (50) for changing a cycle of holding / releasing the plurality of holding members in the operation mode. 8. The substrate processing apparatus according to any one of 7.
With this configuration, the holding / releasing cycle of the holding member can be changed, so that the holding member can be held / released at a speed that does not adversely affect the processing of the peripheral portion of the substrate by the processing fluid.
[0026]
For example, the cam member is provided so as to be able to rotate the cam surface around the rotation axis, and a cam rotation drive mechanism that rotates the cam member so as to rotate the cam surface around the rotation axis. In the case where (38) is provided, the cycle changing means can be constituted by a cam rotation control means (50) for controlling the cam rotation drive mechanism to variably control the rotation speed of the cam member.
The invention according to claim 9 further includes etching solution supply means (15, 16, 18) for supplying an etching solution to a peripheral portion of the substrate held by the substrate rotating member. A substrate processing apparatus according to any one of the above.
[0027]
With this configuration, an etching process or a cleaning process can be performed on the peripheral portion of the substrate.
According to a tenth aspect of the present invention, there is provided a substrate rotating step of rotating a substrate while holding the substrate by a substrate rotating member (1), and a plurality of holding members (21, 21-1 to 21-6, 6) provided on the substrate rotating member. 21A, 21B, 21C, 21D, 21a, 21b, 21c) in conjunction with the rotation of the substrate rotating member, and the substrate being held / released by at least a pair of holding members of the plurality of holding members. In a substrate holding / releasing step in which the timing is shifted, an operation mode in which the holding / releasing operation by the plurality of holding members is interlocked with the rotation of the substrate rotating member, and an inoperative mode in which such interlock does not occur. And a mode switching step of switching.
[0028]
According to this method, the same effects as those described in relation to the second and third aspects of the invention can be obtained.
[0029]
BEST MODE FOR CARRYING OUT THE INVENTION
Hereinafter, embodiments of the present invention will be described in detail with reference to the accompanying drawings.
FIG. 1 is an illustrative sectional view for explaining a configuration of a substrate processing apparatus according to one embodiment of the present invention. The substrate processing apparatus includes a spin chuck 1 that holds a semiconductor wafer (hereinafter, simply referred to as a “wafer”) W, which is an example of a substantially circular substrate, substantially horizontally, and rotates around a vertical axis passing through the center thereof. And a blocking plate 2 having a wafer facing surface 2a which is arranged above the spin chuck 1 and which can be arranged close to the upper surface of the wafer W held by the spin chuck 1. The blocking plate 2 is formed in a substantially disk shape, and the wafer facing surface 2a is formed in a circular shape having a diameter slightly smaller than that of the wafer W. A rotating shaft 3 extending in a vertical direction is coupled to the upper surface of the blocking plate 2, and a rotating force from a blocking plate rotation driving mechanism 4 is applied to the rotating shaft 3. Further, in order to raise and lower the blocking plate 2 with respect to the spin chuck 1, a blocking plate lifting drive mechanism 5 is provided.
[0030]
The rotating shaft 3 is a hollow shaft, and the processing liquid supply pipe 6 is inserted therein. The tip of the processing liquid supply pipe 6 extends through a through hole formed in the center of the blocking plate 2 to a position facing the center of the upper surface of the wafer W held by the spin chuck 1, and a processing liquid supply nozzle 7 are formed. A processing liquid such as pure water can be supplied to the processing liquid supply pipe 6 through a processing liquid supply valve 8.
An inert gas supply passage 9 is formed inside the rotation shaft 3 between the inner wall of the rotation shaft 3 and the processing liquid supply pipe 6. An inert gas such as nitrogen gas is supplied to the inert gas supply passage 9 via an inert gas supply valve 10.
[0031]
The spin chuck 1 includes a disk-shaped spin base 11, a hollow rotary shaft 12 that is vertically coupled to a central portion of a lower surface of the spin base 11, and a chuck rotary drive that applies a rotary driving force to the rotary shaft 12. And a mechanism 13. A processing liquid supply pipe 15 is inserted through the rotating shaft 12. An etching liquid from an etching liquid supply source is supplied to the processing liquid supply pipe 15 via an etching liquid supply valve 16. Pure water from a supply source can be supplied via a pure water supply valve 17. The processing liquid supply pipe 15 extends to the upper surface of the spin base 11, and the distal end thereof has a processing liquid supply nozzle for supplying an etching liquid or pure water toward the center of the lower surface of the wafer W held by the spin chuck 1. 18 are formed.
[0032]
On the upper surface of the spin base 11, a plurality of support pins 19 (for example, three at equal angular intervals) are provided along the circumferential direction of the spin base 11 to support the peripheral edge of the lower surface of the wafer W. Further, the spin base 11 has a plurality of clamping pins 21 for contacting the peripheral end surface of the wafer W and abutting on the peripheral end surface of the wafer W held on the support pins 19 to clamp the wafer W. Installed. In this embodiment, six holding pins 21 are provided at equal angular intervals along the circumferential direction of the spin base 11.
[0033]
In order to switch between holding / release of the wafer W by the holding pins 21, an operation conversion mechanism 20 is provided in association with each holding pin 21. More specifically, the motion conversion mechanism 20 includes a rocking lever 24 to which the pin 21 is connected at one end and a roller 25 as a cam follower is rotatably mounted at the other end. The swing lever 24 is rotatably connected to a support shaft 23 supported by a bracket 22 fixed to the lower surface of the spin base 11. The support shaft 23 extends along a horizontal direction orthogonal to the rotational radius direction of the spin base 11. Therefore, the swing lever 24 can swing in a vertical plane including the rotation radius direction of the spin base 11.
[0034]
At a position between the support shaft 23 and the roller 25 in the swing lever 24, a compression coil spring 26 as an urging means for urging the pin 21 in a pinched state is provided between the pinion 21 and the lower surface of the spin base 11. Are located. That is, the compression coil spring 26 urges the swing lever 24 so as to push down the swing lever 24 at a position on the inner side in the rotation radial direction of the spin base 11. Since the one side part is pushed up, the pin 21 is urged inward in the rotational radial direction.
[0035]
The roller 25 is provided at an end of the swing lever 24 so as to be rotatable around the axis of the swing lever 24. A cam member 30 is provided below the roller 25 so as to surround the rotating shaft 12. The cam member 30 has a cam surface 31 having a shape orbiting around the rotation shaft 12 on the upper surface facing the roller 25. More specifically, the cam member 30 is provided so as to be rotatable around the rotary shaft 12, and has a cylindrical main body 32 through which the rotary shaft 12 is inserted, and a rotation radius at the upper end of the main body 32. A flange portion 33 projecting outward in the direction, and a cam surface 31 is provided on an upper surface of the flange portion 33.
[0036]
The cylindrical main body 32 is coupled to the bracket 37 via a pair of bearings 35 and 36 which are externally fitted at intervals along the direction of the rotating shaft 12. The bracket 37 is provided with a motor 38 as a cam member rotation drive mechanism for generating a driving force for rotating the cam member 30 around the rotation shaft 12. The rotational force of the cam member rotating motor 38 is transmitted to a pulley 41 coupled to a lower end of the cylindrical main body 32 via a motor pulley 39 and a timing belt 40.
[0037]
On the other hand, a cam member raising / lowering drive mechanism 43 composed of, for example, a multi-stage air cylinder or a ball screw mechanism is connected to the bracket 37, and the bracket 37 is raised / lowered by operating the cam member raising / lowering drive mechanism 43. The cam member 30 can be moved up and down along the rotation shaft 12.
FIG. 2A is a plan view for explaining the configuration of the cam surface 31, and FIG. 2B is a cross-sectional view taken along a line IIA-IIA along the circumferential direction of the cam surface 31. The cam surface 31 is provided with protrusions 45 (hatched in the figure) projecting upward (in a direction parallel to the rotation axis) at a plurality of locations (three locations at equal intervals in this embodiment) at intervals in the circumferential direction. Is shown). And the valley part 46 between the adjacent convex parts 45 and 45 has formed the flat surface.
[0038]
In this embodiment, the flat surface forming the valley portion 46 is formed over an angle range larger than 60 degrees, and as a result, the convex portion 45 is formed to extend over an angle range smaller than 60 degrees. ing. The convex portion 45 has a mountain shape formed by an ascending slope and a descending slope having a gentle gradient along the circumferential direction, and the tops of the convex portions 45 formed at three locations are equiangularly spaced (ie, 120 degrees apart). ) On the cam surface 31.
[0039]
When the six pinching pins 21-1 to 21-6 are in the relative arrangement shown in FIG. 2A with respect to the cam surface 31, and the cam surface 31 is at an appropriate height, the pinching pins 21-1, The rollers 25 (see FIG. 1) of the motion conversion mechanism 20 corresponding to 21-3 and 21-5 are pushed upward along the rotary shaft 12, and as a result, the pinching pins 21-1, 21-3, 21-. 5 is retracted from the peripheral end surface of the wafer W, and becomes a released state in which the holding of the wafer W is released. On the other hand, for the pinching pins 21-2, 21-4, and 21-6 located at the positions corresponding to the valleys 46, the rollers 25 of the motion conversion mechanism 20 corresponding to the pins 25-2, 21-4, and 21-6 move upward from the cam surface 31. Since no push-up force is received, the urging force of the compression coil spring 26 is in a sandwiching state in which the wafer W comes into contact with the peripheral end surface.
[0040]
When the spin chuck 1 and the cam member 30 are relatively rotated around the rotation shaft 12 from such a state, the positional relationship between the pinching pins 21-1 to 21-6 and the convex portion 45 changes. As a result, a first holding state in which a set of three holding pins 21-1, 21-3, and 21-5 hold and hold the wafer W, and another three holding pins 21-2 and 21-4. , 21-6 are alternately switched between a second holding state in which the wafer W is sandwiched and held. As described above, since the flat surface forming the valley portion 46 is formed over an angle range larger than 60 degrees, all the pinching pins are required when switching between the first holding state and the second holding state. An intermediate clamping state in which the wafers 21-1 to 21-6 clamp the wafer W exists.
[0041]
The height of the cam surface 31 can be changed by operating the cam member lifting drive mechanism 43. Thus, if the cam surface 31 is arranged at a sufficiently low position (fully closed position), the convex portion 45 of the cam surface 31 can be brought into a state where it does not contact the rollers 25 of any of the motion conversion mechanisms 20. As a result, all the holding pins 21-1 to 21-6 are in a holding state (closed state), and regardless of the relative rotation of the spin chuck 1 and the cam member 30, the first non-operation mode in which the wafer W is held from beginning to end. can do.
[0042]
If the height of the cam surface 31 is set to an intermediate height (opening / closing position) where the convex portion 45 pushes up the roller 25 and the valley portion 46 does not push up the roller 25, the spin chuck 1 and the cam member 30 become With the relative rotation of the spin chuck 1, that is, in conjunction with the rotation of the spin chuck 1, an operation mode in which the holding pins 21-1 to 21-6 are opened and closed can be set.
Further, if the height of the cam surface 31 is set to a sufficient height (the fully open position) at which the roller 25 is pushed up in both the convex portion 45 and the valley portion 46, the relative rotation between the spin chuck 1 and the cam member 30 is increased. Irrespective of this, all of the holding pins 21-1 to 21-6 are held in a released state (closed state) retracted from the peripheral end surface of the wafer W, and the second non-operation mode can be set.
[0043]
The operations of the chuck rotation driving mechanism 13, the cam member rotation motor 38, the cam member lifting / lowering driving mechanism 43, the blocking plate rotation driving mechanism 4, and the blocking plate lifting / lowering driving mechanism 5 are controlled by the control unit 50. The opening and closing of the processing liquid supply valve 8, the inert gas supply valve 10, the etching liquid supply valve 16, and the pure water supply valve 17 are also controlled by the control unit 50.
When the unprocessed wafer W is carried in, the blocking plate lifting / lowering drive mechanism 5 is controlled by the control unit 50, so that the blocking plate 2 is retracted above the spin chuck 1. Further, the control unit 50 controls the cam member lifting / lowering drive mechanism 43 to raise the cam member 30 to the fully open position, and the second inactive state in which all of the pinching pins 21-1 to 21-6 are released. Mode. In this state, an unprocessed wafer W is placed on the support pins 19 on the spin base 11 by a transfer robot (not shown). At this time, the wafer W has, for example, a device formation surface (active surface) as an upper surface facing the blocking plate 2.
[0044]
Next, the control unit 50 lowers the cam member 30 to the fully closed position by controlling the cam member lifting / lowering drive mechanism 43 to set the first inoperative mode. Therefore, the wafer W is stably held by all the holding pins 21. Further, the control unit 50 controls the blocking plate lifting drive mechanism 5 to lower the blocking plate 2 toward the spin chuck 1 and guide the wafer facing surface 2 a to a position close to the upper surface of the wafer W.
[0045]
In this state, the control unit 50 controls the blocking plate rotation driving mechanism 4 and the chuck rotation driving mechanism 13 to synchronously rotate the spin chuck 1 and the blocking plate 2 in, for example, the same direction at substantially the same rotation speed. At the same time, the control unit 50 opens the inert gas supply valve 10 to supply the inert gas to the upper surface of the wafer W. Further, the control unit 50 opens the etching liquid supply valve 16 and discharges the etching liquid from the processing liquid supply nozzle 18 toward the center of the lower surface of the wafer W.
[0046]
Further, the control unit 50 controls the cam member rotation motor 38 to rotate the cam member 30 so that relative rotation between the spin chuck 1 and the cam member 30 occurs at a predetermined speed. For example, the cam member 30 is rotated in the same direction as the spin chuck 1, and it is preferable that the difference between the rotation speeds of the spin chuck 1 and the cam member 30 is 10 rpm to 300 rpm (more preferably, about 60 rpm).
When the spin chuck 1 is accelerated to a predetermined rotation speed and enters a constant-speed rotation state, the control unit 50 controls the cam member lifting / lowering drive mechanism 43 to raise the cam member 30 to an intermediate height. Guide to the open / close position. In this open / close position, the roller 25 is pushed up by the convex portion 45 of the cam surface 31, but is not pushed up by the valley portion 46. As a result, the three pinching pins 21-1, 21-3, and 21-5 arranged at 120-degree angle intervals perform opening and closing operations in synchronization with each other, and the other three pinching pins similarly arranged at 120-degree intervals. The pins 21-2, 21-4, and 21-6 open and close in synchronization. The set of three holding pins 21-1, 21-3, and 21-5 and the set of the other three holding pins 21-2, 21-4, and 21-6 are alternately arranged, that is, in timing. Is opened and closed. Thus, the holding position can be switched while the wafer W is held by one of the holding pins 21 from beginning to end.
[0047]
The etching liquid supplied to the center of the lower surface of the wafer W from the processing liquid supply nozzle 18 receives the centrifugal force, travels along the lower surface of the wafer W, moves outward in the rotational radial direction, and turns around the peripheral end surface of the wafer W. To reach the periphery of the upper surface. Thereby, unnecessary substances can be removed by etching from the peripheral end face and the peripheral edge of the upper surface of the wafer W, and so-called bevel etching processing or bevel cleaning processing can be realized. During the period of the bevel etching process or the bevel cleaning process, the holding pin 21 is opened and closed, and the holding position of the wafer W is changed. It can be performed.
[0048]
The amount of the etchant flowing around the upper surface of the wafer W is controlled by the rotation speed of the spin chuck 1, the distance between the blocking plate 2 and the upper surface of the wafer W, and the flow rate of the inert gas supplied to the upper surface of the wafer W. .
When the bevel etching process is completed, the control unit 50 closes the etchant supply valve 16 and opens the pure water supply valve 17 instead. As a result, pure water is supplied from the processing liquid supply nozzle 18 toward the center of the lower surface of the wafer W, so that a pure water rinsing process for washing away the etching liquid from the surface of the wafer W is performed. At this time, the processing liquid supply valve 8 may be opened to supply pure water to the upper surface of the wafer W under the control of the control unit 50, if necessary.
[0049]
Even during such a pure water rinsing step, the holding pins 21-1, 21-3, 21-5 and the holding pins 21-2, 21-4, 21-6 alternately open and close, so that the wafer The entire surface of W can be well rinsed.
When the rinsing step is completed, the control unit 50 closes the pure water supply valve 17 and, if the processing liquid supply valve 8 is open, closes the processing liquid supply valve 8. Thereafter, the control unit 50 controls the cam member lifting / lowering drive mechanism 43 to guide the cam member 30 to the lower fully closed position, and further stops the motor 38. As a result, all the holding pins 21 hold the wafer W. In this state, the control unit 50 controls the chuck rotation driving mechanism 13 and the blocking plate rotation driving mechanism 4 to accelerate the rotation of the spin chuck 1 and the blocking plate 2 and rotate the wafer W at a high speed. Thus, a drying step of removing moisture remaining on the surface of the wafer W by centrifugal force is performed. During the drying process, the wafer W is stably held by all the pinching pins 21-1 to 21-6.
[0050]
When the drying process is completed by rotating the spin chuck 1 at a high speed for a predetermined time, the control unit 50 controls the blocking plate rotation driving mechanism 4 and the chuck rotation driving mechanism 13 to rotate the spin chuck 1 and the blocking plate 2. Stop. Further, the control unit 50 controls the blocking plate lifting drive mechanism 5 to raise the blocking plate 2 to the retreat position above the spin chuck 1. Further, the control unit 50 controls the cam member lifting / lowering drive mechanism 43 to raise the cam member 30 and guide the cam member 30 to the fully open position. As a result, all the holding pins 21 are released. In this state, the processed wafer W supported on the support pins 19 is carried out by the transfer robot.
[0051]
As described above, according to the configuration of this embodiment, the holding pin 21 can be opened and closed in conjunction with the rotation of the spin chuck 1 by a simple configuration in which the swing lever 24 is swung by the cam mechanism. Also, the three holding pins 21-1, 21-3, and 21-5 and the other three holding pins 21-2, 21-4, and 21-6 alternately hold the wafer W. Therefore, it is possible to realize good processing on the entire peripheral edge portion and the peripheral end surface of the wafer W without causing relative rotation of the wafer W with respect to the spin chuck 1. Therefore, wear of the pin 21 can be suppressed.
[0052]
In addition, the holding state (first holding state) of the wafer W by the three holding pins 21-1, 21-3, and 21-5 and the other three holding pins 21-2, 21-4, and 21-6. The wafer W is held by all the holding pins 21-1 to 21-6 in an intermediate holding state between the holding state (second holding state) of the wafer W and the wafer W. The wafer W is stably held by the pins 21 and there is no period during which the holding of the wafer W becomes unstable. Therefore, the wafer W is prevented from jumping out of the spin chuck 1 and the minute space between the wafer W and the blocking plate 2 is securely maintained, so that the amount of the etchant flowing around is strictly controlled. can do. Thereby, the processing of the peripheral portion of the wafer W can be performed accurately.
[0053]
Further, in this embodiment, the cam member 30 is rotatable around the rotation shaft 12 and its rotation speed can be controlled independently of the rotation speed of the spin chuck 1. The time interval can be set appropriately. Further, if necessary, the opening / closing time interval of the pin 21 can be changed during the processing of the wafer W.
Further, by allowing the cam member 30 to be able to move up and down, the first inoperative mode in which the holding pin 21 is held in the holding state, the second inoperative mode in which the holding pin 21 is held in the released state, and the holding state and the released state. It can be switched between operation modes that are alternately switched between. Thus, the operation state of the pin 21 can be switched with a simple configuration.
[0054]
As described above, one embodiment of the present invention has been described, but the present invention can be embodied in other forms. For example, in the above embodiment, the cam surface 31 is provided with three convex portions 45 at intervals in the circumferential direction. However, as shown in FIG. Protrusions 45 may be provided, or protrusions 45 may be provided at six locations on the cam surface 31 at intervals in the circumferential direction on the cam surface 31 as shown in FIG. 3B, or the center of rotation may be provided as shown in FIG. The protrusions 45 may be provided at two places opposed to each other with. With any of the configurations, the plurality of holding pins can be switched between the holding state and the release state.
[0055]
For example, in the case of the configuration shown in FIG. 3C, a pair of holding pins opposed to each other across the rotation shaft among the six holding pins 21-1 to 21-6 are sequentially released, and the remaining four pins are held. The holding of the wafer W is performed by the pin of the book.
In the case of the configuration shown in FIG. 3B, the opening and closing of the six holding pins 21-1 to 21-6 occur simultaneously. If it is desired to cause relative rotation of the wafer W on the spin chuck 1, such a configuration may be adopted. That is, if the wear of the pin 21 is not so problematic, the pin 21 is fully opened and the spin chuck 1 The relative rotation position of the wafer W with respect to the spin chuck 1 may be changed by accelerating or decelerating the rotation.
[0056]
However, in general, the shape and arrangement of the projections 45 on the cam surface 31 are such that when the cam member 30 is at the above-mentioned opening / closing position, the pair of adjacent holding pins 21 will not be simultaneously released. Therefore, the relative rotation of the wafer W with respect to the spin chuck 1 can be suppressed.
Further, in the above-described embodiment, the configuration in which the wafer W is held by the six holding pins 21 arranged at equal angular intervals around the wafer has been described. However, as long as the holding of the wafer W is achieved, the holding pins are held. Can be arbitrarily determined.
[0057]
Further, the holding member for holding the wafer W does not need to have a pin shape, and as shown in FIGS. 4A and 4B, a substantially circular arc that linearly contacts the peripheral end surface of the wafer W within a certain angle range. Shaped holding members 21A, 21B, 21C, 21D; 21a, 21b, 21c can be used.
For example, in the case of the configuration shown in FIG. 4A, four arc-shaped holding members 21A, 21B, 21C, and 21D that can make line contact with the peripheral end surface of the wafer W in an angle range of substantially 90 degrees are equiangular. By arranging them at intervals, the wafer W can be held by the pair of holding members 21A, 21C; 21B, 21D opposed to each other across the center of rotation. Therefore, the wafer W can be stably held even when the pair of holding members 21A and 21C and the other pair of holding members 21B and 21D are alternately opened and closed during the processing using the etching solution or the like.
[0058]
In the case of the configuration of FIG. 4B, three arc-shaped holding members 21a, 21b, and 21c that can make line contact with the peripheral end surface of the wafer W in an angle range of approximately 120 degrees are provided at equal angular intervals. Are located. If these holding members 21a, 21b, 21c are opened and closed one by one cyclically, the wafer W can be stably held in an angle range of about 240 degrees (that is, an angle range larger than 180 degrees) while the wafer W is stably held. And the peripheral portion can be satisfactorily processed.
[0059]
Further, in the above-described embodiment, the bevel etching process or the bevel cleaning process for holding the peripheral portion of the wafer W has been described. However, the substrate processing apparatus of the present invention may be used for the entire surface of the substrate in addition to the bevel etching process or the bevel cleaning process. To a substrate processing apparatus that supplies a processing liquid such as an etching liquid.
Further, in the above embodiment, the cam member 30 is provided so as to be rotatable around the rotation shaft 12, but the cam member 30 may be provided around the rotation shaft 12 in a non-rotating state. In this case, the time interval for opening and closing the pin 21 depends only on the rotation speed of the spin chuck 1.
[0060]
Further, in the above embodiment, the wafer W is taken as an example of the substrate to be processed. However, the present invention is applicable to a substrate processing apparatus for processing other circular substrates such as an optical disk substrate and a magnetic disk substrate, and a liquid crystal display. The present invention is also applicable to a substrate processing apparatus for processing a rectangular substrate such as an apparatus glass substrate.
In addition, various design changes can be made within the scope of the matters described in the claims.
[Brief description of the drawings]
FIG. 1 is an illustrative sectional view for explaining a configuration of a substrate processing apparatus according to an embodiment of the present invention.
FIG. 2 is a diagram for explaining a configuration of a cam surface.
FIG. 3 is a plan view showing another configuration example of the cam surface.
FIG. 4 is a plan view showing a modification of the holding member.
[Explanation of symbols]
1 Spin chuck
2 Blocking plate
2a Wafer facing surface
3 Rotation axis
4 Rotating drive mechanism
5 Lifting mechanism
6 Treatment liquid supply pipe
7 Treatment liquid supply nozzle
8 Treatment liquid supply valve
9 Inert gas supply passage
10 Inert gas supply valve
11 Spin Base
12 Rotary axis
13 Chuck rotation drive mechanism
13 Rotation drive mechanism 13
15 Treatment liquid supply pipe
16 Etching liquid supply valve
17 Pure water supply valve
18 Processing liquid supply nozzle
19 Support pins
20 Motion conversion mechanism
21 Holding pin
21-1 to 21-6 Holding pin
21a, 21b, 21c Holding member
21A, 21B, 21C, 21D Holding member
22 Bracket
23 spindle
24 swing lever
25 rollers
30 Cam member
31 Cam surface
32 body
33 Flange
35,36 bearing
37 bracket
38 Cam member rotation motor
39 Motor pulley
40 Timing belt
41 pulley
43 Cam member lifting drive mechanism
45 convex
46 Tanibe
50 control unit
W wafer

Claims (10)

基板を保持しつつ所定の回転軸まわりに回転する基板回転部材と、
この基板回転部材に設けられ、基板を挟持/解放可能な複数の挟持部材と、
これらの複数の挟持部材の挟持/解放動作を上記基板回転部材の回転と連動させる連動機構とを含み、
上記連動機構が、
上記回転軸と平行な方向に関して凹凸を有し、上記基板回転部材の回転軸を周回する形状のカム面を有するカム部材と、
上記基板回転部材に設けられ、上記カム部材のカム面に当接し、上記基板回転部材と上記カム部材との相対回転に伴って上記カム面上を移動することにより上記回転軸にほぼ平行な方向に運動するカムフォロワを備え、このカムフォロワの運動を上記複数の挟持部材の挟持/解放動作に変換する動作変換機構とを有するものであることを特徴とする基板処理装置。
A substrate rotating member that rotates around a predetermined rotation axis while holding the substrate,
A plurality of holding members provided on the substrate rotating member and capable of holding / releasing the substrate;
An interlocking mechanism for interlocking the holding / releasing operation of the plurality of holding members with the rotation of the substrate rotating member,
The interlocking mechanism is
A cam member having a concave / convex shape in a direction parallel to the rotation axis, and having a cam surface shaped to orbit the rotation axis of the substrate rotation member;
A direction substantially parallel to the rotation axis by being provided on the substrate rotation member, abutting on a cam surface of the cam member, and moving on the cam surface with the relative rotation of the substrate rotation member and the cam member; And a motion converting mechanism for converting the motion of the cam follower into a pinching / releasing operation of the plurality of pinching members.
上記カム面と上記カムフォロワとの関係が、上記複数の挟持部材のうちの少なくとも一対の挟持部材による基板の挟持/解放をタイミングをずらして生じさせるように定められていることを特徴とする請求項1記載の基板処理装置。The relationship between the cam surface and the cam follower is determined so that the holding / release of the substrate by at least one pair of the holding members of the plurality of holding members is shifted at a different timing. 2. The substrate processing apparatus according to 1. 上記基板回転部材と上記カム部材のカム面との間の上記回転軸に沿う方向の距離を変化させることにより、上記連動機構を作動モードと不作動モードとの間で切り換えるモード切り換え手段をさらに含むことを特徴とする請求項1または2記載の基板処理装置。Mode switching means for switching the interlocking mechanism between an operation mode and a non-operation mode by changing a distance in a direction along the rotation axis between the substrate rotation member and the cam surface of the cam member. 3. The substrate processing apparatus according to claim 1, wherein: 基板を保持しつつ回転する基板回転部材と、
この基板回転部材に設けられ、基板を挟持/解放可能な複数の挟持部材と、
これらの複数の挟持部材の挟持/解放動作を上記基板回転部材の回転と連動させるとともに、上記複数の挟持部材のうちの少なくとも一対の挟持部材による基板の挟持/解放をタイミングをずらして生じさせる連動機構と、
この連動機構を作動モードと不作動モードとで切り換えるモード切り換え手段とを含むことを特徴とする基板処理装置。
A substrate rotating member that rotates while holding the substrate,
A plurality of holding members provided on the substrate rotating member and capable of holding / releasing the substrate;
The interlocking operation in which the holding / releasing operation of the plurality of holding members is interlocked with the rotation of the substrate rotating member, and the holding / release of the substrate by at least a pair of the holding members of the plurality of holding members is generated at a shifted timing. Mechanism and
A substrate processing apparatus, comprising: mode switching means for switching the interlocking mechanism between an operation mode and a non-operation mode.
上記モード切り換え手段は、上記複数の挟持部材が上記基板回転部材の回転に連動して基板を挟持/解放する作動モードと、上記複数の挟持部材を挟持状態で保持する不作動モードとの間で上記連動機構の動作モードを切り換えるものであることを特徴とする請求項3または4記載の基板処理装置。The mode switching means switches between an operation mode in which the plurality of holding members hold / release the substrate in conjunction with the rotation of the substrate rotating member, and an inoperative mode in which the plurality of holding members are held in a holding state. 5. The substrate processing apparatus according to claim 3, wherein an operation mode of the interlocking mechanism is switched. 上記切り換え手段は、上記複数の挟持部材が上記基板回転部材の回転に連動して基板を挟持/解放動作させる作動モードと、上記複数の挟持部材を挟持状態に保持する第1不作動モードと、上記複数の挟持部材を解放状態に保持する第2不作動モードとのいずれかに、上記連動機構の動作モードを切り換えるものであることを特徴とする請求項3または4記載の基板処理装置。An operating mode in which the plurality of holding members hold / release the substrate in conjunction with the rotation of the substrate rotating member; a first inoperative mode in which the plurality of holding members are held in a holding state; 5. The substrate processing apparatus according to claim 3, wherein the operation mode of the interlocking mechanism is switched to one of a second non-operation mode in which the plurality of holding members are held in a released state. 上記作動モードは、上記複数の挟持部材の一部によって基板を保持する第1基板保持状態と、上記複数の挟持部材の別の一部によって基板を保持する第2基板挟持状態と、第1基板挟持状態と第2基板挟持状態との間での切り換えの際に上記複数の挟持部材の全てによって基板を挟持する中間挟持状態とを含むことを特徴とする請求項3ないし6のいずれかに記載の基板処理装置。The operation mode includes a first substrate holding state in which the substrate is held by a part of the plurality of holding members, a second substrate holding state in which the substrate is held by another part of the plurality of holding members, and a first substrate holding state. 7. An intermediate clamping state in which the substrate is clamped by all of the plurality of clamping members when switching between the clamping state and the second substrate clamping state. Substrate processing equipment. 上記連動機構は、上記作動モードにおいて、上記複数の挟持部材の挟持/解放の周期を変化させる周期変更手段を含むものであることを特徴とする請求項1ないし7のいずれかに記載の基板処理装置。8. The substrate processing apparatus according to claim 1, wherein the interlocking mechanism includes a period changing unit that changes a period of holding / releasing the plurality of holding members in the operation mode. 上記基板回転部材に保持された基板の周縁部にエッチング液を供給するエッチング液供給手段をさらに含むことを特徴とする請求項1ないし8のいずれかに記載の基板処理装置。9. The substrate processing apparatus according to claim 1, further comprising an etching liquid supply unit configured to supply an etching liquid to a peripheral portion of the substrate held by the substrate rotating member. 基板回転部材により基板を保持しつつ回転させる基板回転工程と、
上記基板回転部材に設けられた複数の挟持部材による挟持/解放動作を上記基板回転部材の回転と連動させるとともに、上記複数の挟持部材のうちの少なくとも一対の挟持部材による基板の挟持/解放をタイミングをずらして生じさせる基板挟持/解放工程と、
上記複数の挟持部材による挟持/解放動作が上記基板回転部材の回転と連動する作動モードと、このような連動が生じない不作動モードとで切り換えるモード切り換え工程とを含むことを特徴とする基板処理方法。
A substrate rotating step of rotating while holding the substrate by a substrate rotating member,
The holding / releasing operation by the plurality of holding members provided on the substrate rotating member is linked with the rotation of the substrate rotating member, and the holding / release of the substrate by at least one pair of the holding members among the plurality of holding members is timing. Holding and releasing the substrate by shifting the
A substrate processing method comprising: a mode switching step of switching between an operation mode in which the holding / release operations by the plurality of holding members are interlocked with the rotation of the substrate rotating member and an inoperative mode in which such interlock does not occur. Method.
JP2002281628A 2002-07-26 2002-09-26 Substrate treatment device and substrate treatment method Pending JP2004115872A (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP2002281628A JP2004115872A (en) 2002-09-26 2002-09-26 Substrate treatment device and substrate treatment method
US10/623,927 US7018555B2 (en) 2002-07-26 2003-07-21 Substrate treatment method and substrate treatment apparatus
US11/120,242 US7241362B2 (en) 2002-07-26 2005-05-02 Substrate treatment method and substrate treatment apparatus
US11/332,637 US7413628B2 (en) 2002-07-26 2006-01-13 Substrate treatment method and substrate treatment apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2002281628A JP2004115872A (en) 2002-09-26 2002-09-26 Substrate treatment device and substrate treatment method

Publications (1)

Publication Number Publication Date
JP2004115872A true JP2004115872A (en) 2004-04-15

Family

ID=32276026

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002281628A Pending JP2004115872A (en) 2002-07-26 2002-09-26 Substrate treatment device and substrate treatment method

Country Status (1)

Country Link
JP (1) JP2004115872A (en)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006086222A (en) * 2004-09-14 2006-03-30 Dainippon Screen Mfg Co Ltd Substrate holding/rotating device
JP2007318016A (en) * 2006-05-29 2007-12-06 Dainippon Screen Mfg Co Ltd Method and device for processing substrate
KR100829924B1 (en) * 2006-09-12 2008-05-19 세메스 주식회사 Spin head and method for holding/unholding wafer using the same
JP2009026859A (en) * 2007-07-18 2009-02-05 Olympus Corp Substrate inspection apparatus
KR101145775B1 (en) 2009-04-24 2012-05-17 세메스 주식회사 A spin head, an aparatus for treating a substrate, and a method for supporting a substrate in the spin head
KR101389533B1 (en) 2012-12-24 2014-04-25 주식회사 케이씨텍 Apparatus and method of loading wafeer in chemical mechanical polishing system
US8714169B2 (en) 2008-11-26 2014-05-06 Semes Co. Ltd. Spin head, apparatus for treating substrate, and method for treating substrate
KR20180108435A (en) * 2017-03-24 2018-10-04 가부시키가이샤 스크린 홀딩스 Substrate holding/rotating device, substrate processing apparatus including the same, and substrate processing method
JP2018160509A (en) * 2017-03-22 2018-10-11 株式会社荏原製作所 Substrate processing apparatus and substrate processing method
JPWO2017204082A1 (en) * 2016-05-24 2019-04-04 三益半導体工業株式会社 Wafer holding mechanism and method for rotary table, and wafer rotary holding device
JP2019160894A (en) * 2018-03-09 2019-09-19 株式会社ジェーイーエル Lock releasing mechanism of substrate housing container
CN110612602A (en) * 2017-05-12 2019-12-24 科磊股份有限公司 Floating wafer chuck

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006086222A (en) * 2004-09-14 2006-03-30 Dainippon Screen Mfg Co Ltd Substrate holding/rotating device
JP2007318016A (en) * 2006-05-29 2007-12-06 Dainippon Screen Mfg Co Ltd Method and device for processing substrate
JP4695020B2 (en) * 2006-05-29 2011-06-08 大日本スクリーン製造株式会社 Substrate processing method and substrate processing apparatus
KR100829924B1 (en) * 2006-09-12 2008-05-19 세메스 주식회사 Spin head and method for holding/unholding wafer using the same
JP2009026859A (en) * 2007-07-18 2009-02-05 Olympus Corp Substrate inspection apparatus
US8714169B2 (en) 2008-11-26 2014-05-06 Semes Co. Ltd. Spin head, apparatus for treating substrate, and method for treating substrate
KR101145775B1 (en) 2009-04-24 2012-05-17 세메스 주식회사 A spin head, an aparatus for treating a substrate, and a method for supporting a substrate in the spin head
KR101389533B1 (en) 2012-12-24 2014-04-25 주식회사 케이씨텍 Apparatus and method of loading wafeer in chemical mechanical polishing system
US10818538B2 (en) 2016-05-24 2020-10-27 Mimasu Semiconductor Industry Co., Ltd. Wafer holding mechanism for rotary table and method and wafer rotating and holding device
JPWO2017204082A1 (en) * 2016-05-24 2019-04-04 三益半導体工業株式会社 Wafer holding mechanism and method for rotary table, and wafer rotary holding device
JP2018160509A (en) * 2017-03-22 2018-10-11 株式会社荏原製作所 Substrate processing apparatus and substrate processing method
KR20180108435A (en) * 2017-03-24 2018-10-04 가부시키가이샤 스크린 홀딩스 Substrate holding/rotating device, substrate processing apparatus including the same, and substrate processing method
KR102120535B1 (en) 2017-03-24 2020-06-08 가부시키가이샤 스크린 홀딩스 Substrate holding/rotating device, substrate processing apparatus including the same, and substrate processing method
US10998220B2 (en) 2017-03-24 2021-05-04 SCREEN Holdings Co., Ltd. Substrate holding/rotating device, substrate processing apparatus including the same, and substrate processing method
CN110612602A (en) * 2017-05-12 2019-12-24 科磊股份有限公司 Floating wafer chuck
CN110612602B (en) * 2017-05-12 2021-06-29 科磊股份有限公司 Floating wafer chuck
JP2019160894A (en) * 2018-03-09 2019-09-19 株式会社ジェーイーエル Lock releasing mechanism of substrate housing container

Similar Documents

Publication Publication Date Title
US7413628B2 (en) Substrate treatment method and substrate treatment apparatus
US11465167B2 (en) Substrate treatment apparatus
TWI605534B (en) Substrate holding/rotating device, substrate processing apparatus including the same, and substrate processing method
JP2004115872A (en) Substrate treatment device and substrate treatment method
JP2002368066A (en) Processing device
JP4031724B2 (en) Substrate processing method and substrate processing apparatus
JP4275420B2 (en) Substrate processing apparatus and substrate processing method
JP2015170772A (en) Substrate processing device
JPH11354617A (en) Substrate processing apparatus and method therefor
JP3762275B2 (en) Substrate processing apparatus and substrate processing method
JP2004111903A (en) Device and method for holding substrate and device and method for treating substrate using them
JP2010080583A (en) Device and method for processing substrate
WO2019208265A1 (en) Substrate treatment device and substrate treatment method
JP3971282B2 (en) Substrate holding mechanism, substrate processing apparatus, and substrate processing method
JP3322630B2 (en) Rotary processing device
JP3754334B2 (en) Substrate processing apparatus and substrate processing method
JP2003117501A (en) Method and apparatus for treating substrate
JP2003051477A (en) Substrate processing apparatus
JP3619667B2 (en) Substrate processing equipment
JP7315389B2 (en) SUBSTRATE PROCESSING APPARATUS AND SUBSTRATE PROCESSING METHOD
US20240186155A1 (en) Substrate processing apparatus and substrate processing method
KR102104737B1 (en) Substrate processing apparatus and substrate processing method
JP4655369B2 (en) Polishing apparatus, polishing method, and semiconductor device manufacturing method
JP2006049449A (en) Substrate processing device
JP2697810B2 (en) Coating method and coating device used therefor