JP2004012932A - Method for manufacturing mask, exposure method and method for manufacturing device - Google Patents

Method for manufacturing mask, exposure method and method for manufacturing device Download PDF

Info

Publication number
JP2004012932A
JP2004012932A JP2002167769A JP2002167769A JP2004012932A JP 2004012932 A JP2004012932 A JP 2004012932A JP 2002167769 A JP2002167769 A JP 2002167769A JP 2002167769 A JP2002167769 A JP 2002167769A JP 2004012932 A JP2004012932 A JP 2004012932A
Authority
JP
Japan
Prior art keywords
pattern
mask
desired pattern
auxiliary
size
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2002167769A
Other languages
Japanese (ja)
Other versions
JP2004012932A5 (en
Inventor
Kenji Yamazoe
山添 賢治
Kenji Saito
斉藤 謙治
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Canon Inc
Original Assignee
Canon Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Canon Inc filed Critical Canon Inc
Priority to JP2002167769A priority Critical patent/JP2004012932A/en
Priority to US10/251,581 priority patent/US7107573B2/en
Priority to TW091121661A priority patent/TWI315027B/en
Priority to EP02256555A priority patent/EP1357426A3/en
Priority to KR10-2002-0067238A priority patent/KR100533145B1/en
Publication of JP2004012932A publication Critical patent/JP2004012932A/en
Priority to KR1020050075017A priority patent/KR100633461B1/en
Publication of JP2004012932A5 publication Critical patent/JP2004012932A5/ja
Pending legal-status Critical Current

Links

Images

Landscapes

  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

<P>PROBLEM TO BE SOLVED: To provide a method for comparatively simply setting mask patterns and an illuminating condition to improve resolution. <P>SOLUTION: The method for manufacturing a mask suitable for an exposing method for illuminating the mask on which a required pattern and an auxiliary pattern whose size is smaller than the required pattern by a plurality of sorts of light so that the required pattern is resolved and the resolution of the auxiliary pattern is suppressed and projecting light passed through the mask to an object to be exposed through a projecting optical system is provided with a step for setting the dimensions of the required pattern and a step for controlling the dimensions of the required pattern in accordance with the characteristics of resist applied to the object to be exposed. <P>COPYRIGHT: (C)2004,JPO

Description

【0001】
【発明の属する技術分野】
本発明は、マスクパターン及び前記マスクパターンに最適な照明条件を設定する方法に係り、特に、所望のパターンと、当該所望のパターンのよりも寸法の小さな補助パターン又はダミーパターン(本出願では両者を交換可能に使用する。)とが配列されたマスクを、前記所望のパターンが解像され、かつ、前記補助パターンの解像が抑制されるように、複数種類の光で照明して前記マスクを経た光を被露光体に投影光学系を介して投影する露光方法に適したマスクパターン及び照明条件を設定する方法に関する。
【0002】
【従来の技術】
投影露光装置は、フォトリソグラフィ技術を用いてICやLSI及び液晶パネル等のデバイスを製造する際に用いられる。投影露光装置でより小さな解像度を得るために、一般的には投影露光装置の露光波長を短くし、投影光学系の開口数(NA)を大きくする方法が採用されてきた。
【0003】
一般には露光光の短波長化と開口数の増加によって解像力は改善されるが、投影露光装置には、その性質上、解像しやすいパターンと解像しにくいパターンがある。一般に、線パターン(以下、L/Sパターン)の方がコンタクトホールパターン(以下、C/Hパターン)よりも解像しやすいといわれている。そのため、半導体チップなどに用いられるL/Sパターンの幅よりC/Hパターンの幅のほうが大きいのが通常である。以上のことから、フォトリソグラフィ技術の微細化における問題点は微細C/Hパターンの作成であるということもできる。
【0004】
従来から所望のC/Hパターンにダミーパターンを挿入して、パターンの転写性能を変えるという試みがなされてきたが、ダミーパターンは主として焦点深度を改善するために挿入されてきた。また、この場合に使用される照明系の有効光源形状は、従来、いわゆる輪帯形状や四重極形状であった。
【0005】
【発明が解決しようとする課題】
本発明者は、ダミーパターンの挿入方法によっては焦点深度の改善だけでなく解像力の向上も得られること、その場合の最適な照明系は輪帯照明や四重極照明ではないことを発見した。特に、本発明者の経験によれば、四重極照明は、ダミーパターンが挿入されたマスクパターンのパターンハーフピッチに対応するkが0.25×√2以下になる場合にはほとんど役に立たない。ここで、kは、解像度R、開口数NA、露光光源の波長λを利用してk=R・NA/λで表されるファクターである。更に、従来技術は、所望のパターンが、ある一定の周期を持った場合にのみダミーパターンを挿入していたが、実際のマスクパターンでは全ての所望のパターンが一定の周期を有するというわけではないので、従来技術では幅広い適応が期待できない。
【0006】
そこで、本発明は、解像力を改善するために、マスクパターンと照明条件を比較的簡単に設定する方法を提供することを例示的目的とする。
【0007】
【課題を解決するための手段】
本発明のマスクの製造方法は、所望のパターンと、当該所望のパターンのよりも寸法の小さな補助パターンとが配列されたマスクを、前記所望のパターンが解像され、かつ、前記補助パターンの解像が抑制されるように、複数種類の光で照明して前記マスクを経た光を被露光体に投影光学系を介して投影する露光方法に適したマスクの製造方法である。ある実施形態では、かかるマスクの製造方法は、前記所望のパターンの寸法を設定するステップと、前記被露光体に塗布されるレジストの特性に応じて、前記所望のパターンの前記寸法を調節するステップを有することを特徴とする。かかる方法によれば、まず、所望のパターンの寸法を、前記被露光体上で作成されるべき寸法、投影光学系の倍率を考慮して設定され、その後、その寸法がレジストの特性を考慮して微調整される。前記レジストの特性が前記レジストのコントラストである場合には、前記調節ステップは、前記所望のパターンの前記寸法を、約0.85倍乃至約1.15倍の範囲内で調節してもよい。前記レジストの特性は前記レジストの閾値であり、前記調節ステップは、前記所望のパターンの前記寸法を、約0.85倍乃至約1.15倍の範囲内で調節してもよい。前記調節ステップは、前記レジストの前記特性と前記所望のパターンの前記寸法との関係を定めるデータベースを参照することによって調節してもよい。
【0008】
別の実施形態のマスクの製造方法は、上述の露光方法に適した方法であって、前記所望のパターンの寸法を設定するステップと、前記所望のパターンの寸法に対するマスクエラーエンハンスメントファクター及び線幅誤差の少なくとも一方と前記マスクパターンの最小ピッチとの関係を利用して、前記少なくとも一方が許容範囲内となるように、前記最小ピッチを決定するステップとを有することを特徴とする。
【0009】
別の実施形態のマスクの製造方法は、上述の露光方法に適した方法であって、前記所望のパターンの寸法を設定するステップと、前記補助パターンの寸法を設定するステップと、前記所望のパターンの寸法に対する、マスクエラーエンハンスメントファクター、線幅誤差、焦点深度、ロケーションエラー、サイズエラー及び露光量の少なくとも一方と前記補助パターンの寸法との関係を利用して、前記少なくとも一方が許容範囲内となるように、前記補助パターンの前記寸法を調節するステップとを有することを特徴とする。前記調節ステップは、前記補助パターンの寸法の10%の範囲内で変更してもよい。前記調節ステップは、前記マスクエラーエンハンスメントファクター、前記ロケーションエラー又は前記サイズエラーを改善するために、前記前記補助パターンの寸法を小さくしてもよい。前記調節ステップは、前記線幅誤差、前記焦点深度又は前記露光量を改善するために、前記前記補助パターンの寸法を大きくしてもよい。
【0010】
別の実施形態のマスクの製造方法は、上述の露光方法に適した方法であって、前記所望のパターンの寸法を、第1の方向において当該第1の方向と直交する第2の方向の寸法よりも長く設定するステップと、前記補助パターンの寸法を、前記第1の方向において当該第1の方向と直交する第2の方向の寸法よりも長く設定するステップとを有することを特徴とする。前記所望のパターンは長方形形状を有し、前記補助パターンは長方形形状を有してもよい。
【0011】
別の実施形態のマスクの製造方法は、上述の露光方法に適した方法であって、複数種類の形状が異なる所望のパターンが存在する場合に2種類以上の形状の異なる前記補助パターンを配置することを特徴とする。
【0012】
別の実施形態のマスクの製造方法は、上述の露光方法に適した方法であって、前記所望のパターンを、互いに干渉することのない距離だけ離間した第1及び第2の領域にそれぞれ形成するステップと、前記補助パターンを、前記第1及び第2の領域に対してそれぞれ異なるパターンとして設定するステップとを有することを特徴とする。前記第1の領域の最小ピッチは、前記第2の領域の最小ピッチよりも小さく、前記所望のパターンの設定ステップは、前記第2の領域の所望のパターンの寸法を増加させてもよい。また、かかる方法によって製造されたマスクを前記第1の領域の前記最小ピッチに対して最適化された照明系で照明することを特徴とする露光方法も本発明の別の側面を構成する。前記所望のパターンの形成ステップは、前記第1の領域の所望のパターンの形状を補正してもよい。また、かかる方法によって製造されたマスクを前記第2の領域の前記最小ピッチに対して最適化された照明系で照明することを特徴とする露光方法も本発明の別の側面を構成する。
【0013】
別の実施形態のマスクの製造方法は、上述の露光方法に適した方法であって、挿入される2つの補助パターンの重なった場合又は隣接した場合は、前記2つの補助パターンを設ける代わりに、前記2つの補助パターンの重心の中心を重心とする一の補助パターンを配置することを特徴とする。
【0014】
別の実施形態のマスクの製造方法は、上述の露光方法に適した方法であって、解像度をR、露光光の波長をλ、投影光学系の開口数をNA、k=R/(λ/NA)とし、挿入される2つのダミーパターンの最小頂点間隔がk換算で0.20以下になった場合に、前記2つの補助パターンを設ける代わりに、前記2つの補助パターンの重心の中心を重心とする一の補助パターンを配置することを特徴とする。
【0015】
別の実施形態のマスクの製造方法は、上述の露光方法に適した方法であって、前記所望のパターンを、直交2方向のいずれかの方向で整列する少なくとも2つのコンタクトホールを有する周期パターンと、前記直交2方向のいずれの方向においても整列する他のコンタクトホールを有しない孤立パターンとに分類するステップと、前記周期パターンに対しては、前記少なくとも2つのコンタクトホールの間隔としての周期に基づいて前記補助パターンを前記整列している方向に配置するステップと、前記孤立パターンに対しては任意の周期に基づいて前記補助パターンを配置する第2のステップとを有することを特徴とする。
【0016】
前記周期パターンに対して前記補助パターンを配置するステップは、前記所望パターンの最小ピッチに基づいて前記補助パターンの寸法を決定するステップと、前記周期と前記所望のパターンのホール径に基づいて前記補助パターンの周期を決定するステップとを有してもよい。前記補助パターンの寸法を決定するステップは、前記所望のパターンの最小ハーフピッチを、解像度をR、露光光の波長をλ、投影光学系の開口数をNA、k=R/(λ/NA)とおいた場合のkで換算した場合に、0.25x√2よりも小さいかどうか判断するステップと、前記判断ステップが小さいと判断した場合に、前記補助パターンの寸法を前記所望のパターンの所定の割合に設定するステップと、前記判断ステップが小さくないと判断した場合に、前記補助パターンの寸法をk換算で0.25以上に相当する寸法に設定するステップとを有してもよい。前記所定の割合は、70%以上85%以下であってもよい。
【0017】
前記補助パターンの周期を決定するステップは、前記所望のパターンの前記ホール径と、第1の閾値未満であるかどうかを判断する第1の判断ステップと、当該第1の判断ステップが未満であると判断すれば異常終了するステップを更に有してもよい。前記第1の閾値は0.25以上0.25×√2以下であってもよい。前記第1の判断ステップが未満ではないと判断すると、前記所望のパターンの前記ホール径が前記第1の閾値以上第2の閾値以下であるかどうかを判断する第2の判断ステップと、当該第2の判断ステップが前記第1の閾値以上第2の閾値以下であると判断した場合に、前記周期パターンの周期を、解像度をR、露光光の波長をλ、投影光学系の開口数をNA、k=R/(λ/NA)とおいた場合のkで換算した場合に、第3の閾値以上であるかどうかを判断する第3の判断ステップと、前記第3の判断ステップが前記第3の閾値以上ではないと判断した場合に、前記周期パターンの周期で前記補助パターンを配置するステップとを有してもよい。前記第3の判断ステップが前記第3の閾値以上ではあると判断した場合に、前記周期パターンの周期を所定の数で分割した値によって前記補助パターンを配置するステップとを有してもよい。前記第1の閾値は0.25以上0.25×√2以下であり、前記第2の閾値は0.25×√2以上0.5以下で、前記第3の閾値は1.0以上√2以下であってもよい。
【0018】
当該第2の判断ステップが前記第1の閾値以上第2の閾値以下でないと判断した場合に、前記周期パターンの周期をkで換算した場合に、第4の閾値以上であるかどうかを判断する第4の判断ステップと、前記第4のステップが前記第4の閾値以上であると判断した場合に、前記補助パターンを挿入しなくてもよい。当該第2の判断ステップが前記第1の閾値以上第2の閾値以下でないと判断した場合に、前記周期パターンの周期をkで換算した場合に、第4の閾値以上であるかどうかを判断する第4の判断ステップと、前記第4のステップが前記第4の閾値以上でないと判断した場合に、前記周期パターンの周期で前記補助パターンを配置するステップとを有してもよい。前記第1の閾値は0.25以上0.25×√2以下であり、前記第2の閾値は0.25×√2以上0.5以下で、前記第4の閾値は0.5×√2以上1.0以下であってもよい。前記孤立パターンに対して前記補助パターンを配置するステップは、前記孤立パターンから所定の距離の範囲内に周期性パターンが存在するかどうかを判断するステップと、前記判断ステップが存在すると判断した場合に前記周期性パターンの周期に合わせて前記補助パターンを配置することを特徴とするステップと、前記判断ステップが存在しないと判断した場合に前記孤立パターンの寸法をハーフピッチにして前記補助パターンを配置するステップとを有してもよい。
【0019】
前記周期パターンは、第1の方向に周期性を有する第1の周期パターンと、前記第1の方向に平行な第2の方向に周期性を有する第2のパターンを含み、前記第1及び第2の周期パターンを構成するコンタクトホールはいずれも前記第1及び第2の方向に垂直な方向に他のコンタクトホールが存在しない場合に、前記第1及び第2の周期パターンの間に、前記第1及び第2の方向に垂直な方向に関する前記第1及び第2の間隔を周期として利用して、前記補助パターンを配置するステップを更に有してもよい。前記周期パターンを構成するコンタクトホールはいずれも前記周期パターンが周期性を有する第1の方向に垂直な第2の方向に他のコンタクトホールが存在しない場合に、前記周期パターンと前記孤立パターンの間に、前記第2の方向に関する前記第1の方向と当該第1の方向と平行で前記孤立パターンを通る第3の方向との間隔を周期として利用して、前記補助パターンを配置するステップを更に有してもよい。
【0020】
別の実施形態のマスクの製造方法は、上述の露光方法に適した方法であって、前記所望のパターンの一部が所定の領域内で格子状の各点に配置され、前記所定の領域から前記格子点の間隔の2倍以下の距離にあるパターンの個数が3以下で、当該パターンは前記格子上の点から20%以内の範囲でずれている場合は、前記ずれているパターンが格子状に配置されているとみなして前記補助パターンを挿入することを特徴とする。
【0021】
本発明の一側面としてのデータベースは、上述の露光方法に適したマスクパターンの設定に使用されるデータベースであって、前記被露光体に塗布されたレジストの特性が入力されると、前記所望のパターンの寸法にかかるべきバイアスを表示することを特徴とする。
【0022】
別の実施形態のデータベースは、上述の露光方法に適したマスクパターンの設定に使用されるデータベースであって、マスクエラーエンハンスメントファクター及び線幅誤差の少なくとも一方の許容範囲と、前記所望のパターンの寸法が入力されると、マスクパターンの最小ピッチを表示することを特徴とする。
【0023】
更に別の実施形態のデータベースは、上述の露光方法に適したマスクパターンの設定に使用されるデータベースであって、マスクエラーエンハンスメントファクター、線幅誤差、焦点深度、ロケーションエラー、サイズエラー及び露光量の少なくとも一方の許容範囲と前記所望のパターンの寸法が入力されると、前記補助パターンの寸法を表示することを特徴とする。
【0024】
本発明の別の側面としての上述の露光方法は、焦点深度が許容範囲内となるように、前記マスクを照明する照明系のコヒーレンスファクターを調節するステップ、又は、焦点深度が許容範囲内となるように、前記被露光体を光軸方向に移動させながら複数回露光するステップを有することを特徴とする。前記マスクは、前記補助パターンの中で前記所望のパターンに隣接するパターンの寸法を他の補助パターンの寸法よりも小さくしてもよい。
【0025】
更に別の実施形態のデータベースは、上述の露光方法に適したマスクパターンの設定に使用されるデータベースであって、マスクの種類と、コントラスト又は線幅誤差の関係を表すことを特徴とする。
【0026】
上述のマスクの製造方法を実行するプログラムや上述の方法によって作成されたマスクも本発明の別の側面を構成する。かかるマスクを用いて前記被露光体を露光するステップと、前記露光された被露光体に所定のプロセスを行うステップとを有するデバイス製造方法も本発明の別の側面を構成する。上述のマスクの製造方法の作用と同様の作用を奏するデバイス製造方法の請求項は、中間及び最終結果物であるデバイス自体にもその効力が及ぶ。また、かかるデバイスは、LSIやVLSIなどの半導体チップ、CCD、LCD、磁気センサー、薄膜磁気ヘッドなどを含む。
【0027】
本発明の更なる目的又はその他の特徴は、以下添付図面を参照して説明される好ましい実施例によって明らかにされるであろう。
【0028】
【発明の実施の形態】
以下、添付図面を参照して本発明の実施の形態を説明する。以下、露光装置として仮定されているものは、特に断らない限り、光源の波長が248nmでその投影光学系の開口数が0.73である露光装置とする。投影露光装置は、縮小投影露光が一般的である。縮小投影露光の場合、作成したいパターンサイズとマスクパターンは露光装置に依存した倍率だけ異なる。露光装置の倍率はそれぞれの機種において様々であるので、以下においては、マスク上のパターンサイズをウェハ上の寸法に換算する。例えば、投影露光装置の倍率が0.25倍であるとき、120nmのパターンを作成したいとき、実際にはマスク上に480nmのパターンを作成しなくてはならないし、投影露光装置の倍率が0.20倍の場合はマスク上に600nmのパターンを作成しなくてはならない。しかし、以下では、これらの状況に対する区別をなくすためマスクパターンの大きさをウェハ上の寸法に換算し、120nmのパターンと呼ぶ。また、各パターンは一又は複数のコンタクトホールから構成されているが、本出願においては、「パターン」という用語はパターンの一部又は一のコンタクトホールを意味する場合もある。
【0029】
図1は、本実施形態のマスクパターン及び照明条件を設定する方法を説明するためのフローチャートである。所望のパターンと、当該パターンよりも小さなダミーパターンとが配列されたマスクを形成し、所望パターン部のみを解像させることによる露光方法を露光方法Iと呼ぶことにする。
【0030】
図1を参照するに、まず、始めに露光後に形成したいパターンに応じて所望パターンがないところの透過率を0とし、所望パターンがあるところの透過率を1として対応する所望パターンのデータを作成する(ステップ1002)。ここでは、ウェハに露光されるべき所望のパターンの寸法や配列を決定する。
【0031】
次に、所望のパターンの設定後に使用されるマスクの種類(例えば、バイナリーマスク、ハーフトーンマスク、位相シフトマスクなど)が決定される(ステップ1004)。ここでは、図14及び図15を参照して後述される実施例7において登場するマスク別データベースが使用される。
【0032】
次いで、所望のパターンのデータに対して、挿入されるべきダミーパターンと照明方法を決定する(ステップ1006)。
【0033】
ダミーパターンの寸法は、後述する図20(a)を参照して決定される。所望のパターンが周期パターンであればダミーパターンの周期は後述する図20(b)を参照して決定される。所望のパターンが孤立パターンであればダミーパターンの周期は図20(c)を参照して決定される。周期パターンは、直交2方向のいずれかの方向において整列する、少なくとも2つのコンタクトホールを有するパターンである。孤立パターンは、一のコンタクトホールのみからなり、直交2方向のいずれの方向においても整列する他のコンタクトホールが存在しないパターンである。後述する実施例11や14は、特に、所望のパターンが格子状の各点に配置されない場合にダミーパターンをどのように配置すればよいかを一般化するものである。
【0034】
照明方法の決定においては、演算を行い、照明条件をチェックし、照明条件が所定の設計ルール内に作成されていれば終了し、照明条件が所定の設計ルール内に作成されていなければ演算ステップに帰還することを所定回数だけ繰り返す。所定回数以内に照明条件の作成が合格と判断されなければ異常として終了する。代替的な照明方法の決定は、データベース(テーブルデータ)を引き出し、照明条件をチェックし、照明条件が所定の設計ルール内に作成されていれば終了し、照明条件が所定の設計ルール内に作成されていなければ演算ステップに帰還することを所定回数だけ繰り返す。所定回数以内に照明条件の作成が合格と判断されなければ異常として終了する。
【0035】
ステップ1006は、後述するステップ1020から処理が帰還された場合にダミーパターン及び/又は照明方法を補正する場合にも使用される。
【0036】
次に、所望のパターンがチェックされる(ステップ1008)。ここでは、ダミーパターンが所望のパターンに挿入されることによって作成されたマスクパターンのデータと照明条件のデータに基づいて、所望のパターンが精度よく形成されるのかどうかが判断される。即ち、ステップ1008は、ダミーパターンが解像されずに所望のパターンのみが精度良く解像されるかどうかを判断する。精度の度合いは一定の基準に従い決まっているが、使用者が決めても良い。所望のパターンのみが解像されるダミーパターンや照明条件の候補が複数あれば、コントラストの大きい方、線幅(CD:クリティカルディメンジョン)の誤差ばらつきが小さい方が選択されることが好ましい。
【0037】
ステップ1008が、所望のパターンが解像されないと判断すれば、補正がなされる(ステップ1010)。補正では、所望のパターン、ダミーパターン、その他が補正される。ダミーパターン及びその他の補正では、後述するステップ1020によって帰還したステップ1006において主として行なわれるが、微調節であればステップ1010で行われてもよい。
【0038】
所望のパターンの補正は、光学近接補正や後述する実施例1において説明される。光学近接補正(以下、OPC:Optical Proximity Correctionと呼ぶ)は、所望のパターンを精度良く転写可能にするための技術である。
【0039】
例えば、図24(a)に示すように、実線で示す所望のパターンの寸法が点線で示す所定値より大きかったら、図24(b)に示すように、所望のパターンを小さくするようにOPCを入れ、図24(c)に示すように、実線で示す所望のパターンの寸法が点線で示す所定値よりも小さかったら、図24(d)に示すように、所望のパターンを大きくするようにOPCを入れる。OPCは、例えば、後述する実施例12において有効である。
【0040】
また、ダミーパターンの寸法や形状を変更することも所望のパターンの補正につながる。例えば、所望のパターンの寸法が所望値より小さい場合、所望のパターンの周辺に配置されたダミーパターンの寸法を大きくしたり周期を小さくしたりする。逆に、所望パターンの寸法が所定値より大きい場合、所望のパターンの周辺に配置されたダミーパターンの寸法を小さくしたり周期を大きくしたりするなどの方法もある。
【0041】
所望のパターンの周辺に配置されたダミーパターンのホール数を変更しても所望のパターンの補正につながる。例えば、所望のパターンの周辺に配置されたダミーパターンのホール数を減らせば所望パターンの光量を小さくさせることができ、ダミーパターンのホール数を増やせば所望のパターンの光量を大きくすることができる。
【0042】
照明系を変更しても所望のパターンの補正につながる。例えば、バイナリーマスクにおいては図7(a)に示す有効光源分布を持つ照明系による照明が有効であるが、遮光領域の大きさ(図中のaやb)を変更することによってホール形状を丸くしたり、解像力や焦点深度を変更したりすることが可能になる。
【0043】
ダミーパターンの補正は、後述の実施例2乃至6、8乃至10、13において詳しく説明される。その他の補正は、最小ピッチ、マスクの種類、被露光体に塗布されるフォトレジストが感光する閾値、前記被露光体に塗布されるフォトレジストを変更して変更されたフォトレジストの閾値、有効光源のコヒーレンスファクターσを変更してもよい。例えば、位相シフトマスクは焦点深度を延ばしたり、線幅誤差ばらつきを多少低減したりする効果があるのでバイナリーマスクで焦点深度が足りない場合には位相シフトマスクを変更することも効果的である。
【0044】
補正後に所望のパターンが再度チェックされる(ステップ1012)。ステップ1008のチェックと同様に、ダミーパターンが解像されずに所望のパターンのみが精度良くが解像されるかどうかを判断する。依然として、ステップ1012のチェックに合格しなければ、ステップ1010に帰還する処理が所定回数(kmax)繰り返される(ステップ1014、1016)。所定回数kmaxを超えるとステップ1006に帰還する処理が所定回数jmaxだけ繰り返される(ステップ1018、1020)。
【0045】
ステップ1008又は1012によるチェックに合格しなければ処理は異常として終了する(ステップ1022)。ステップ1008又は1012によるチェックに最終的に合格すれば、ダミーホール径の微調整がなされ(ステップ1024)、最終的にはマスクパターンデータと照明条件が決定される(ステップ1026)。なお、ステップ1024は、ステップ1020から帰還するステップ1006やステップ1010においてなされてもよい。
【0046】
図1に示す方法の大部分はコンピュータが実行可能であるから、作成者は最終的にレジスト上に形成したいパターンを作成して入力するのみで、その後のマスクパターンデータ及び照明条件の生成は上記手順でコンピュータにより自動的に行なうことができるので、大規模な半導体集積回路の設計においても最適なマスクパターン及び照明条件を効率よく作成することができる。膨大なマスクデータを一括して処理しなくとも、マスクパターンデータを分割して処理でき、最後に合成するという方法もとれるため、コンピュータにとっても都合がよい。
【0047】
所望のパターン21が、図2(a)に示すコンタクトホール(以下、C/H)パターンであるとし、同図おいてpは220nmであるとする。図2(b)は、各ホールを識別するためのホール番号を示しており、後述する図15において使用される。縦横方向にハーフピッチ110nmでダミーホール32を挿入し、図3に示すようなマスクパターン30を作成する。即ち、図3に示すマスクパターン30は、所望のパターン21と同一の所望のパターン31とダミーパターン32とを有する。このときの各ダミーホール32の大きさは90nmである。
【0048】
照明系としては図4及び図7(a)及び(b)に示す形状の有効光源を有するものを考える。図4に示す四重極照明や図7(a)及び(b)に示す照明は、典型的に、図示しない露光装置の照明光学系において、オプティカルインテグレータの射出面の直後に配置された開口絞りの形状として具体化される。開口絞りは図示しない露光装置の投影光学系の瞳面と共役な位置に設けられており、開口絞りの開口形状は投影光学系の瞳面の有効光源形状に相当する。従って、図4に示す有効光源形状は、例えば、光透過部41と遮光部42とを有する開口絞り40として具体化され、図7(a)に示す有効光源形状は、遮光部71と光透過部72とを有する開口絞り70Aとして具体化され、図7(b)に示す有効光源形状は、遮光部73と光透過部74とを有する開口絞り70Bとして具体化される。
【0049】
図3に示すマスクパターン30を、照明系が図4に示す四重極照明によって露光実験を行った結果を図5(a)に示す。図5(a)から理解されるように、所望のパターン21が良好に解像していない。
【0050】
一方、図3に示すマスクパターン30を、照明系が図7(a)に示す十字型遮光部を持つ照明系によって露光実験を行ったところ、図5(b)に示すように、所望のパターン21がきれいに解像することが分かった。
【0051】
図7(a)の照明系は、図6(a)及び(b)のように2つに分解することができる。このうち、図6(a)に示す4つの部分61は、解像力を持つ効果を有し、図6(b)に示す4つの部分62は、ダミーホールの解像を抑える効果を有していることが分かった。このため、本出願では、図7(a)のような照明系から照射される光を複数種類の光と呼んでいる。同様に、図7(b)の照明系も解像に寄与する部分、ダミーホール解像の抑制に寄与する部分に分けることができるため、複数種類の光を持つと呼ぶことにする。もちろん、複数種類の光が図7(a)及び図7(b)の照明系からもたらされる光に限定されないことはいうまでもない。以上から、所望のパターン21の周りにダミーパターン32を挿入し、照明系に十字型遮光部を持たせれば所望のパターン21が解像することが理解される。
【0052】
今回の例では、所望のパターンが格子状の点にのっていたので直感的に周期的にダミーホールを挿入すればよいことがわかる。しかし、この例のパターンはあまり現実的ではない。
【0053】
現実的には任意のパターンが存在し、それらのパターンにダミーパターンを挿入する方法を考えなければならない。さらに、レジストの特性やダミーパターンの特性も考慮したほうがよい。本発明者が何通りものパターン、何通りもの現実の条件を想定して、発見したことを以下の実施例に紹介する。
【0054】
【実施例】
【実施例1】
図2(a)に示す所望のパターン21においてp=220nmであるとする。所望のパターンが格子状の点に配置されているので、図3に示すように、補助パターン32を追加した。あとは、十字型遮光部を有する有効光源形状で露光すればよい。
【0055】
本実施例では、ウェハに塗布されるレジストの特性と所望のパターンとの関係について考える。まず、レジストの特性のうち、レジストのコントラストについて考える。例えば、所望のパターンがコンタクトホールであるにも拘らず、作成者がホール用のレジストの代わりにライン用のレジストを使って露光したい場合について考える。ホール用とライン用のレジストは現像液に対するコントラストが異なり、コンタクトホールにはホール用のレジストが良いことがわかっているが、作成者はライン用のレジストの使用を希望している。
【0056】
このようなときは、各レジストの特性を考慮したデータベースを準備しておけば対応することができる。本実施形態のデータベースは、例えば、レジストの現像液に対するコントラストと対応する所望のパターンへのバイアスとの関係を表、もしくはグラフなどにして示している。ここで、現像液に対するコントラストとは、露光後の溶解速度から露光前の溶解速度を引いたもので定義されている。ホール系のレジストではこの差が大きく設定され、ライン系ではこの差が小さく設定されている。これを踏まえてグラフを描くと、縦軸が所望パターンへのバイアスで、横軸がレジストの現像液に対するコントラストのとき、レジストの現像液に対するコントラストが小さくなれば所望パターンへのバイアスを大きくし、レジストの現像液に対するコントラストが大きくなれば所望パターンへのバイアスを小さくしてある、図34に示すような単調減少のグラフが得られる。「所望のパターンへのバイアス」とは、所望のパターンの基準寸法に対する倍率である。「所望のパターンの基準寸法」は上述のように、本実施形態は、ウェハ上の寸法に換算された初期の寸法である。
【0057】
例えば、ホール用のレジストであるTOK−DP746HCを使用する場合について検討する。所望のホールの大きさを110nmに設定して、ダミーホールの大きさを90nmに設定し、図7(a)に示す照明系を使用し、その最大コヒーレンスファクターσを0.92に設定し、a=0.7、b=0.5に設定する。孤立ホールの光強度が弱いのでOPCにより3nmくらい大きめにするのもよい。ここで言う孤立ホールとは、図2(b)のホール番号1のことである。かかる条件で露光した結果を図8(a)に示す。同図に示すように、所望のパターン21が良好に解像されていることが理解される。
【0058】
次に、ライン用のレジストUV6−SLを使用した場合について検討する。各レジストの現像液に対するコントラストがデータベースを参照して、所望のパターンに1.1倍のバイアスをかけた。即ち、所望のパターンの大きさを121nmにした(このとき、もちろんパターン周期は変えない)。照明系は、図7(a)に示す有効光源形状を使用し、その最大σを0.92に設定し、a=0.7、b=0.5に設定した。かかる条件で露光した結果を図8(b)に示す。同図に示すように、所望のパターンが良好に解像されていることが理解される。
【0059】
別の例において、TOK−DP746HCで良好な露光結果が得られているのに、JSR−KRFM170Yというホール用レジストが使いたい場合があったとする。レジストデータベースにJSR−KRFM170Yの情報があり、それによれば所望ホールの大きさを110nmに設定して、ダミーホールの大きさを90nmに設定し、図7(a)に模式的に示したような照明系において、最大σを0.92にし、a=0.7、b=0.5すればよい。そのとおりに実験をしてみると、良好な露光結果が得られたので結果を図8(c)に示す。
【0060】
JSR−KRFM170Yの実験結果は、TOK−DP746HCに比べてテーパーが少ないため露光量が必要であることを示している。どちらを選ぶかは使用者次第である。
【0061】
このように、露光方法Iにおいて、レジストデータベースがあれば、作成者それぞれがレジスト使用法に関する様々な考えをもっていたとしても幅広く対応することができる。
【0062】
本発明者は、異なるコントラストを有するレジストに対して、所望のパターン21の寸法を数nmずつ変更しながらマスクを作成し、その結果、所望のパターンへのバイアスは約0.85倍から約1.15倍であることを発見した。約0.85倍以下であれば、必要な露光量の増加を招くし、コントラスト低下の原因にもなり、約1.15倍以上であれば所望のパターン21がつながり易くなることになる。
【0063】
レジストの特性は、レジストのコントラストに限定されず、レジストの閾値も含む。従って、データベースは所望のパターンとレジストの閾値との関係も保持していることが好ましい。本発明者は、異なる閾値を有するレジストに対して、所望のパターン21の寸法を数nmずつ変更しながらマスクを作成し、その結果、所望のパターンへのバイアスは約0.85倍から約1.15倍であることを発見した。約0.85倍以下であれば、必要な露光量の増加を招くし、コントラスト低下の原因にもなり、約1.15倍以上であれば所望のパターン21がつながり易くなることになる。
【0064】
【実施例2】
露光方法Iの評価量の一つにマスクエラーエンハンスメントファクター(以下、MEEF:Mask Error Enhancement Factorとする)がある。ここでは、MEEFを、マスクパターンにエラーが発生したとき、それに対応して発生するウェハ上のパターンエラーの比と定義する。一般に、MEEFは1に近いほうがよい。例えば、MEEFは、孤立線バイナリーマスクで1.4、孤立線位相シフトマスクで1、L/Sパターンは2、ホールは3以内を好ましいとしてロードマップを作成しようとする傾向がある。露光方式IのMEEFは後述する図9から約3.5であるので比較的小さいが、MEEFを更に小さくしたい場合がある。このような場合には、MEEFデータベースを予め準備しておけばそれに対応することができる。MEEFデータベースは、ダミーパターンの寸法とMEEFとの関係を示すデータベースである。例えば、図2(a)に示すようなマスクにおいて、pが220nmでホール径が110nmとする。縦横方向に周期220nmでダミーパターンを挿入していくと、図3のようなマスクパターンが完成する。このとき、ダミーパターンに横方向だけにエラーが発生したときのMEEFは図30(a)に示したようになる。図30(a)において、一番下の行は所望パターンの大きさを示しており、一番左の列はダミーパターンの大きさを示している。同様に、pが240nmでホール径が110nmとのきのMEEFは図30(b)に示したようになる。本発明者は、ダミーパターンの寸法を小さくするとMEEFも小さくなるということを発見した。これに関しては、図30を例にすると、どの所望パターンサイズに対してもダミーパターンが小さければMEEFが小さいということから判断することができる。所望パターンを大きくすればMEEFを小さくすることができることがわかる。
【0065】
なお、ダミーホールを小さくすると周期性を高める効果が小さくなり、ダミーホールを大きくすると解像してしまうので、本発明者はダミーホールの寸法をその基準寸法の±10%の範囲内で変更すべきであることを発見した。ここで、ダミーホールの基準寸法は後述する図20(a)のフローチャートによって決定される。
【0066】
以上から、本発明者は、所望のパターン21を変更せずにMEEFが許容範囲内になるように、ダミーホールの寸法を図20(a)によって決定される寸法よりも10%の範囲内で小さくするように調節すればよいことを発見した。照明系の最適化もMEEFに影響するので、MEEFデータベースには照明条件も考慮されることが好ましい。
【0067】
図2(a)に示す所望のパターン21の寸法を110nmとし、図3に示すように補助パターン32を入れた。補助パターン32の寸法を85nmとした(これは所望パターンの約77%に相当する)。また、使用する図7(a)に示す照明系において、最大コヒーレンスファクターσを0.92、a=0.7、b=0.5に設定した。所望のパターン21の各ホールの径を110nmに維持したままでパターンハーフピッチを変えていったときのMEEF(このMEEFは二次元方向にエラーがあるとしているので、一見すると一次元方向にエラーがあるとしている図30と結果が違うが、本質的には同じものである)を図9に示す。ある所定のMEEF以下にするにはパターンハーフピッチを変更すればよいことがわかる。この方法は所望マスクパターンそのものの変更になるものの、これから設計するマスクパターンの指標となるものである。
【0068】
以上のように、露光方式IにおけるMEEFの変更方法が明らかになった。
【0069】
【実施例3】
露光方法Iの評価量の一つに線幅誤差(以下、CDエラーとする)がある。ここでは、CDエラーを、所望のパターン21の寸法と、実際にウェハ上で形成されるパターンの所望のパターン21からの寸法のずれの比と定義する。一般に、CDエラーは0に近いほうが良い。
【0070】
露光方式IのCDエラーは後述する図10からわかるとおり、kが0.3に近いようなコンタクトホールに対しても約13%であるので比較的小さいが(通常は20%以下になることはほとんどない)、CDエラーを更に小さくしたい場合がある。CDエラーには上限がないものの、本発明者の経験からCDエラーが15%以下であればOPCで容易に補正することができる。このような場合には、CDエラーデータベースを予め準備しておけばそれに対応することができる。CDエラーデータベースは、ダミーパターンの寸法とCDエラーとの関係を示すデータベースである。例えば、図2(a)に示すようなマスクにおいて、pが220nmでホール径が110nmとする。縦横方向に周期220nmでダミーパターンを挿入していくと、図3のようなマスクパターンが完成する。このときのCDエラー図31(a)に示したようになる。図31(a)において、一番下の行は所望パターンの大きさを示しており、一番左の列はダミーパターンの大きさを示している。同様に、pが240nm、ホール径が110nmのときのCDエラーは図31(b)に示したようになる。ダミーパターンの寸法を大きくする(所望のパターンの寸法に近づける)とCDエラーも小さくなるということが図31から分かる。これは、ダミーホールを大きくすると、一般に、ホールパターンの中で作成し易いと言われるデンスパターンに近づくためであると思われる。
【0071】
なお、上述の理由から、ダミーホールの寸法をその基準寸法の±10%の範囲内で変更すべきである。ここで、ダミーホールの基準寸法は後述する図20(a)のフローチャートによって決定される。
【0072】
以上から、本発明者は、所望のパターン21を変更せずにCDエラーが許容範囲内になるように、ダミーホールの寸法を図20(a)によって決定される寸法よりも10%の範囲内で大きくするように調節すればよいことを発見した。照明系の最適化もCDエラーに影響するので、CDエラーデータベースには照明条件も考慮されることが好ましい。
【0073】
図2(a)に示す所望のパターン21の寸法を110nmとし、図3に示すように補助パターン32を入れた。補助パターン32の寸法を85nmとした。また、使用する図7(a)に示す照明系において、最大コヒーレンスファクターσを0.92、a=0.7、b=0.5に設定した。所望のパターン21の各ホールの径を110nmに維持したままでパターンハーフピッチを変えていったときのCDエラーを図10に示す。ある所定のCDエラー以下にするにはパターンハーフピッチを変更すればよいことがわかる。この方法は所望マスクパターンそのものの変更になるものの、これから設計するマスクパターンの指標となるものである。
【0074】
以上のように、露光方式IにおけるCDエラーの変更方法が明らかになった。
【0075】
【実施例4】
露光方法Iの評価量の一つに焦点深度(以下、DOF:DepthofFocusとする)がある。DOFは、ウェハが露光装置の光軸方向に焦点位置からずれてもよい許容範囲を与えるものである。許容範囲は、通常、所望のパターン21の寸法が所期の寸法の±10%に入るように決定される。一般に、DOFは大きいほうがよい。
【0076】
露光方式Iは擬似的なデンスパターンを露光しているとみなすことができるので、DOFは比較的大きいが、DOFを更に大きくしたい場合がある。DOFの下限は使用者によって異なるが、2002年4月23日から25日の3日間にわたって開催されたシンポジウム、フォトマスクジャパン(以下、PMJ:Photomask Japan)の冒頭講演「Lithography Strategy for 65nm Node」では来るべき時代では0.4μm以上のDOFが好ましいとの発表があった。このような場合には、DOFエラーデータベースを予め準備しておけばそれに対応することができる。DOFデータベースは、ダミーパターンの寸法とDOFとの関係を示すデータベースである。例えば、図2(a)に示すようなマスクにおいて、pが240nmでホール径が120nmとする。縦横方向に周期240nmでダミーパターンを挿入していくと、図3のようなマスクパターンが完成する。このときの、DOFは図32に示したようになる。図32において、一番下の行は所望パターンの大きさを示しており、一番左の列はダミーパターンの大きさを示している。なお、図32の結果は、CDが108nm〜120nmとなる時のDOFを表したものであり、比較的厳しい条件としている。図32より、ダミーパターンの寸法を大きくするとDOFも大きくなるということがわかる。これに関するシミュレーション結果を図33に示す。図2(a)に示すようなマスクにおいて、pが220nmでホール径が110nmとする。縦横方向に周期220nmでダミーパターンを挿入していくと、図3のようなマスクパターンが完成する。79.5nmの大きさをもつダミーパターンを挿入したとき、各デフォーカスにおける空中像は図33(i)のようになり、90.5nmの大きさのダミーパターンを挿入したとき、各デフォーカスにおける空中像は図33(ii)のようになる。ダミーパターンが大きければDOFが大きくなることが視覚的にわかる。
【0077】
なお、上述の理由から、ダミーホールの寸法をその基準寸法の±10%の範囲内で変更すべきである。ここで、ダミーホールの基準寸法は後述する図20(a)のフローチャートによって決定される。
【0078】
以上から、本発明者は、所望のパターン21を変更せずにDOFが許容範囲内となるように、ダミーホールの寸法を図20(a)によって決定される寸法よりも10%の範囲内で大きくするように調節すればよいことを発見した。
【0079】
照明系の最適化もDOFに影響するので、DOFデータベースには照明条件も考慮されることが好ましい。例えば、パターン周期が大きい場合に最大σを大きくするのは得策でなく、最大コヒーレンスファクターσを小さくするとDOFが伸びる場合もある。若しくは、ウェハを露光装置の光軸方向にずらしながら複数回露光することも効果的である。この方法によりDOFが大きくなるが、注意が必要となる。それは、ウエハーを露光装置の光軸方向にずらすと、ダミーパターンが解像する恐れがある。そのようなことを避けるため、ダミーパターンに補正を入れておくのがよい。例えば、図11に示すマスクパターン30Aでは、所望のパターン31の周辺のダミーパターン32Aを他のダミーパターン32よりも小さくしている。
【0080】
以上のように、露光方式IにおけるDOFの変更方法が明らかになった。
【0081】
【実施例5】
露光方式Iにおいて、楕円ホールを形成したいとする。例えば、図12(a)に示すような所望の長方形のパターン33Aがあったとする。かかるパターン33は、実際には楕円ホールとして解像することが多い。このとき、所望のパターン33のピッチに応じて正方形ダミーホール34を挿入して図12(b)に示すマスクパターン30Bを作成した。矢印についている記号は、同一記号ならば矢印の長さが同一であることを意味する。図12(b)に示すマスクパターン30Bに対して照明系を最適化すれば所望のパターン33が解像する可能性がある。しかし、楕円ホールの長さが足りなくなる場合もあり、いつもより大き目のOPCを入れる必要が生じる。ところが、図12(c)に示すマスクパターン30Cのように、ダミーパターン34の代わりに長方形のダミーホール35を挿入したところ、所望のパターン33に相当する楕円形のホールを作成しやすいことがわかった。
【0082】
楕円形ホールの長径方向に周期を大きくしてダミーパターンを挿入することでも楕円形を作成し易くする。これは以下のように説明することができる。即ち、縦横方向に同一周期でダミーホールを挿入すると、回折光は縦横方向に同一角度で飛んでいき同一角度で結像する。次に、横方向の周期を縦方向の周期より大きくすると、横方向は縦方向に比べて周期が大きいので縦方向の回折光と比べて回折光の広がり角度が小さくなる。回折光の広がり角度は擬似的なNAに相当する。縦方向の回折光の広がりが大きいということは、縦方向に擬似的なNAが大きいということに相当し、横方向の回折光の広がりが小さいということは横方向に擬似的なNAが小さいことに相当する。縦横方向の擬似的なNAを比較すると、縦方向の擬似的なNAが大きいので縦方向には解像力があることになり、縦方向には微細になる。一方、横方向は擬似的なNAが小さいので解像力が小さくなり、粗いパターンが作成される。
【0083】
従って、上記の2つの方法を組み合わせることにより楕円ホールの作成はより容易になる。以上のように、露光方式Iにおける楕円ホールの形成方法が明らかになった。
【0084】
【実施例6】
次に、複数のピッチを有する複数の所望のパターンが十分離れて混在し、それらのパターン間での互いの影響は無視できる場合について検討する。図13(a)に1つのマスク130上の2つの点線で囲まれた領域s及びtに形成された所望のパターン130A及び130Bを示す。所望のパターン130Aは、一の正方形ホール132と、2つの長方形ホール134を有する。所望のパターン130Bは、3つの正方形136を有する。
【0085】
領域sにおける所望のパターン130Aは、パターンの間隔Dが狭い。一方、領域tでは所望のパターン130Bは、パターンの間隔Dが広い。更に、領域tのパターンの周期Pに対するkは1よりやや小さいとする。領域sでの周期Pと領域tでの周期Pを比較すると、Pのほうが小さいとする。このため、領域sのパターンを精度良く解像させるのが非常に困難であることに加え、パターンが密である領域sとパターンが疎である領域tではウェハに到達する光強度が異なるため、両パターン130A及び130Bを同時に解像させるのに通常露光では不可能である。
【0086】
しかし、露光方式Iはかかる場合にも有効である。まず、領域sに対しては、図13(b)に示すように、ダミーパターン140Aを入れる。図13(b)に示すように、所望のパターン130Aが2つの異なる形状を有するホール132及び134を有しているので、ダミーパターン140Aも、それに対応して、ダミーホール142及び144から構成されている。
【0087】
このように、本実施例ではダミーパターン140Aの入れ方を工夫している。実施例5に紹介したように、長方形の所望のパターンにはそれにほぼ相似である長方形のダミーパターンがよく、正方形の所望のパターンにはそれにほぼ相似である正方形のダミーパターンがよい。そのため、領域sでは2種類の形状を持つダミーパターンが混在することになる。
【0088】
また、領域tに対しては、図13(c)に示すように、ダミーパターン140Bを入れる。図13(c)に示すように、ダミーパターン140Bはダミーホール146から構成され、所望のパターン130Bの2つのホール136の間にはダミーホール146が挿入されていない。ダミーホール146を挿入すると、パターン周期に対するkが0.5より小さくなってしまい、理論解像限界以下の周期を持ってしまうからである。例えば、領域tのホール径Lが110nmで、ホール間隔Dが220nmのときがそうである。先にも述べたように露光装置は光源の波長が248nmで、開口数が0.73であるので、周期P330nmに対するkは約0.97であり、その半分の周期に対応するkは約0.48であり、これは理論解像限界以下である。
【0089】
このようにダミーパターン140A及び140Bを入れたとき、領域sのピッチPの方が領域tのピッチPよりも小さいので、領域sのピッチPに合わせて照明条件を最適化した。その結果、良好にパターンを転写することが可能となった。ただし、それらの過程で、各領域間でダミーパターンの大きさを調節し、領域tにおける所望のパターン130Bの大きさを大きくした。
【0090】
以下、所望のパターン130A及び130Bの良好な解像に加えて、領域tにおける焦点深度を改善する方法について説明する。即ち、上述の例においては、領域tにおける焦点深度が小さくなる場合がある。これは、領域tのピッチPがあまりにも大きいため、領域sに合わせた照明系ではいわゆるフォービトゥンピッチ現象が起こるためである。フォービトゥンピッチ現象とは、ある一定の周期以上で急激にDOFが小さくなる現象である。この原因は通常の結像は0次光、1次光、−1次光を用いて行なうのに対し、パターン周期が大きくなりすぎると2次以上の回折光がパターン形成に寄与してしまうからである。これを防ぐには領域tに合わせた照明系の最適化が必要となる。その場合、領域sのパターンが良好に解像しないという結果が得られた。これは、解像力を優先すると焦点深度が落ち、焦点深度を優先すると解像力が落ちるという相反する原理があるためで、図13(a)はそのような相反するパターンの一例である。本発明者は、これに対して、図13(d)に示すように、領域sのパターンをOPCによって変更することにより、解像力の低下を防止することができることを発見した。図13(d)では、領域sの所望のパターン130Aの一部がOPCによって小さくされている。具体的には、点線で示す所望のホール132は実線で示す所望のホール132Aに、点線で示す所望のホール134は実線で示す所望のホール134Aに変更されている。これは焦点深度を大きくした代わりに、マスクデータを増やしたからである。焦点深度を優先するか、マスクデータの大きさを優先するかは作成者が決定することができる。
【0091】
本実施例によれば、通常では解像が不可能なパターンが十分な解像力と焦点深度で解像可能になった。
【0092】
【実施例7】
次に、バイナリマスク、ハーフトーンマスク、そして位相シフトマスクの特徴について説明する。図2(a)に示す所望のパターン21においてp=220nmであったとする。所望のパターン21が格子状に配置されているため、図3に示す補助パターン32を挿入してマスクパターン30を作成した。このとき、所望のパターン21のホール径は110nmであるとする。バイナリマスクとハーフトーンマスクの場合は、図7(a)のような有効光源分布を持つ照明系で露光すれば良く、この場合は、図7(a)において最大コヒーレンスファクターσが0.92、a=0.7、b=0.5に設定した。図3に示すマスクにおいて、互いに隣り合うホールに位相差180度をつけたいわゆる位相シフトマスクでは,図7(b)に示したような有効光源分布を持つ照明系を使用し、本実施例ではa=0.2、b=0.1と設定すると所望のパターンが解像された。
【0093】
図2(a)に示すパターン21のコントラストをシミュレーションにより評価したグラフを図14に示す。各種マスクによるコントラストの違いが理解される。また、線幅均一性をシミュレーションにより評価したグラフを図15に示す。図15において、グラフ横軸はホール番号で、図2(b)に示すものと対応する。縦軸は各ホール番号における線幅を適当に規格化し、線幅均一性を視覚的に表したもので、グラフが直線的であれば線幅均一性が良いことを意味する。
【0094】
図14及び図15から、コントラスト及びCDエラーに関してマスク別データベースを作成することができる。本実施例に従ってマスク別データベースを作成すれば、作成者の好みに応じてマスクを選ぶことが可能になるし、与えられたパターンに対して最適なマスクを選ぶことも容易になる。
【0095】
【実施例8】
2つの挿入されたダミーパターンの距離が所定以下の場合は、ダミーパターンが解像する可能性が高いため、挿入方法を見直す必要がある。
【0096】
まず、2つの挿入されたダミーパターン161が、図16(a)に示すように重なった場合、図16(d)に示すように、両ダミーパターン161の重心の中心に重心が一致するダミーパターン161を配置する。図16(d)中、点線で描かれた2つの四角形はもとのダミーパターン161で、実線で描かれた四角形が挿入方法を見直した後に作成されたダミーパターン161である。
【0097】
次に、2つの挿入されたダミーパターン161が、図16(b)に示すように隣接した場合、図16(e)に示すように、両ダミーパターン161の重心の中心に重心が一致するダミーパターン161を配置する。図16(e)中、点線で描かれた2つの四角形はもとのダミーパターン161で、実践で描かれた四角形が挿入方法を見直した後に作成されたダミーパターン161である。
【0098】
最後に、2つの挿入されたダミーパターン161が、図16(c)に示すように、その間隔が所定距離以下で配置されている場合について考える。所定距離は、ダミーパターンの中心間隔Dがk換算で約0.5以下になるような場合である。意外なことに、これはあまり気にしなくてよい場合が多い。照明系を上手に選ぶことによって、このようなダミーパターンの解像は大体回避できるからである。但し、挿入された各ダミーパターン間における最小頂点間隔Dがkに換算して0.2以下になったときは、図16(f)に示すように、両者の補助パターンの重心を中心を重心として有する補助パターン161を配置することが好ましい。万が一解像してしまうという場合に備える意味もあるし、マスク作成を困難にするおそれがあるからである。図16(f)の点線で描かれた四角形はもとのダミーパターン161で、実践で描かれた四角形が挿入方法を見直した後に作成されたダミーパターン161である。
【0099】
ダミーパターンの中心間隔Dがk換算で約0.5以下になっても気にしなくてよい場合が多いが、念のため隣接するダミーパターンの存在を覚えておくべきである。これは、人間がダミーパターンを入れる場合でも、コンピューターにダミーパターンを挿入させる場合でも同じである。特に、コンピューターまかせにしている場合は、このような個所を一時的に記憶させておくような操作が必要であり、パターン最終チェックのときにこのような個所が解像していないかをチェックするようなアルゴリズムを持たせるべきである。
【0100】
以上で、2つの挿入されたダミーパターンが重なったり、隣接したり、所定の距離以下となる場合の対処方法が明らかになった。
【0101】
【実施例9】
露光方式Iにおけるマスクの特徴は、所望のパターンにダミーパターンを挿入していることである。よって、ダミーパターンが所望のパターンに及ぼす影響について詳細に調べる必要がある。本実施例では、いわゆるロケーションエラーについて調べた。ここで、ロケーションエラーとは、例えば、図17に示したように本来ならば一列に並べたはずのマスクパターン171の中心172が多少ずれて作成されてしまうというものである。現在でのマスク作成技術では、通常マスク上で2、3nmのロケーションエラーが存在する。
【0102】
図2(a)に示す所望のパターン21に、図3のようにダミーパターン32を挿入した。ダミーパターンの数は112個である。
【0103】
ロケーションエラーが所望のパターン21に与える影響についてシミュレーションを行ったので、その方法を紹介する。平均が0、標準偏差が2.5/4となるような112個の乱数の集合W1xを作成する。これとは別に、平均が0、標準偏差が2.5/4となるような112個の乱数の集合W1yを更に作成する。W1xの単位をnmとしてx方向のロケーションエラーとし、同様にW1yの単位をnmとしてy方向のロケーションエラーとしてダミーパターンに反映させた。その結果、ロケーションエラーがない理想的な場合と比べて、所望のパターン21の線幅にどのような影響を及ぼすのかをシミュレーションした。信頼性を高めるために、1種類の乱数(W1x、W1y)に、更に9種類の乱数を考えてシミュレーションを行った。この操作を、10種類の大きさのダミーパターンに繰り返した。同様に、信頼性を高めるために、所望のパターンの寸法を変化させながら同様のシミュレーションを行った。非常に多くの時間と労力を費やしたシミュレーションの結果、ダミーパターンの大きさが小さければ所望のパターンはロケーションエラーの影響を受けにくいということが分かった。例えば、図27に示したように所望パターンとダミーパターンの大きさを変えたとき、ロケーションエラーの影響は図28に示したようになる。図28のグラフにおいて、横軸は図27に示したファイル番号で、縦軸はロケーションエラーに対応するもので、値が大きければ大きいほどロケーションエラーの影響が大きいということになる。
【0104】
本実施例の結果に基づいてロケーションエラーデータベースを作成することができる。ロケーションエラーデータベースは、ロケーションエラーとダミーパターンの寸法との関係を表すデータベースである。かかるデータベースを参照することによって、ロケーションエラーに強いマスクを作成することが可能となる。即ち、作成者は、ロケーションエラーデータベースを参照してロケーションエラーが許容範囲内となるようにダミーパターンの寸法を調節することができる。
【0105】
【実施例10】
露光方式Iにおけるマスクの特徴は、所望のパターンにダミーパターンを挿入していることである。よって、ダミーパターンが所望のパターンに及ぼす影響について詳細に調べる必要がある。本実施例では、いわゆるサイズエラーについて調べた。ここで、サイズエラーとは、例えば、図18に示すように、本来ならば設計値どおりに点線通りに作成されるダミーパターン181が所定の大きさからずれて実線のダミーパターン182として作成されてしまうときのずれをいう。
【0106】
図2(a)に示す所望のパターン21に、図3のようにダミーパターン32を挿入した。ダミーパターンの数は112個である。
【0107】
サイズエラーが所望のパターンに与える影響についてシミュレーションを行ったので、その方法を紹介する。平均が0、標準偏差が所望のパターンの大きさの2%となるような112個の乱数の集合R1xを作成する。これとは別に、平均が0、標準偏差が所望のパターンの大きさの2%となるような112個の乱数の集合R1yを更に作成する。R1xの単位をnmとしてx方向のサイズエラーとし、同様にR1yの単位をnmとしてy方向のサイズエラーとしてダミーパターンに反映させた。その結果、サイズエラーがない理想的な場合と比べて、所望のパターンの線幅にどのような影響を及ぼすのかをシミュレーションした。信頼性を高めるために、1種類の乱数(R1x、R1y)に対して、更に9種類の乱数を考えてシミュレーションを行った。この操作を、10種類の大きさのダミーパターンに繰り返した。同様に信頼性を高めるために、所望のパターンの寸法を変更しながら同様のシミュレーションを行った。非常に多くの時間と労力を費やしたシミュレーションの結果、ダミーパターンの寸法が小さければ所望のパターンはサイズエラーの影響を受けにくいということが分かった。例えば、図27に示したように所望パターンとダミーパターンの大きさを変えたとき、サイズエラーの影響は図29に示したようになる。図29のグラフにおいて、横軸は図27に示したファイル番号で、縦軸はサイズエラーに対応するもので、値が大きければ大きいほどエラーエラーの影響が大きいということになる。
【0108】
本実施例の結果に基づいてサイズエラーデータベースを作成することができる。サイズエラーデータベースは、サイズエラーとダミーパターンの寸法との関係を表すデータベースである。かかるデータベースを参照することによって、サイズエラーに強いマスクを作成することが可能となる。即ち、作成者は、サイズエラーデータベースを参照してサイズエラーが許容範囲内となるようにダミーパターンの寸法を調節することができる。
【0109】
【実施例11】
図19(a)に示すように、複数の周期が混在するパターン190について考える。従来、このような所望のパターン190にダミーパターンを挿入するのは不可能であった。なぜならば、ダミーパターンを周期的に挿入するという前提が使えないからである。しかし、本発明は、図20に示すフローチャートに従って、このようなパターン190に対してもダミーパターンが挿入することができる。
【0110】
図20(a)は、ダミーパターンの寸法を決定するためのフローチャートである。上述の実施例のダミーホールの寸法は図20(a)によって決定される。図20(a)におけるvは作成者が自由に設定することができる。まず、所望のパターンの最小ハーフピッチpに対するkが0.25x√2よりも小さいかどうかが判断される(ステップ1102)。ステップ1102がそうであると判断すれば、ダミーパターンの寸法は所望のパターンのv%に設定される(ステップ1104)。一方、ステップ1102がそうでないと判断すれば、ダミーパターンの寸法はkで0.25以下に相当する大きさに設定される(ステップ1106)。
【0111】
図20(b)は、所望のパターンが周期性のあるパターンの場合にダミーパターンの周期を決定するためのフローチャートである。図20(b)において、g1、g2、g3、g4は作成者が自由に設定することができる。理論的にはg1=0.25、g2=0.50、g3=2×g2、g4=2×g2がよい。もっとも、過去の経験や露光装置の性能を考慮してそれぞれの値を変えてもよい。
【0112】
まず、所望のパターンのホール径(図19(a)においてはs)が第1の閾値g1未満であるかどうかを判断する(ステップ1202)。ステップ1202が、そうであると判断すれば異常終了する(ステップ1204)。一方、ステップ1202がそうでないと判断すれば、所望のパターンのホール径が第1の閾値g1以上第2の閾値g2以下であるかどうかを判断する(ステップ1206)。ここで、周期パターンの周期をPとする。
【0113】
ステップ1206が、第1の閾値以上第2の閾値以下であると判断した場合は、P=Pとおき(ステップ1208)、Pをkで換算した場合に第3の閾値g3以上であるかどうかを判断する(ステップ1210)。
【0114】
ステップ1210が第3の閾値g3以上ではないと判断した場合に、周期Pでダミーパターンを挿入する(ステップ1212)。ステップ1210が第3の閾値g3以上であると判断すれば、i=i+1とおいて(ステップ1214)、Pをi分割したものをPとして(ステップ1216)、ステップ1210に帰還する。最終的には、周期Pでダミーパターンを挿入する(ステップ1212)。
【0115】
ステップ1206が第1の閾値g1以上第2の閾値g2以下でないと判断した場合に、P=Pとおき(ステップ1218)、Pをkで換算した場合に第4の閾値g4以上であるかどうかを判断する(ステップ1220)。ステップ1220が第4の閾値g4以上であると判断した場合には、Pからホール径を引いた値のkが第5の閾値g5以下であるかどうかを判断し(ステップ1222)、そうでなければ、ダミーパターンを挿入しない(ステップ1226)。一方、ステップ1120が第4の閾値g4以上でないと判断した場合又はステップ1222が第5の閾値g5以下であると判断した場合には周期Pでダミーパターンを配置する(ステップ1224)。
【0116】
図19(a)を参照するに、まず、縦方向・横方向にパターンがあるかどうかを調べる。パターン191は縦横方向にパターンはない。パターン192は横方向にパターンがあり、その間隔は3p’’’である。ただし、p’’’はkに換算すれば、図20(b)におけるg3以下であるとする。パターン193は、横方向に右側に間隔p、左側に間隔2p離れた位置にパターンがあった。ただし、pはkに換算すれば、図20(b)におけるg3以下であるとする。
【0117】
図19(a)のパターンには一見周期がないように見える。しかし、本実施例では、パターンが少なくとも2つあれば、そこに周期を認め、パターンが1つしかない場合は、どのように周期を作り出しても良いとしている。
【0118】
かかる原則に従って、図19(a)に示すパターン190の場合、パターン191は孤立パターンとして扱い、パターン192については横方向に周期3p’’’を有するパターンとして扱い、パターン193については横方向に周期2pを有するように扱えばよい。かかる周期は、図20(b)のフローチャートによって導かれる。孤立パターンの周期の入れ方については図20(c)のフローチャートを適用する。より詳細には、孤立パターンから所定の距離の範囲内に周期性パターンが存在するかどうかを判断し(ステップ1302)、ステップ1302が存在すると判断した場合にはそのパターンの周期に合わせてダミーパターンを配置し(ステップ1304)、ステップ1302が存在しないと判断した場合には孤立パターンの寸法をハーフピッチにしてダミーパターンを配置する(ステップ1306)。
【0119】
これで横方向におけるダミーパターンの挿入方法にめどがついた。横方向に関してだけダミーパターンを挿入した様子を図19(b)に示す。
【0120】
次は、縦方向にパターンを入れるわけであるが、どのパターンについても真上もしくは真下にパターンがない。だが、横方向に並んでいるパターンを線で結ぶとある線間隔を持っていることがわかる。この場合、それらの線間隔は2p’とp’’である。ただし、p’、p’’はともに図20(b)におけるg3以下であるとする。以上から、縦方向にはp’、p’’でダミーホールを入れればよい。最終結果を、図19(c)に示す。
【0121】
本実施例によれば、一見周期を持たないパターンに対してもダミーパターンを挿入することが可能になる。
【0122】
【実施例12】
図21に示すパターン200について考える。このパターン200には、一定の周期で格子を形成しており各格子点上にパターン210の中心212が配置されているが、一のパターン220だけがその格子点上にその中心がないとする。しかも、パターン220の中心222が微妙に格子点上からずれているとする。
【0123】
このような場合は、パターン220の位置ずれを無視してダミーパターン230を入れれば良い。なぜなら、OPCを入れればよいからである。この位置ずれが格子の周期の20%以内であるならば、特に問題はなくOPCを入れることによって回避できる。最終的に、図21(b)に示すようにダミーパターン230が挿入されたマスクパターン201が作成される。
【0124】
【実施例13】
以下、ダミーパターンの寸法と露光量との関係についての実施例をしめす。図2(a)に示す所望のパターン21においてp=220nmであるとする。所望のパターン21は格子状の点にのっているので、図3に示すように、補助パターン32を挿入してマスクパターン30を作成した。
【0125】
このとき、所望のパターン21のホール径は110nnである。ダミーパターンの大きさを80nmにしたとき、460J/mの露光量で良好なパターンを露光することができた。この結果を図22(a)に示す。次に、ダミーパターンの大きさを90nmにしたときは435J/mの露光量で良好なパターンを露光することができた。この結果を図22(b)に示す。理解されるように、ダミーパターンの大きさが大きければ大きいほど必要な露光量は小さくなる。この性質を利用すれば作成者が露光量をある程度の範囲で決定することが可能になる。
【0126】
同様に、ダミーパターンの数を変えることによっても露光量を変えることが可能である。ダミーパターンの数を増やせば少ない露光量での露光が可能となる。ダミーパターンを所望のパターンの周り2周までは、非常に効果的に露光量を調節することができるが、3周以上ダミーパターンを挿入していくと露光量調整の効果が薄れてくる。
【0127】
本実施例の結果に基づいて露光量データベースを作成することができる。露光量データベースは、露光量とダミーパターンの寸法及び/又はダミーパターンの数との関係を表すデータベースである。かかるデータベースを参照することによって、作成者は、所望の露光量に対応するダミーパターンの寸法を調節することができる。以上、本実施例によれば、露光量の調整が可能となる。
【0128】
【実施例14】
図20のフローチャートに従った例を紹介する。ここでは、話を簡単にするため、図20(a)と(b)の場合について議論を進める。本発明者が作成したプログラムは、ここでは波長248nm、開口数0.73の露光装置を仮定している。
【0129】
所望のパターン周期を280nmにして、所望のパターンホール径を変えていったとき、図23のような結果が得られた。図23の数値の単位はナノメートルである。このときの、図20(b)におけるg1、g2、g3、g4、g5の値はそれぞれ0.29、0.40、1.20、0.80、0.25であるとし、vは75である。
【0130】
本発明者が図20のフローチャートに従って作成したプログラムより得られた結果を用いてシミュレーションをおこなったところ、所望のパターンを精度よく転写することができることを確認した。
【0131】
投影露光装置の解像力は、0.25と√2という数字を境に変化することが多い。そのため、g1を0.25以上0.25×√2以下、g2を0.25×√2以上0.5以下、g3を1.0以上√2以下、g4を0.5×√2以上1.0以下、g5を0.25以上0.25×√2とすることによって、ほぼ全てのパターンに対するダミーパターンの周期を決めることが可能となることがわかった。
【0132】
以上のように、図20のフローチャートに従えば、ダミーパターンの周期と大きさを容易に決定することが可能となる。
【0133】
次に、図24及び図25を参照して、上述の露光装置を利用したデバイスの製造方法の実施例を説明する。図24は、デバイス(ICやLSIなどの半導体チップ、LCD、CCD等)の製造を説明するためのフローチャートである。ここでは、半導体チップの製造を例に説明する。ステップ1(回路設計)ではデバイスの回路設計を行う。ステップ2(マスク製作)では、設計した回路パターンを形成したマスクを製作する。ステップ3(ウェハ製造)ではシリコンなどの材料を用いてウェハを製造する。ステップ4(ウェハプロセス)は前工程と呼ばれ、マスクとウェハを用いて本発明のリソグラフィ技術によってウェハ上に実際の回路を形成する。ステップ5(組み立て)は後工程と呼ばれ、ステップ4によって作成されたウェハを用いて半導体チップ化する工程であり、アッセンブリ工程(ダイシング、ボンディング)、パッケージング工程(チップ封入)等の工程を含む。ステップ6(検査)では、ステップ5で作成された半導体デバイスの動作確認テスト、耐久性テストなどの検査を行う。こうした工程を経て半導体デバイスが完成し、これが出荷(ステップ7)される。
【0134】
図25は、ステップ4のウェハプロセスの詳細なフローチャートである。ステップ11(酸化)ではウェハの表面を酸化させる。ステップ12(CVD)では、ウェハの表面に絶縁膜を形成する。ステップ13(電極形成)では、ウェハ上に電極を蒸着などによって形成する。ステップ14(イオン打ち込み)ではウェハにイオンを打ち込む。ステップ15(レジスト処理)ではウェハに感光剤を塗布する。ステップ16(露光)では、露光装置によってマスクの回路パターンをウェハに露光する。ステップ17(現像)では、露光したウェハを現像する。ステップ18(エッチング)では、現像したレジスト像以外の部分を削り取る。ステップ19(レジスト剥離)では、エッチングが済んで不要となったレジストを取り除く。これらのステップを繰り返し行うことによってウェハ上に多重に回路パターンが形成される。
【0135】
【発明の効果】
以上のように本発明によると、データ作成者は最終的にレジスト上に形成したいパターンを作成して入力するだけでたり、その後のマスクパターンデータの生成は上記手順でコンピューターにより自動的に行うことができるので、大規模な半導体集積回路の設計においても最適なマスクパターンを効率よく作成することができる。
【図面の簡単な説明】
【図1】本発明によるマスクパターン及び照明条件を設定する方法を説明するためのフローチャートである。
【図2】図2(a)は所望のパターンを表す概略平面図であり、図2(b)は所望のパターンを構成する各ホールに識別用のホール番号をつけた平面図である。
【図3】所望のパターンにダミーパターンを挿入したマスクパターンの概略平面図である。
【図4】四重極照明の概略平面図である。
【図5】図5(a)は、図4に示す照明系によって図3に示すマスクパターンを照明した露光実験結果を示し、図5(b)は、図7(a)に示す照明系によって図3に示すマスクパターンを照明した露光実験結果を示す。
【図6】図6(a)は解像に寄与する照明部分を模式的に示した平面図であり、図6(b)はダミーパターンを抑制する照明部分を模式的に示した平面図である。
【図7】本発明に適用可能な複数種類の光を生成する照明系の例を示す図であり、特に、図7(a)はダミーパターンが挿入されたバイナリーマスクに適した照明系の図であり、図7(b)はダミーパターンが挿入された位相シフトマスクに適した照明系の図である。
【図8】図8(a)、(b)及び(c)は実施例1の露光実験結果を示す。
【図9】実施例2に使用されるマスクパターンのハーフピッチとマスクエラーエンハンスメントファクターとの関係を表すグラフである。
【図10】実施例3に使用されるマスクパターンのハーフピッチと線幅誤差との関係を表すグラフである。
【図11】実施例4に使用される、図3のマスクパターンの変形例を示す概略平面図である。
【図12】図12(a)は、実施例5に使用される長方形形状の所望のパターンを示す概略平面図である。図12(b)は図12(a)に示す所望のパターンに正方形形状のダミーパターンを挿入することによって作成されたマスクパターンを示す概略平面図である。図12(c)は図12(a)に示す所望のパターンに長方形形状のダミーパターンを挿入することによって作成されたマスクパターンを示す概略平面図である。
【図13】図13(a)は実施例6に使用される所望のパターンを示す概略平面図であり、図13(b)は図13(a)における領域sにダミーパターンを挿入した例を表す図であり、図13(c)は図13(a)における領域tにダミーパターンを挿入した例を表す図であり、図13(d)は図13(a)における領域sにダミーパターンをOPCを挿入した例を表す図である。
【図14】実施例7に使用される、各種マスクとコントラストとの関係を示すグラフである。
【図15】実施例7に使用される、各種マスクと線幅均一性との関係を示したグラフである。
【図16】問題がありそうなダミーパターン挿入例を模式的に示した図である。
【図17】実施例9に使用されるロケーションエラーを模式的に説明する図である。
【図18】実施例10に使用されるサイズエラーを模式的に説明する図である。
【図19】図19(a)は複数の周期が混在する所望のパターンの一例を表す図である。図19(b)は図19(a)のパターンの1方向にダミーパターンを挿入した例を表す図である。図19(c)は図19(a)のパターンにダミーパターンを挿入した一例を表す図である。
【図20】図20(a)は、実施例11に使用される、ダミーパターンの寸法を決定する方法を説明するためのフローチャートである。図20(b)は、実施例11に使用される、所望のパターンが周期パターンである場合にダミーパターンの周期を決定する方法を説明するためのフローチャートである。図20(c)は、実施例11に使用される、所望のパターンが孤立パターンである場合にダミーパターン周期を決定する方法を説明するためのフローチャートである。
【図21】図21(a)は、実施例12に使用される、一部に周期性が見られない所望のパターンの一例を示す概略平面図である。図21(b)は図21(a)に示す所望のパターンにダミーパターンを挿入することによって作成されたマスクパターンを示す概略平面図である。
【図22】図22(a)及び図22(b)は、実施例13の露光実験結果を示す
【図23】本発明者が作成したプログラムの結果を表すものである。
【図24】OPCを説明するための平面図である。
【図25】本発明の露光装置を有するデバイス製造方法を説明するためのフローチャートである。
【図26】図25に示すステップ4の詳細なフローチャートである。
【図27】所望パターンの大きさと補助パターンの大きさを識別するため便宜上つけた名前を示す図である。
【図28】ロケーションエラーの程度を示す図である。
【図29】サイズエラーの程度を示す図である。
【図30】ダミーパターンの寸法とMEEFとの関係を示すデータベースである。
【図31】ダミーパターンの寸法とCDエラーとの関係を示すデータベースである。
【図32】ダミーパターンの寸法とDOFとの関係を示すデータベースである。
【図33】図3に示すマスクパターンを用いた場合のシミュレーション結果を示す図である。
【図34】所望のパターンへのバイアスとレジストのコントラストとの関係を示すグラフである。
【符号の説明】
21、31、33               所望のパターン
30−30C                 マスクパターン
32、34、35               ダミーパターン
61、62、72、74             光透過部
71、73                   遮光部
130A、130B、132、134、136   所望のパターン
140A、142、144、146、161    ダミーパターン
171           所望のパターンに対応するマスクパターン
172           所望のパターンに対応するマスクパターンの中心
181           本来作成されるべきマスクパターン
182           実際に作成されたマスクパターン
191、192、193、210、220    所望のパターン
194、230                ダミーパターン
[0001]
TECHNICAL FIELD OF THE INVENTION
The present invention relates to a mask pattern and a method of setting optimum illumination conditions for the mask pattern, and in particular, relates to a desired pattern and an auxiliary pattern or a dummy pattern having a smaller dimension than the desired pattern (in the present application, both are used). The mask is arranged by illuminating the mask with a plurality of types of light so that the desired pattern is resolved and the resolution of the auxiliary pattern is suppressed. The present invention relates to a method for setting a mask pattern and an illumination condition suitable for an exposure method for projecting transmitted light onto an object to be exposed via a projection optical system.
[0002]
[Prior art]
The projection exposure apparatus is used when manufacturing devices such as ICs, LSIs, and liquid crystal panels using photolithography technology. In order to obtain a smaller resolution with a projection exposure apparatus, a method of shortening the exposure wavelength of the projection exposure apparatus and increasing the numerical aperture (NA) of the projection optical system has been generally adopted.
[0003]
Generally, the resolving power is improved by shortening the wavelength of the exposure light and increasing the numerical aperture. However, the projection exposure apparatus has a pattern that is easy to resolve and a pattern that is difficult to resolve due to its properties. Generally, it is said that a line pattern (hereinafter, L / S pattern) is easier to resolve than a contact hole pattern (hereinafter, C / H pattern). Therefore, the width of the C / H pattern is generally larger than the width of the L / S pattern used for a semiconductor chip or the like. From the above, it can be said that a problem in miniaturization of the photolithography technique is creation of a fine C / H pattern.
[0004]
Conventionally, attempts have been made to change the transfer performance of a pattern by inserting a dummy pattern into a desired C / H pattern. However, the dummy pattern has been inserted mainly to improve the depth of focus. Further, the effective light source shape of the illumination system used in this case has conventionally been a so-called annular shape or quadrupole shape.
[0005]
[Problems to be solved by the invention]
The present inventor has discovered that not only the depth of focus but also the resolution can be improved depending on the method of inserting the dummy pattern, and that the optimal illumination system in that case is not annular illumination or quadrupole illumination. In particular, according to the inventor's experience, quadrupole illumination has a k corresponding to the pattern half pitch of the mask pattern in which the dummy pattern is inserted. 1 Is almost useless if is less than or equal to 0.25 × √2. Where k 1 Is calculated using the resolution R, the numerical aperture NA, and the wavelength λ of the exposure light source. 1 = R · NA / λ. Further, in the prior art, a dummy pattern is inserted only when a desired pattern has a certain period. However, not all desired patterns have a certain period in an actual mask pattern. Therefore, wide adaptation cannot be expected with the prior art.
[0006]
Therefore, an object of the present invention is to provide a method for setting a mask pattern and an illumination condition relatively easily in order to improve resolution.
[0007]
[Means for Solving the Problems]
The method for manufacturing a mask according to the present invention is a method for manufacturing a mask, in which a desired pattern and an auxiliary pattern having a smaller size than the desired pattern are arranged, wherein the desired pattern is resolved and the auxiliary pattern is resolved. A mask manufacturing method suitable for an exposure method of irradiating with a plurality of types of light and projecting light having passed through the mask onto an object to be exposed via a projection optical system so that an image is suppressed. In one embodiment, the method for manufacturing a mask includes the steps of: setting a dimension of the desired pattern; and adjusting the dimension of the desired pattern according to characteristics of a resist applied to the object to be exposed. It is characterized by having. According to such a method, first, the dimensions of a desired pattern are set in consideration of the dimensions to be formed on the object to be exposed and the magnification of the projection optical system, and then the dimensions are determined in consideration of the characteristics of the resist. Fine tune. When the characteristic of the resist is the contrast of the resist, the adjusting step may adjust the dimension of the desired pattern within a range of about 0.85 times to about 1.15 times. The characteristic of the resist may be a threshold of the resist, and the adjusting may adjust the dimension of the desired pattern within a range of about 0.85 times to about 1.15 times. The adjusting may be adjusted by referring to a database that defines a relationship between the characteristics of the resist and the dimensions of the desired pattern.
[0008]
A mask manufacturing method according to another embodiment is a method suitable for the above-described exposure method, wherein a step of setting a size of the desired pattern, a mask error enhancement factor and a line width error for the size of the desired pattern are performed. And determining the minimum pitch so that at least one of the minimum pitches falls within an allowable range by utilizing a relationship between at least one of the mask patterns and a minimum pitch of the mask pattern.
[0009]
A method for manufacturing a mask according to another embodiment is a method suitable for the above-described exposure method, wherein the step of setting the dimension of the desired pattern, the step of setting the dimension of the auxiliary pattern, Using at least one of a mask error enhancement factor, a line width error, a depth of focus, a location error, a size error, and an exposure amount with respect to the dimension of the auxiliary pattern, and at least one of the dimensions is within an allowable range. Adjusting the size of the auxiliary pattern. The adjusting step may be changed within a range of 10% of a size of the auxiliary pattern. The adjusting step may reduce a size of the auxiliary pattern to improve the mask error enhancement factor, the location error, or the size error. The adjusting may include increasing a size of the auxiliary pattern to improve the line width error, the depth of focus, or the exposure amount.
[0010]
A method for manufacturing a mask according to another embodiment is a method suitable for the above-described exposure method, wherein a dimension of the desired pattern is set to a dimension in a second direction orthogonal to the first direction in a first direction. Setting the length of the auxiliary pattern to be longer than that of the auxiliary pattern in the first direction, and setting the length of the auxiliary pattern to be longer in a second direction orthogonal to the first direction in the first direction. The desired pattern may have a rectangular shape, and the auxiliary pattern may have a rectangular shape.
[0011]
A mask manufacturing method according to another embodiment is a method suitable for the above-described exposure method, and in a case where a plurality of types of desired patterns having different shapes are present, the auxiliary patterns having two or more types having different shapes are arranged. It is characterized by the following.
[0012]
A mask manufacturing method according to another embodiment is a method suitable for the above-described exposure method, wherein the desired patterns are formed in first and second regions separated by a distance that does not interfere with each other. And setting the auxiliary pattern as a different pattern for each of the first and second regions. The minimum pitch of the first area may be smaller than the minimum pitch of the second area, and the step of setting the desired pattern may increase a size of a desired pattern in the second area. An exposure method characterized by illuminating a mask manufactured by such a method with an illumination system optimized for the minimum pitch of the first region also constitutes another aspect of the present invention. The step of forming the desired pattern may correct a shape of the desired pattern in the first region. An exposure method characterized by illuminating the mask manufactured by such a method with an illumination system optimized for the minimum pitch of the second region also constitutes another aspect of the present invention.
[0013]
A method of manufacturing a mask according to another embodiment is a method suitable for the above-described exposure method. In a case where two inserted auxiliary patterns overlap or are adjacent to each other, instead of providing the two auxiliary patterns, One auxiliary pattern having a center of gravity of the two auxiliary patterns as a center of gravity is arranged.
[0014]
A method for manufacturing a mask according to another embodiment is a method suitable for the above-described exposure method, wherein the resolution is R, the wavelength of the exposure light is λ, the numerical aperture of the projection optical system is NA, k 1 = R / (λ / NA), and the minimum vertex interval between two inserted dummy patterns is k 1 When the converted value becomes 0.20 or less, one auxiliary pattern having a center of gravity of the center of gravity of the two auxiliary patterns is arranged instead of providing the two auxiliary patterns.
[0015]
A mask manufacturing method according to another embodiment is a method suitable for the above-described exposure method, wherein the desired pattern is formed by a periodic pattern having at least two contact holes aligned in any one of two orthogonal directions. Classifying into an isolated pattern having no other contact holes aligned in any of the two orthogonal directions; and for the periodic pattern, based on a cycle as an interval between the at least two contact holes. And arranging the auxiliary pattern in the direction in which the auxiliary patterns are aligned, and a second step of arranging the auxiliary pattern on the isolated pattern based on an arbitrary period.
[0016]
Arranging the auxiliary pattern with respect to the periodic pattern includes determining a size of the auxiliary pattern based on a minimum pitch of the desired pattern; and setting the auxiliary pattern based on the cycle and a hole diameter of the desired pattern. Determining the period of the pattern. The step of determining the size of the auxiliary pattern includes: setting a minimum half pitch of the desired pattern to a resolution of R, a wavelength of exposure light to λ, a numerical aperture of the projection optical system to NA, k 1 = K when R / (λ / NA) 1 Determining whether the value is smaller than 0.25 × √2, and setting the size of the auxiliary pattern to a predetermined ratio of the desired pattern when determining that the size is smaller than 0.25 × √2 When the determination step determines that the size is not small, the size of the auxiliary pattern is set to k. 1 Setting the size to a value equivalent to 0.25 or more in conversion. The predetermined ratio may be 70% or more and 85% or less.
[0017]
The steps of determining the cycle of the auxiliary pattern include: a first determination step of determining whether the hole diameter of the desired pattern is smaller than a first threshold; and a first determination step of less than the first determination step. If the determination is made, a step of terminating abnormally may be further provided. The first threshold value may be not less than 0.25 and not more than 0.25 × √2. A second determining step of determining whether the hole diameter of the desired pattern is equal to or greater than the first threshold and equal to or less than a second threshold; If the determination step 2 determines that the period is not less than the first threshold and not more than the second threshold, the period of the periodic pattern is R, the wavelength of the exposure light is λ, and the numerical aperture of the projection optical system is NA. , K 1 = K when R / (λ / NA) 1 A third judgment step of judging whether or not the periodic pattern is equal to or more than a third threshold value; and Arranging the auxiliary pattern in a cycle. A step of arranging the auxiliary pattern according to a value obtained by dividing the cycle of the periodic pattern by a predetermined number when the third determining step determines that the auxiliary pattern is equal to or greater than the third threshold value. The first threshold value is 0.25 or more and 0.25 × √2 or less, the second threshold value is 0.25 × √2 or more and 0.5 or less, and the third threshold value is 1.0 or more1.0. It may be 2 or less.
[0018]
When the second determining step determines that the period is not less than the first threshold and not more than the second threshold, the period of the periodic pattern is set to k 1 A fourth determination step of determining whether or not the auxiliary pattern is equal to or greater than a fourth threshold, and inserting the auxiliary pattern when determining that the fourth step is equal to or greater than the fourth threshold. You don't have to. When the second determining step determines that the period is not less than the first threshold and not more than the second threshold, the period of the periodic pattern is set to k 1 In the case of conversion in the above, a fourth determination step of determining whether or not the fourth threshold value or more, and if it is determined that the fourth step is not the fourth threshold value or more, in the cycle of the periodic pattern Arranging the auxiliary pattern. The first threshold is 0.25 or more and 0.25 × √2 or less, the second threshold is 0.25 × 以上 2 or more and 0.5 or less, and the fourth threshold is 0.5 × √. It may be 2 or more and 1.0 or less. The step of arranging the auxiliary pattern with respect to the isolated pattern includes: a step of determining whether a periodic pattern exists within a predetermined distance from the isolated pattern; and a step of determining whether the determination step exists. Arranging the auxiliary pattern in accordance with the period of the periodic pattern, and arranging the auxiliary pattern with a half-pitch dimension of the isolated pattern when it is determined that the determining step does not exist. And a step.
[0019]
The periodic pattern includes a first periodic pattern having periodicity in a first direction, and a second pattern having periodicity in a second direction parallel to the first direction. Each of the contact holes forming the second periodic pattern has a contact hole between the first and second periodic patterns when there is no other contact hole in a direction perpendicular to the first and second directions. The method may further include arranging the auxiliary pattern using the first and second intervals in a direction perpendicular to the first and second directions as a cycle. Any of the contact holes forming the periodic pattern may be located between the periodic pattern and the isolated pattern when there is no other contact hole in a second direction perpendicular to the first direction in which the periodic pattern has periodicity. And arranging the auxiliary pattern by using, as a cycle, an interval between the first direction with respect to the second direction and a third direction parallel to the first direction and passing through the isolated pattern. May have.
[0020]
A method for manufacturing a mask according to another embodiment is a method suitable for the above-described exposure method, wherein a part of the desired pattern is arranged at each grid-like point in a predetermined area, and If the number of patterns at a distance of twice or less the distance between the lattice points is 3 or less and the patterns are displaced within 20% of points on the lattice, the displaced pattern is in a lattice shape. The auxiliary pattern is inserted assuming that the auxiliary pattern is arranged.
[0021]
The database as one aspect of the present invention is a database used for setting a mask pattern suitable for the above-described exposure method, and when the characteristics of the resist applied to the object to be exposed are input, the desired A bias to be applied to the dimension of the pattern is displayed.
[0022]
The database of another embodiment is a database used for setting a mask pattern suitable for the above-described exposure method, and includes a tolerance of at least one of a mask error enhancement factor and a line width error, and a dimension of the desired pattern. Is input, the minimum pitch of the mask pattern is displayed.
[0023]
The database of still another embodiment is a database used for setting a mask pattern suitable for the above-described exposure method, and includes a mask error enhancement factor, a line width error, a depth of focus, a location error, a size error, and an exposure amount. When at least one of the allowable range and the dimensions of the desired pattern are input, the dimensions of the auxiliary pattern are displayed.
[0024]
In the above-described exposure method according to another aspect of the present invention, the step of adjusting a coherence factor of an illumination system illuminating the mask such that the depth of focus falls within an allowable range, or the depth of focus falls within an allowable range. Thus, the method includes a step of exposing a plurality of times while moving the object to be exposed in the optical axis direction. The mask may have a dimension of a pattern adjacent to the desired pattern in the auxiliary patterns smaller than dimensions of other auxiliary patterns.
[0025]
A database according to still another embodiment is a database used for setting a mask pattern suitable for the above-described exposure method, and is characterized by expressing a relationship between a type of a mask and a contrast or a line width error.
[0026]
A program for executing the above-described mask manufacturing method and a mask created by the above-described method also constitute another aspect of the present invention. A device manufacturing method including a step of exposing the object to be exposed using the mask and a step of performing a predetermined process on the object to be exposed also constitutes another aspect of the present invention. The claims of the device manufacturing method having the same operation as that of the above-described mask manufacturing method extend to the device itself, which is an intermediate and final product. Such devices include semiconductor chips such as LSI and VLSI, CCDs, LCDs, magnetic sensors, thin-film magnetic heads, and the like.
[0027]
Further objects and other features of the present invention will become apparent from preferred embodiments described below with reference to the accompanying drawings.
[0028]
BEST MODE FOR CARRYING OUT THE INVENTION
Hereinafter, embodiments of the present invention will be described with reference to the accompanying drawings. Hereinafter, what is assumed as an exposure apparatus is an exposure apparatus in which the wavelength of the light source is 248 nm and the numerical aperture of the projection optical system is 0.73, unless otherwise specified. The projection exposure apparatus generally uses reduced projection exposure. In the case of reduced projection exposure, the pattern size and mask pattern to be created differ by a magnification depending on the exposure apparatus. Since the magnification of the exposure apparatus varies depending on the model, in the following, the pattern size on the mask is converted into the dimension on the wafer. For example, when the magnification of the projection exposure apparatus is 0.25, when a pattern of 120 nm is to be created, a pattern of 480 nm must actually be created on the mask. In the case of 20 times, a 600 nm pattern must be formed on the mask. However, in the following, the size of the mask pattern is converted to the size on the wafer to eliminate the distinction for these situations, and is referred to as a 120 nm pattern. In addition, although each pattern includes one or a plurality of contact holes, in the present application, the term “pattern” may mean a part of the pattern or one contact hole.
[0029]
FIG. 1 is a flowchart for explaining a method for setting a mask pattern and illumination conditions according to the present embodiment. An exposure method by forming a mask in which a desired pattern and a dummy pattern smaller than the desired pattern are arranged and resolving only the desired pattern portion is referred to as an exposure method I.
[0030]
Referring to FIG. 1, first, according to a pattern to be formed after exposure, the transmittance of a portion where there is no desired pattern is set to 0, and the transmittance of a portion where a desired pattern is located is set to 1 to create data of a corresponding desired pattern. (Step 1002). Here, the dimensions and arrangement of the desired pattern to be exposed on the wafer are determined.
[0031]
Next, the type of mask (for example, binary mask, halftone mask, phase shift mask, etc.) to be used after setting the desired pattern is determined (step 1004). Here, a mask-specific database appearing in a seventh embodiment described later with reference to FIGS. 14 and 15 is used.
[0032]
Next, a dummy pattern to be inserted and an illumination method are determined for the data of the desired pattern (step 1006).
[0033]
The dimensions of the dummy pattern are determined with reference to FIG. If the desired pattern is a periodic pattern, the period of the dummy pattern is determined with reference to FIG. If the desired pattern is an isolated pattern, the period of the dummy pattern is determined with reference to FIG. The periodic pattern is a pattern having at least two contact holes aligned in any one of two orthogonal directions. The isolated pattern is a pattern including only one contact hole and having no other contact holes aligned in any of the two orthogonal directions. Embodiments 11 and 14 to be described later generalize how to arrange dummy patterns particularly when a desired pattern is not arranged at each grid point.
[0034]
In the determination of the lighting method, a calculation is performed, the lighting conditions are checked, and if the lighting conditions are created within predetermined design rules, the operation is terminated. If the lighting conditions are not created within predetermined design rules, an operation step is performed. Is repeated a predetermined number of times. If the creation of the lighting conditions is not determined to be successful within the predetermined number of times, the process ends as abnormal. The determination of the alternative lighting method is performed by extracting the database (table data), checking the lighting conditions, and ending if the lighting conditions are created in the predetermined design rules, and creating the lighting conditions in the predetermined design rules. If not, returning to the calculation step is repeated a predetermined number of times. If the creation of the lighting conditions is not determined to be successful within the predetermined number of times, the process ends as abnormal.
[0035]
Step 1006 is also used to correct the dummy pattern and / or the illumination method when the processing is returned from step 1020 described below.
[0036]
Next, a desired pattern is checked (step 1008). Here, it is determined whether or not a desired pattern is formed with high accuracy based on mask pattern data and illumination condition data created by inserting a dummy pattern into the desired pattern. That is, in step 1008, it is determined whether or not only the desired pattern is accurately resolved without resolving the dummy pattern. The degree of accuracy is determined according to a certain standard, but may be determined by the user. If there are a plurality of dummy patterns or illumination condition candidates in which only a desired pattern can be resolved, it is preferable to select the one having a larger contrast and the one having a smaller error variation in line width (CD: critical dimension).
[0037]
If step 1008 determines that the desired pattern is not resolved, a correction is made (step 1010). In the correction, a desired pattern, a dummy pattern, and the like are corrected. The dummy pattern and other corrections are mainly performed in step 1006 which is fed back in step 1020 described later, but may be performed in step 1010 for fine adjustment.
[0038]
Correction of a desired pattern will be described in optical proximity correction and Example 1 described later. Optical proximity correction (hereinafter referred to as OPC: Optical Proximity Correction) is a technique for enabling transfer of a desired pattern with high accuracy.
[0039]
For example, as shown in FIG. 24A, if the size of a desired pattern shown by a solid line is larger than a predetermined value shown by a dotted line, as shown in FIG. 24B, OPC is performed to reduce the size of the desired pattern. 24C, if the size of the desired pattern indicated by the solid line is smaller than the predetermined value indicated by the dotted line, as shown in FIG. 24D, the OPC is performed to increase the size of the desired pattern as shown in FIG. Insert OPC is effective, for example, in Example 12 described later.
[0040]
Changing the size and shape of the dummy pattern also leads to correction of a desired pattern. For example, when the size of the desired pattern is smaller than the desired value, the size of the dummy pattern arranged around the desired pattern is increased or the cycle is reduced. Conversely, when the size of the desired pattern is larger than a predetermined value, there are methods such as reducing the size of the dummy pattern arranged around the desired pattern or increasing the period.
[0041]
Changing the number of holes in the dummy pattern arranged around the desired pattern also leads to correction of the desired pattern. For example, the light amount of the desired pattern can be reduced by reducing the number of holes of the dummy pattern arranged around the desired pattern, and the light amount of the desired pattern can be increased by increasing the number of holes of the dummy pattern.
[0042]
Changing the illumination system leads to correction of a desired pattern. For example, in a binary mask, illumination by an illumination system having an effective light source distribution shown in FIG. 7A is effective. However, by changing the size of the light shielding area (a and b in the figure), the hole shape is rounded. And the resolution and the depth of focus can be changed.
[0043]
The correction of the dummy pattern will be described in detail in Examples 2 to 6, 8 to 10, and 13 described later. Other corrections include the minimum pitch, the type of mask, the threshold at which the photoresist applied to the object is exposed, the threshold of the photoresist changed by changing the photoresist applied to the object, and the effective light source. May be changed. For example, since a phase shift mask has the effect of extending the depth of focus and slightly reducing the variation in line width error, it is also effective to change the phase shift mask when the depth of focus is insufficient with a binary mask.
[0044]
After the correction, the desired pattern is checked again (step 1012). Similarly to the check in step 1008, it is determined whether or not only the desired pattern can be accurately resolved without the dummy pattern being resolved. If the check in step 1012 still does not pass, the process of returning to step 1010 is repeated a predetermined number of times (kmax) (steps 1014 and 1016). When the predetermined number of times kmax is exceeded, the process of returning to step 1006 is repeated a predetermined number of times jmax (steps 1018 and 1020).
[0045]
If the check in step 1008 or 1012 does not pass, the process ends as abnormal (step 1022). If the check at step 1008 or 1012 finally passes, fine adjustment of the diameter of the dummy hole is made (step 1024), and finally, the mask pattern data and the illumination conditions are determined (step 1026). Step 1024 may be performed in step 1006 or step 1010 which returns from step 1020.
[0046]
Since most of the method shown in FIG. 1 is computer-executable, the creator only has to create and input a pattern to be finally formed on the resist, and the subsequent generation of mask pattern data and illumination conditions is as described above. Since the steps can be automatically performed by a computer, optimal mask patterns and illumination conditions can be efficiently created even in the design of a large-scale semiconductor integrated circuit. Even if a huge amount of mask data is not processed in a lump, the mask pattern data can be divided and processed, and a method of combining the mask data at the end can be used.
[0047]
It is assumed that the desired pattern 21 is a contact hole (hereinafter, C / H) pattern shown in FIG. 2A, and that p is 220 nm in FIG. FIG. 2B shows a hole number for identifying each hole, which is used in FIG. 15 described later. Dummy holes 32 are inserted vertically and horizontally at a half pitch of 110 nm to form a mask pattern 30 as shown in FIG. That is, the mask pattern 30 shown in FIG. 3 has the same desired pattern 31 and the dummy pattern 32 as the desired pattern 21. At this time, the size of each dummy hole 32 is 90 nm.
[0048]
It is assumed that the illumination system has an effective light source having the shape shown in FIGS. 4 and 7A and 7B. The quadrupole illumination shown in FIG. 4 and the illumination shown in FIGS. 7A and 7B are typically provided by an aperture stop arranged immediately after the exit surface of an optical integrator in an illumination optical system of an exposure apparatus (not shown). Is embodied as a shape. The aperture stop is provided at a position conjugate with the pupil plane of the projection optical system of the exposure apparatus (not shown), and the aperture shape of the aperture stop corresponds to the effective light source shape of the pupil plane of the projection optical system. Therefore, the effective light source shape shown in FIG. 4 is embodied as, for example, an aperture stop 40 having a light transmitting portion 41 and a light shielding portion 42, and the effective light source shape shown in FIG. The effective light source shape shown in FIG. 7B is embodied as an aperture stop 70B having a light blocking part 73 and a light transmitting part 74.
[0049]
FIG. 5A shows the result of an exposure experiment performed on the mask pattern 30 shown in FIG. 3 by the illumination system using the quadrupole illumination shown in FIG. As understood from FIG. 5A, the desired pattern 21 is not resolved well.
[0050]
On the other hand, when an exposure experiment was performed on the mask pattern 30 shown in FIG. 3 using an illumination system having a cross-shaped light shielding portion shown in FIG. 7A, a desired pattern was obtained as shown in FIG. 21 was clearly resolved.
[0051]
The illumination system in FIG. 7A can be divided into two as shown in FIGS. 6A and 6B. Of these, the four portions 61 shown in FIG. 6A have the effect of having a resolution, and the four portions 62 shown in FIG. 6B have the effect of suppressing the resolution of dummy holes. I found out. For this reason, in the present application, light emitted from the illumination system as shown in FIG. 7A is referred to as a plurality of types of light. Similarly, the illumination system shown in FIG. 7B can be divided into a portion contributing to the resolution and a portion contributing to the suppression of the dummy hole resolution. Of course, it goes without saying that the plurality of types of light are not limited to the light provided from the illumination system of FIGS. 7A and 7B. From the above, it is understood that if the dummy pattern 32 is inserted around the desired pattern 21 and the illumination system has a cross-shaped light shielding portion, the desired pattern 21 is resolved.
[0052]
In this example, since the desired pattern is located on the lattice-like points, it can be seen that dummy holes should be inserted intuitively and periodically. However, the pattern in this example is not very realistic.
[0053]
Actually, arbitrary patterns exist, and a method of inserting a dummy pattern into those patterns must be considered. Further, it is better to consider the characteristics of the resist and the characteristics of the dummy pattern. The following examples will introduce what the inventor has discovered assuming many patterns and many real conditions.
[0054]
【Example】
Embodiment 1
It is assumed that p = 220 nm in the desired pattern 21 shown in FIG. Since the desired pattern is arranged at the lattice points, an auxiliary pattern 32 was added as shown in FIG. After that, exposure may be performed with an effective light source shape having a cross-shaped light shielding portion.
[0055]
In this embodiment, the relationship between the characteristics of a resist applied to a wafer and a desired pattern is considered. First, among the characteristics of the resist, the contrast of the resist will be considered. For example, suppose that the creator wants to perform exposure using a line resist instead of a hole resist although the desired pattern is a contact hole. The contrast between the resist for holes and the resist for lines is different from that of the developing solution, and it is known that the resist for holes is good for the contact holes, but the creator wants to use the resist for lines.
[0056]
In such a case, it is possible to prepare by preparing a database in consideration of the characteristics of each resist. The database of the present embodiment shows, for example, a table or a graph showing the relationship between the contrast of the resist with respect to the developer and the bias to the corresponding desired pattern. Here, the contrast with respect to the developer is defined as a value obtained by subtracting a dissolution rate before exposure from a dissolution rate after exposure. The difference is set to be large in the resist of the hole type, and the difference is set small in the line type resist. When drawing a graph based on this, when the vertical axis is the bias to the desired pattern and the horizontal axis is the contrast to the resist developing solution, the bias to the desired pattern is increased if the contrast of the resist to the developing solution decreases, As the contrast of the resist with respect to the developing solution increases, the bias to the desired pattern is reduced, and a graph of a monotonous decrease as shown in FIG. 34 is obtained. “Bias to a desired pattern” is a magnification of a desired pattern with respect to a reference dimension. As described above, the “reference dimension of a desired pattern” is an initial dimension converted into a dimension on a wafer in the present embodiment.
[0057]
For example, consider the case where TOK-DP746HC which is a resist for holes is used. The size of the desired hole is set to 110 nm, the size of the dummy hole is set to 90 nm, the illumination system shown in FIG. 7A is used, the maximum coherence factor σ is set to 0.92, Set a = 0.7 and b = 0.5. Since the light intensity of the isolated hole is weak, it may be increased to about 3 nm by OPC. The isolated hole referred to here is the hole number 1 in FIG. FIG. 8A shows the result of exposure under such conditions. As shown in the figure, it is understood that the desired pattern 21 is well resolved.
[0058]
Next, the case where the line resist UV6-SL is used will be discussed. The contrast of each resist with respect to the developer was applied to the desired pattern by 1.1 times referring to the database. That is, the size of the desired pattern is set to 121 nm (at this time, of course, the pattern period is not changed). The illumination system used the effective light source shape shown in FIG. 7A, the maximum σ was set to 0.92, and a = 0.7 and b = 0.5. FIG. 8B shows the result of exposure under such conditions. As shown in the figure, it is understood that the desired pattern is well resolved.
[0059]
In another example, it is assumed that there is a case in which a good exposure result is obtained with TOK-DP746HC, but a hole resist called JSR-KRFM170Y is desired to be used. There is information on JSR-KRFM170Y in the resist database, according to which the size of the desired hole is set to 110 nm and the size of the dummy hole is set to 90 nm, as shown schematically in FIG. In the illumination system, the maximum σ may be set to 0.92, and a = 0.7 and b = 0.5. When the experiment was performed as described above, good exposure results were obtained, and the results are shown in FIG. 8C.
[0060]
The experimental results of JSR-KRFM170Y indicate that an exposure amount is necessary because the taper is smaller than that of TOK-DP746HC. The choice is up to the user.
[0061]
Thus, in the exposure method I, if there is a resist database, even if each creator has various ideas regarding the resist use method, it is possible to widely cope with the resist method.
[0062]
The inventor made a mask for resists having different contrasts while changing the dimensions of the desired pattern 21 by several nm, and as a result, the bias to the desired pattern was about 0.85 times to about 1 time. .15 times. If it is about 0.85 times or less, a necessary increase in the exposure amount is caused, which causes a decrease in contrast. If it is about 1.15 times or more, a desired pattern 21 is easily connected.
[0063]
The characteristics of the resist are not limited to the contrast of the resist but also include the threshold value of the resist. Therefore, it is preferable that the database also holds the relationship between the desired pattern and the resist threshold. The inventor creates a mask for resists having different threshold values while changing the dimensions of the desired pattern 21 by several nm, and as a result, the bias to the desired pattern is about 0.85 times to about 1 time. .15 times. If it is about 0.85 times or less, a necessary increase in the exposure amount is caused, which causes a decrease in contrast. If it is about 1.15 times or more, a desired pattern 21 is easily connected.
[0064]
Embodiment 2
One of the evaluation amounts of the exposure method I is a mask error enhancement factor (hereinafter, referred to as MEEF: Mask Error Enhancement Factor). Here, MEEF is defined as a ratio of a pattern error on a wafer which occurs when an error occurs in a mask pattern. In general, MEEF should be closer to one. For example, MEEF tends to create a roadmap on the assumption that it is preferable to set the isolated line binary mask to 1.4, the isolated line phase shift mask to 1, the L / S pattern to 2, and the holes to 3 or less. Since the MEEF of the exposure method I is about 3.5 from FIG. 9 described later, it is relatively small. In such a case, if the MEEF database is prepared in advance, it can be dealt with. The MEEF database is a database indicating the relationship between the size of the dummy pattern and the MEEF. For example, in a mask as shown in FIG. 2A, p is 220 nm and the hole diameter is 110 nm. When a dummy pattern is inserted in the vertical and horizontal directions at a period of 220 nm, a mask pattern as shown in FIG. 3 is completed. At this time, MEEF when an error occurs in the dummy pattern only in the horizontal direction is as shown in FIG. In FIG. 30A, the bottom row indicates the size of the desired pattern, and the leftmost column indicates the size of the dummy pattern. Similarly, the MEEF when p is 240 nm and the hole diameter is 110 nm is as shown in FIG. The inventor has discovered that reducing the size of the dummy pattern also reduces the MEEF. This can be determined from the fact that the MEEF is small if the dummy pattern is small for any desired pattern size, taking FIG. 30 as an example. It can be seen that MEEF can be reduced by increasing the desired pattern.
[0065]
It should be noted that if the dummy hole is made smaller, the effect of enhancing the periodicity is reduced, and if the dummy hole is made larger, the resolution will be reduced. Have found that it should. Here, the reference size of the dummy hole is determined according to a flowchart of FIG.
[0066]
From the above, the present inventor has set the size of the dummy hole within 10% of the size determined by FIG. 20A so that the MEEF is within the allowable range without changing the desired pattern 21. I found that I had to adjust it to make it smaller. Since optimization of the illumination system also affects the MEEF, it is preferable that the MEEF database also considers the illumination conditions.
[0067]
The dimensions of the desired pattern 21 shown in FIG. 2A were set to 110 nm, and an auxiliary pattern 32 was inserted as shown in FIG. The size of the auxiliary pattern 32 was set to 85 nm (this corresponds to about 77% of the desired pattern). In the illumination system shown in FIG. 7A, the maximum coherence factor σ was set to 0.92, a = 0.7, and b = 0.5. MEEF when changing the pattern half pitch while maintaining the diameter of each hole of the desired pattern 21 at 110 nm (Since this MEEF has an error in the two-dimensional direction, at first glance, an error occurs in the one-dimensional direction. FIG. 9 shows that the result is different from that in FIG. It can be seen that the pattern half-pitch may be changed to achieve a certain MEEF or less. Although this method changes the desired mask pattern itself, it is an index of a mask pattern to be designed.
[0068]
As described above, the method of changing the MEEF in the exposure method I has been clarified.
[0069]
Embodiment 3
One of the evaluation amounts of the exposure method I is a line width error (hereinafter, referred to as a CD error). Here, the CD error is defined as the ratio of the size of the desired pattern 21 to the size of the pattern actually formed on the wafer from the desired pattern 21. Generally, it is better that the CD error is close to zero.
[0070]
As can be seen from FIG. 10 described later, the CD error of the exposure method I is k 1 Is relatively small because it is about 13% for a contact hole whose value is close to 0.3 (usually, it is rarely less than 20%), but there are cases where it is desired to further reduce the CD error. Although the CD error has no upper limit, it can be easily corrected by OPC if the CD error is 15% or less based on the experience of the present inventor. In such a case, if a CD error database is prepared in advance, it can be dealt with. The CD error database is a database indicating the relationship between the size of the dummy pattern and the CD error. For example, in a mask as shown in FIG. 2A, p is 220 nm and the hole diameter is 110 nm. When a dummy pattern is inserted in the vertical and horizontal directions at a period of 220 nm, a mask pattern as shown in FIG. 3 is completed. The CD error at this time is as shown in FIG. In FIG. 31 (a), the bottom row indicates the size of the desired pattern, and the leftmost column indicates the size of the dummy pattern. Similarly, the CD error when p is 240 nm and the hole diameter is 110 nm is as shown in FIG. FIG. 31 shows that when the size of the dummy pattern is increased (closer to the desired pattern size), the CD error is reduced. This seems to be because when the size of the dummy hole is increased, it approaches a dense pattern which is generally said to be easily formed in the hole pattern.
[0071]
For the above reason, the size of the dummy hole should be changed within a range of ± 10% of the reference size. Here, the reference size of the dummy hole is determined according to a flowchart of FIG.
[0072]
From the above, the present inventor has set the size of the dummy hole within 10% of the size determined by FIG. 20A so that the CD error is within the allowable range without changing the desired pattern 21. I found that I had to adjust it to make it bigger. Since optimization of the illumination system also affects the CD error, it is preferable that the illumination condition is also considered in the CD error database.
[0073]
The dimensions of the desired pattern 21 shown in FIG. 2A were set to 110 nm, and an auxiliary pattern 32 was inserted as shown in FIG. The size of the auxiliary pattern 32 was set to 85 nm. In the illumination system shown in FIG. 7A, the maximum coherence factor σ was set to 0.92, a = 0.7, and b = 0.5. FIG. 10 shows a CD error when changing the pattern half pitch while maintaining the diameter of each hole of the desired pattern 21 at 110 nm. It can be seen that the pattern half pitch may be changed in order to reduce the error to a certain CD error or less. Although this method changes the desired mask pattern itself, it is an index of a mask pattern to be designed.
[0074]
As described above, the method of changing the CD error in the exposure method I has been clarified.
[0075]
Embodiment 4
One of the evaluation amounts of the exposure method I is a depth of focus (hereinafter, referred to as DOF: Depthof Focus). The DOF gives an allowable range in which the wafer may be shifted from the focal position in the optical axis direction of the exposure apparatus. The tolerance is usually determined so that the size of the desired pattern 21 falls within ± 10% of the desired size. Generally, the larger the DOF, the better.
[0076]
Since the exposure method I can be regarded as exposing a pseudo dense pattern, the DOF is relatively large, but there are cases where the DOF needs to be further increased. Although the lower limit of the DOF differs depending on the user, the symposium held for three days from April 23 to 25, 2002, the opening lecture of Photomask Japan (hereinafter, PMJ: Photomask Japan), "Lithography Strategies for 65 nm Node" It was announced that a 0.4 μm or more DOF would be preferable in the coming era. In such a case, if a DOF error database is prepared in advance, it can be dealt with. The DOF database is a database indicating the relationship between the size of the dummy pattern and the DOF. For example, in a mask as shown in FIG. 2A, p is 240 nm and the hole diameter is 120 nm. When a dummy pattern is inserted in the vertical and horizontal directions at a cycle of 240 nm, a mask pattern as shown in FIG. 3 is completed. At this time, the DOF is as shown in FIG. In FIG. 32, the bottom row indicates the size of the desired pattern, and the leftmost column indicates the size of the dummy pattern. The result of FIG. 32 shows the DOF when the CD becomes 108 nm to 120 nm, and the condition is relatively severe. It can be seen from FIG. 32 that the DOF increases as the size of the dummy pattern increases. FIG. 33 shows a simulation result regarding this. In a mask as shown in FIG. 2A, p is 220 nm and the hole diameter is 110 nm. When a dummy pattern is inserted in the vertical and horizontal directions at a period of 220 nm, a mask pattern as shown in FIG. 3 is completed. When a dummy pattern having a size of 79.5 nm is inserted, the aerial image at each defocus is as shown in FIG. 33 (i). When a dummy pattern having a size of 90.5 nm is inserted, the aerial image at each defocus is obtained. The aerial image is as shown in FIG. It can be visually recognized that the larger the dummy pattern, the larger the DOF.
[0077]
For the above reason, the size of the dummy hole should be changed within a range of ± 10% of the reference size. Here, the reference size of the dummy hole is determined according to a flowchart of FIG.
[0078]
From the above, the present inventor has set the size of the dummy hole within 10% of the size determined by FIG. 20A so that the DOF falls within the allowable range without changing the desired pattern 21. I found that I had to adjust it to make it bigger.
[0079]
Since optimization of the illumination system also affects DOF, it is preferable that the DOF database also considers illumination conditions. For example, it is not advisable to increase the maximum σ when the pattern period is large, and the DOF may increase when the maximum coherence factor σ is reduced. Alternatively, it is also effective to expose a plurality of times while shifting the wafer in the optical axis direction of the exposure apparatus. This method increases the DOF, but requires care. If the wafer is shifted in the direction of the optical axis of the exposure apparatus, the dummy pattern may be resolved. In order to avoid such a situation, it is preferable to correct the dummy pattern. For example, in the mask pattern 30A shown in FIG. 11, the dummy pattern 32A around the desired pattern 31 is smaller than the other dummy patterns 32.
[0080]
As described above, the method of changing the DOF in the exposure method I has been clarified.
[0081]
Embodiment 5
In exposure method I, it is assumed that an elliptical hole is to be formed. For example, assume that there is a desired rectangular pattern 33A as shown in FIG. In practice, such a pattern 33 is often resolved as an elliptical hole. At this time, the square dummy holes 34 were inserted according to the pitch of the desired pattern 33 to form the mask pattern 30B shown in FIG. The symbol attached to the arrow means that the length of the arrow is the same if the symbol is the same. If the illumination system is optimized for the mask pattern 30B shown in FIG. 12B, a desired pattern 33 may be resolved. However, the length of the elliptical hole may be insufficient, and it is necessary to insert a larger OPC than usual. However, when a rectangular dummy hole 35 was inserted instead of the dummy pattern 34 as in a mask pattern 30C shown in FIG. 12C, it was found that an elliptical hole corresponding to the desired pattern 33 was easily formed. Was.
[0082]
An elliptical shape can be easily formed by inserting a dummy pattern by increasing the period in the major axis direction of the elliptical hole. This can be explained as follows. That is, when the dummy holes are inserted at the same period in the vertical and horizontal directions, the diffracted light flies at the same angle in the vertical and horizontal directions and forms an image at the same angle. Next, when the period in the horizontal direction is larger than the period in the vertical direction, the spread angle of the diffracted light becomes smaller than that of the diffracted light in the vertical direction because the period in the horizontal direction is larger than that in the vertical direction. The spread angle of the diffracted light corresponds to a pseudo NA. A large spread of the diffracted light in the vertical direction is equivalent to a large pseudo-NA in the vertical direction, and a small spread of the diffracted light in the horizontal direction is a small pseudo-NA in the horizontal direction. Is equivalent to Comparing the pseudo-NA in the vertical and horizontal directions, the pseudo-NA in the vertical direction is large, so that there is a resolution in the vertical direction and the resolution becomes fine in the vertical direction. On the other hand, since the pseudo NA is small in the horizontal direction, the resolving power is reduced, and a coarse pattern is created.
[0083]
Therefore, the combination of the above two methods makes it easier to create an elliptical hole. As described above, the method of forming the elliptical hole in the exposure method I has been clarified.
[0084]
Embodiment 6
Next, a case will be examined in which a plurality of desired patterns having a plurality of pitches are mixed sufficiently apart from each other, and the mutual influence between the patterns can be ignored. FIG. 13A shows desired patterns 130A and 130B formed in regions s and t surrounded by two dotted lines on one mask 130. FIG. The desired pattern 130A has one square hole 132 and two rectangular holes 134. The desired pattern 130B has three squares 136.
[0085]
The desired pattern 130A in the region s has a pattern interval D 1 Is narrow. On the other hand, in the region t, the desired pattern 130B is 2 Is wide. Further, the period P of the pattern of the region t 2 K for 1 Is slightly smaller than 1. Period P in region s 1 And period P in region t 2 , P 1 Is smaller. For this reason, it is very difficult to precisely resolve the pattern in the region s, and the light intensity reaching the wafer is different between the region s where the pattern is dense and the region t where the pattern is sparse. Simultaneous resolution of both patterns 130A and 130B is not possible with normal exposure.
[0086]
However, the exposure method I is also effective in such a case. First, as shown in FIG. 13B, a dummy pattern 140A is formed in the region s. As shown in FIG. 13B, since the desired pattern 130A has holes 132 and 134 having two different shapes, the dummy pattern 140A also includes the dummy holes 142 and 144 correspondingly. ing.
[0087]
Thus, in the present embodiment, the way of inserting the dummy pattern 140A is devised. As described in the fifth embodiment, a rectangular desired pattern is preferably a rectangular dummy pattern that is substantially similar thereto, and a square desired pattern is preferably a square dummy pattern that is substantially similar thereto. Therefore, in the region s, dummy patterns having two types of shapes are mixed.
[0088]
In addition, a dummy pattern 140B is provided in the region t, as shown in FIG. As shown in FIG. 13C, the dummy pattern 140B is composed of dummy holes 146, and no dummy holes 146 are inserted between the two holes 136 of the desired pattern 130B. When the dummy hole 146 is inserted, k 1 Is smaller than 0.5, and has a period less than the theoretical resolution limit. For example, the hole diameter L of the region t 2 Is 110 nm and the hole interval D 2 Is 220 nm. As described above, in the exposure apparatus, the wavelength of the light source is 248 nm and the numerical aperture is 0.73. 2 K for 330 nm 1 Is about 0.97, and k corresponds to a half cycle thereof. 1 Is about 0.48, which is below the theoretical resolution limit.
[0089]
When the dummy patterns 140A and 140B are inserted in this manner, the pitch P 1 Is the pitch P of the region t 2 Is smaller than the pitch P of the region s. 1 The lighting conditions were optimized according to. As a result, it was possible to transfer the pattern satisfactorily. However, in those processes, the size of the dummy pattern was adjusted between the respective regions, and the size of the desired pattern 130B in the region t was increased.
[0090]
Hereinafter, a method for improving the depth of focus in the region t in addition to the good resolution of the desired patterns 130A and 130B will be described. That is, in the above-described example, the depth of focus in the region t may be small. This is the pitch P of the region t 2 Is so large that a so-called forbidden pitch phenomenon occurs in the illumination system adapted to the region s. The forbidden pitch phenomenon is a phenomenon in which the DOF sharply decreases over a certain period or more. This is because normal imaging is performed using the 0th-order light, the 1st-order light, and the -1st-order light. On the other hand, if the pattern period is too large, the second or higher order diffracted light contributes to the pattern formation. It is. In order to prevent this, it is necessary to optimize the illumination system in accordance with the region t. In that case, the result was obtained that the pattern in the region s was not resolved well. This is because there is a conflicting principle that the depth of focus decreases when priority is given to the resolution, and the resolution decreases when priority is given to the depth of focus. FIG. 13A is an example of such a conflicting pattern. On the other hand, the present inventor has discovered that, as shown in FIG. 13D, by changing the pattern of the region s by OPC, it is possible to prevent a decrease in resolution. In FIG. 13D, a part of the desired pattern 130A in the region s is reduced by OPC. Specifically, the desired hole 132 indicated by a dotted line is changed to a desired hole 132A indicated by a solid line, and the desired hole 134 indicated by a dotted line is changed to a desired hole 134A indicated by a solid line. This is because the mask data is increased instead of increasing the depth of focus. The creator can decide whether to give priority to the depth of focus or the size of the mask data.
[0091]
According to this embodiment, a pattern that cannot be normally resolved can be resolved with sufficient resolution and depth of focus.
[0092]
Embodiment 7
Next, features of the binary mask, the halftone mask, and the phase shift mask will be described. It is assumed that p = 220 nm in the desired pattern 21 shown in FIG. Since the desired patterns 21 are arranged in a lattice pattern, the auxiliary pattern 32 shown in FIG. At this time, it is assumed that the hole diameter of the desired pattern 21 is 110 nm. In the case of a binary mask and a halftone mask, exposure may be performed with an illumination system having an effective light source distribution as shown in FIG. 7A. In this case, the maximum coherence factor σ is 0.92 in FIG. a = 0.7 and b = 0.5 were set. In the mask shown in FIG. 3, a so-called phase shift mask in which adjacent holes have a phase difference of 180 degrees uses an illumination system having an effective light source distribution as shown in FIG. When a = 0.2 and b = 0.1 were set, a desired pattern was resolved.
[0093]
FIG. 14 shows a graph in which the contrast of the pattern 21 shown in FIG. 2A is evaluated by simulation. It can be understood that the difference in contrast between the various masks. FIG. 15 shows a graph in which the line width uniformity was evaluated by simulation. In FIG. 15, the horizontal axis of the graph is a hole number, which corresponds to that shown in FIG. The vertical axis represents the line width at each hole number appropriately, and visually represents the line width uniformity. A straight line in the graph means that the line width uniformity is good.
[0094]
From FIGS. 14 and 15, a database for each mask can be created for the contrast and the CD error. If a database for each mask is created according to this embodiment, it is possible to select a mask according to the creator's preference, and it is also easy to select an optimal mask for a given pattern.
[0095]
Embodiment 8
When the distance between the two inserted dummy patterns is equal to or less than a predetermined value, there is a high possibility that the dummy patterns will be resolved, and it is necessary to review the insertion method.
[0096]
First, when two inserted dummy patterns 161 overlap as shown in FIG. 16A, as shown in FIG. 16D, a dummy pattern whose center of gravity coincides with the center of the center of gravity of both dummy patterns 161 is obtained. 161 is arranged. In FIG. 16D, two squares drawn by dotted lines are the original dummy patterns 161, and the squares drawn by solid lines are the dummy patterns 161 created after reviewing the insertion method.
[0097]
Next, when the two inserted dummy patterns 161 are adjacent to each other as shown in FIG. 16B, a dummy whose center of gravity matches the center of the center of gravity of both dummy patterns 161 as shown in FIG. The pattern 161 is arranged. In FIG. 16E, two squares drawn by dotted lines are the original dummy patterns 161, and the squares drawn in practice are the dummy patterns 161 created after reviewing the insertion method.
[0098]
Finally, a case is considered where the two inserted dummy patterns 161 are arranged at a distance equal to or less than a predetermined distance as shown in FIG. The predetermined distance is the center distance D of the dummy pattern. 1 Is k 1 This is the case where the conversion is about 0.5 or less. Surprisingly, this often does not require much attention. This is because the resolution of such a dummy pattern can be substantially avoided by properly selecting the illumination system. However, the minimum vertex interval D between each inserted dummy pattern 2 Is k 1 When converted to 0.2 or less, it is preferable to arrange an auxiliary pattern 161 having the center of gravity of the center of both auxiliary patterns as the center as shown in FIG. This is because there is a meaning in preparing for a case where the resolution is obtained, and there is a possibility that making the mask is difficult. The square drawn by the dotted line in FIG. 16F is the original dummy pattern 161, and the square drawn by practice is the dummy pattern 161 created after reviewing the insertion method.
[0099]
Dummy pattern center spacing D 1 Is k 1 In many cases, it is not necessary to be concerned even if the value is reduced to about 0.5 or less, but it is necessary to remember the existence of an adjacent dummy pattern just in case. This is the same whether a human inserts a dummy pattern or a case where a computer inserts a dummy pattern. In particular, if you leave it to the computer, it is necessary to perform an operation to temporarily store such locations, and check that such locations are not resolved during the final pattern check. Should have such an algorithm.
[0100]
As described above, a method for coping with the case where the two inserted dummy patterns overlap, are adjacent to each other, or have a predetermined distance or less has been clarified.
[0101]
Embodiment 9
A feature of the mask in the exposure method I is that a dummy pattern is inserted into a desired pattern. Therefore, it is necessary to examine in detail the effect of the dummy pattern on the desired pattern. In this embodiment, a so-called location error was examined. Here, the location error means, for example, that the center 172 of the mask pattern 171 which should have been originally arranged in a line is slightly shifted as shown in FIG. With current mask making techniques, there are usually 2-3 nm location errors on the mask.
[0102]
A dummy pattern 32 was inserted into the desired pattern 21 shown in FIG. 2A as shown in FIG. The number of dummy patterns is 112.
[0103]
A simulation has been performed on the effect of the location error on the desired pattern 21, and a method thereof will be introduced. A set W1x of 112 random numbers having an average of 0 and a standard deviation of 2.5 / 4 is created. Separately, a set W1y of 112 random numbers having an average of 0 and a standard deviation of 2.5 / 4 is further created. The unit of W1x is set to nm and set as a location error in the x direction, and similarly, the unit of W1y is set as nm and reflected as a location error in the y direction in the dummy pattern. As a result, a simulation was performed to determine how the line width of the desired pattern 21 is affected as compared with the ideal case without a location error. In order to increase the reliability, a simulation was performed by considering nine types of random numbers in addition to one type of random numbers (W1x, W1y). This operation was repeated for dummy patterns of ten different sizes. Similarly, a similar simulation was performed while changing the dimensions of a desired pattern to improve reliability. As a result of a simulation that took a great deal of time and labor, it was found that a desired pattern was hardly affected by a location error if the size of the dummy pattern was small. For example, when the sizes of the desired pattern and the dummy pattern are changed as shown in FIG. 27, the influence of the location error is as shown in FIG. In the graph of FIG. 28, the horizontal axis is the file number shown in FIG. 27, and the vertical axis corresponds to the location error. The larger the value, the greater the influence of the location error.
[0104]
A location error database can be created based on the result of the present embodiment. The location error database is a database representing the relationship between the location error and the size of the dummy pattern. By referring to such a database, it is possible to create a mask that is resistant to location errors. That is, the creator can refer to the location error database and adjust the size of the dummy pattern so that the location error is within an allowable range.
[0105]
Embodiment 10
A feature of the mask in the exposure method I is that a dummy pattern is inserted into a desired pattern. Therefore, it is necessary to examine in detail the effect of the dummy pattern on the desired pattern. In the present embodiment, a so-called size error was examined. Here, the size error means that, as shown in FIG. 18, for example, a dummy pattern 181 which is originally formed along a dotted line as originally designed and deviates from a predetermined size as a solid-line dummy pattern 182 is formed. It refers to the shift when it is lost.
[0106]
A dummy pattern 32 was inserted into the desired pattern 21 shown in FIG. 2A as shown in FIG. The number of dummy patterns is 112.
[0107]
We simulated the effect of the size error on the desired pattern. A set R1x of 112 random numbers is created such that the average is 0 and the standard deviation is 2% of the size of the desired pattern. Separately, a set R1y of 112 random numbers is further created such that the average is 0 and the standard deviation is 2% of the size of the desired pattern. The unit of R1x is nm and the size error in the x direction is set, and similarly, the unit of R1y is nm and the size error in the y direction is reflected on the dummy pattern. As a result, a simulation was performed to determine how the line width of a desired pattern is affected as compared with an ideal case without a size error. In order to increase the reliability, a simulation was performed on one type of random numbers (R1x, R1y), considering nine more random numbers. This operation was repeated for dummy patterns of ten different sizes. Similarly, in order to improve the reliability, the same simulation was performed while changing the dimensions of a desired pattern. As a result of a simulation that took a great deal of time and effort, it was found that if the size of the dummy pattern was small, the desired pattern was hardly affected by the size error. For example, when the sizes of the desired pattern and the dummy pattern are changed as shown in FIG. 27, the influence of the size error is as shown in FIG. In the graph of FIG. 29, the horizontal axis is the file number shown in FIG. 27, and the vertical axis corresponds to the size error. The larger the value, the greater the effect of the error error.
[0108]
A size error database can be created based on the result of this embodiment. The size error database is a database representing the relationship between the size error and the size of the dummy pattern. By referring to such a database, it is possible to create a mask that is resistant to size errors. That is, the creator can refer to the size error database and adjust the size of the dummy pattern so that the size error is within an allowable range.
[0109]
Embodiment 11
As shown in FIG. 19A, consider a pattern 190 in which a plurality of periods are mixed. Conventionally, it has been impossible to insert a dummy pattern into such a desired pattern 190. This is because the assumption that the dummy pattern is periodically inserted cannot be used. However, according to the present invention, a dummy pattern can be inserted into such a pattern 190 according to the flowchart shown in FIG.
[0110]
FIG. 20A is a flowchart for determining the size of the dummy pattern. The dimensions of the dummy holes in the above embodiment are determined by FIG. The v in FIG. 20A can be freely set by the creator. First, k for the minimum half pitch p of the desired pattern 1 Is smaller than 0.25 × √2 (step 1102). If step 1102 determines that it is, the size of the dummy pattern is set to v% of the desired pattern (step 1104). On the other hand, if the step 1102 determines that this is not the case, the size of the dummy pattern is k 1 Is set to a size equivalent to 0.25 or less (step 1106).
[0111]
FIG. 20B is a flowchart for determining the period of the dummy pattern when the desired pattern is a periodic pattern. In FIG. 20B, the creator can freely set g1, g2, g3, and g4. Theoretically, g1 = 0.25, g2 = 0.50, g3 = 2 × g2, and g4 = 2 × g2 are good. However, each value may be changed in consideration of past experience and the performance of the exposure apparatus.
[0112]
First, it is determined whether or not the hole diameter of the desired pattern (s in FIG. 19A) is less than the first threshold value g1 (step 1202). If step 1202 determines that it is, the process ends abnormally (step 1204). On the other hand, if step 1202 determines that it is not the case, it is determined whether the hole diameter of the desired pattern is equal to or more than the first threshold g1 and equal to or less than the second threshold g2 (step 1206). Here, the period of the periodic pattern is P 1 And
[0113]
If step 1206 determines that the value is not less than the first threshold and not more than the second threshold, P 2 = P 1 Toki (Step 1208), P 2 To k 1 Then, it is determined whether or not the value is equal to or more than the third threshold value g3 (step 1210).
[0114]
If step 1210 determines that it is not greater than or equal to the third threshold g3, the cycle P 2 Then, a dummy pattern is inserted (step 1212). If it is determined in step 1210 that the value is equal to or larger than the third threshold value g3, i = i + 1 is set (step 1214), and P 1 Is obtained by dividing i 2 (Step 1216), and the process returns to step 1210. Finally, the period P 2 Then, a dummy pattern is inserted (step 1212).
[0115]
If step 1206 determines that the value is not greater than or equal to the first threshold value g1 and less than or equal to the second threshold value g2, P 2 = P 1 Toki (Step 1218), P 2 To k 1 It is determined whether or not the value is equal to or greater than the fourth threshold value g4 when converted by (step 1220). If step 1220 determines that the value is equal to or greater than the fourth threshold value g4, P 2 K minus the hole diameter 1 Is not greater than or equal to a fifth threshold value g5 (step 1222), otherwise, no dummy pattern is inserted (step 1226). On the other hand, if step 1120 determines that the value is not equal to or greater than the fourth threshold value g4, or if step 1222 determines that the value is equal to or less than the fifth threshold value g5, the cycle P 2 To arrange a dummy pattern (step 1224).
[0116]
Referring to FIG. 19A, first, it is checked whether there is a pattern in the vertical direction and the horizontal direction. The pattern 191 has no pattern in the vertical and horizontal directions. The pattern 192 has a pattern in the horizontal direction, and the interval is 3p ′ ″. Where p '''is k 1 It is assumed that the value is equal to or less than g3 in FIG. The pattern 193 had a pattern at a position spaced apart by p on the right side and 2p on the left side in the horizontal direction. Where p is k 1 It is assumed that the value is equal to or less than g3 in FIG.
[0117]
At first glance, the pattern shown in FIG. However, in this embodiment, if there are at least two patterns, a period is recognized there, and if there is only one pattern, any period may be created.
[0118]
According to this principle, in the case of the pattern 190 shown in FIG. 19A, the pattern 191 is treated as an isolated pattern, the pattern 192 is treated as a pattern having a period 3p ′ ″ in the horizontal direction, and the pattern 193 is processed in the horizontal direction. What is necessary is just to handle so that it may have 2p. Such a cycle is derived by the flowchart of FIG. The flowchart of FIG. 20C is applied to how to insert the cycle of the isolated pattern. More specifically, it is determined whether or not a periodic pattern exists within a predetermined distance from the isolated pattern (step 1302). If it is determined that step 1302 exists, the dummy pattern is adjusted according to the cycle of the pattern. Are arranged (step 1304), and when it is determined that step 1302 does not exist, the dummy pattern is arranged with the size of the isolated pattern set to a half pitch (step 1306).
[0119]
Now with the prospect insertion method of the dummy pattern in the lateral direction. FIG. 19B shows a state where the dummy pattern is inserted only in the horizontal direction.
[0120]
Next is to insert a pattern in the vertical direction, but there is no pattern directly above or below any of the patterns. However, when the patterns arranged in the horizontal direction are connected by lines, it can be seen that there is a certain line spacing. In this case, their line spacing is 2p 'and p''. However, it is assumed that both p ′ and p ″ are equal to or less than g3 in FIG. From the above, it is sufficient to insert a dummy hole at p ′ and p ″ in the vertical direction. The final result is shown in FIG.
[0121]
According to the present embodiment, it is possible to insert a dummy pattern even for a pattern having no apparent period.
[0122]
Embodiment 12
Consider the pattern 200 shown in FIG. In this pattern 200, a lattice is formed at a constant period, and the center 212 of the pattern 210 is arranged on each lattice point, but it is assumed that only one pattern 220 has no center on that lattice point. . In addition, it is assumed that the center 222 of the pattern 220 is slightly displaced from the lattice point.
[0123]
In such a case, the dummy pattern 230 may be inserted ignoring the positional shift of the pattern 220. This is because OPC may be inserted. If this displacement is within 20% of the grating period, there is no particular problem and it can be avoided by inserting OPC. Finally, as shown in FIG. 21B, a mask pattern 201 in which the dummy pattern 230 is inserted is created.
[0124]
Embodiment 13
Hereinafter, an example of the relationship between the size of the dummy pattern and the exposure amount will be described. It is assumed that p = 220 nm in the desired pattern 21 shown in FIG. Since the desired pattern 21 is on a grid-like point, as shown in FIG. 3, the auxiliary pattern 32 was inserted to form the mask pattern 30.
[0125]
At this time, the hole diameter of the desired pattern 21 is 110 nn. When the size of the dummy pattern is 80 nm, 460 J / m 2 A good pattern could be exposed with the exposure amount of. The result is shown in FIG. Next, when the size of the dummy pattern is 90 nm, 435 J / m 2 A good pattern could be exposed with the exposure amount of. The result is shown in FIG. As will be understood, the larger the size of the dummy pattern, the smaller the required exposure dose. By utilizing this property, the creator can determine the exposure amount within a certain range.
[0126]
Similarly, it is possible to change the exposure amount by changing the number of dummy patterns. By increasing the number of dummy patterns, exposure with a small exposure amount becomes possible. The exposure amount can be adjusted very effectively up to two rounds of the dummy pattern around the desired pattern, but the effect of adjusting the exposure amount becomes weaker when three or more rounds of the dummy pattern are inserted.
[0127]
An exposure amount database can be created based on the result of this embodiment. The exposure amount database is a database representing a relationship between the exposure amount and the size of the dummy pattern and / or the number of the dummy patterns. By referring to such a database, the creator can adjust the size of the dummy pattern corresponding to the desired exposure amount. As described above, according to the present embodiment, the exposure amount can be adjusted.
[0128]
Embodiment 14
An example according to the flowchart of FIG. 20 will be introduced. Here, in order to simplify the discussion, the case of FIGS. 20A and 20B will be discussed. The program created by the inventor here assumes an exposure apparatus having a wavelength of 248 nm and a numerical aperture of 0.73.
[0129]
When the desired pattern period was changed to 280 nm and the desired pattern hole diameter was changed, the result as shown in FIG. 23 was obtained. The unit of the numerical value in FIG. 23 is nanometer. At this time, the values of g1, g2, g3, g4, and g5 in FIG. 20B are 0.29, 0.40, 1.20, 0.80, and 0.25, respectively. is there.
[0130]
The inventor performed a simulation using a result obtained from a program created in accordance with the flowchart of FIG. 20, and confirmed that a desired pattern could be transferred with high accuracy.
[0131]
The resolving power of a projection exposure apparatus often changes between 0.25 and √2. Therefore, g1 is 0.25 or more and 0.25 × √2 or less, g2 is 0.25 × √2 or more and 0.5 or less, g3 is 1.0 or more and 以下 2 or less, and g4 is 0.5 × √2 or more and 1 or less. It was found that by setting g5 to be equal to or less than 0.0 and g5 being equal to or greater than 0.25 and 0.25 × 2, it is possible to determine the period of the dummy pattern for almost all the patterns.
[0132]
As described above, according to the flowchart of FIG. 20, the period and size of the dummy pattern can be easily determined.
[0133]
Next, an embodiment of a device manufacturing method using the above-described exposure apparatus will be described with reference to FIGS. FIG. 24 is a flowchart for explaining the manufacture of devices (semiconductor chips such as ICs and LSIs, LCDs, CCDs, and the like). Here, the manufacture of a semiconductor chip will be described as an example. In step 1 (circuit design), the circuit of the device is designed. Step 2 (mask fabrication) forms a mask on which the designed circuit pattern is formed. In step 3 (wafer manufacture), a wafer is manufactured using a material such as silicon. Step 4 (wafer process) is referred to as a preprocess, and an actual circuit is formed on the wafer by the lithography technique of the present invention using the mask and the wafer. Step 5 (assembly) is called a post-process, and is a process of forming a semiconductor chip using the wafer created in step 4, and includes processes such as an assembly process (dicing and bonding) and a packaging process (chip encapsulation). . In step 6 (inspection), inspections such as an operation check test and a durability test of the semiconductor device created in step 5 are performed. Through these steps, a semiconductor device is completed and shipped (step 7).
[0134]
FIG. 25 is a detailed flowchart of the wafer process in Step 4. Step 11 (oxidation) oxidizes the wafer's surface. Step 12 (CVD) forms an insulating film on the surface of the wafer. Step 13 (electrode formation) forms electrodes on the wafer by vapor deposition or the like. Step 14 (ion implantation) implants ions into the wafer. In step 15 (resist processing), a photosensitive agent is applied to the wafer. Step 16 (exposure) uses the exposure apparatus to expose a circuit pattern on the mask onto the wafer. Step 17 (development) develops the exposed wafer. Step 18 (etching) removes portions other than the developed resist image. Step 19 (resist stripping) removes unnecessary resist after etching. By repeating these steps, multiple circuit patterns are formed on the wafer.
[0135]
【The invention's effect】
As described above, according to the present invention, the data creator only needs to create and input a pattern to be finally formed on the resist, and the subsequent generation of mask pattern data is automatically performed by a computer according to the above procedure. Therefore, an optimal mask pattern can be efficiently created even in the design of a large-scale semiconductor integrated circuit.
[Brief description of the drawings]
FIG. 1 is a flowchart illustrating a method for setting a mask pattern and an illumination condition according to the present invention.
FIG. 2A is a schematic plan view showing a desired pattern, and FIG. 2B is a plan view in which holes constituting the desired pattern are provided with identification hole numbers.
FIG. 3 is a schematic plan view of a mask pattern obtained by inserting a dummy pattern into a desired pattern.
FIG. 4 is a schematic plan view of quadrupole illumination.
5 (a) shows the results of an exposure experiment in which the mask pattern shown in FIG. 3 was illuminated by the illumination system shown in FIG. 4, and FIG. 5 (b) shows the results of the exposure system shown in FIG. 7 (a). 4 shows the results of an exposure experiment in which the mask pattern shown in FIG. 3 was illuminated.
FIG. 6A is a plan view schematically showing an illumination portion contributing to resolution, and FIG. 6B is a plan view schematically showing an illumination portion for suppressing a dummy pattern. is there.
FIG. 7 is a diagram illustrating an example of an illumination system that generates a plurality of types of light applicable to the present invention. In particular, FIG. 7A illustrates an illumination system suitable for a binary mask into which a dummy pattern is inserted. FIG. 7B is a diagram of an illumination system suitable for a phase shift mask in which a dummy pattern is inserted.
8 (a), 8 (b) and 8 (c) show the results of an exposure experiment of Example 1. FIG.
FIG. 9 is a graph showing a relationship between a half pitch of a mask pattern used in Example 2 and a mask error enhancement factor.
FIG. 10 is a graph showing a relationship between a half pitch of a mask pattern used in Example 3 and a line width error.
FIG. 11 is a schematic plan view showing a modified example of the mask pattern of FIG. 3 used in the fourth embodiment.
FIG. 12A is a schematic plan view showing a desired rectangular pattern used in the fifth embodiment. FIG. 12B is a schematic plan view showing a mask pattern created by inserting a square dummy pattern into the desired pattern shown in FIG. FIG. 12C is a schematic plan view showing a mask pattern created by inserting a rectangular dummy pattern into the desired pattern shown in FIG.
FIG. 13A is a schematic plan view showing a desired pattern used in a sixth embodiment, and FIG. 13B shows an example in which a dummy pattern is inserted into a region s in FIG. 13A. 13C is a diagram illustrating an example in which a dummy pattern is inserted into a region t in FIG. 13A, and FIG. 13D is a diagram illustrating a case where a dummy pattern is inserted into a region s in FIG. 13A. It is a figure showing the example which inserted OPC.
FIG. 14 is a graph showing the relationship between various masks and contrast used in Example 7.
FIG. 15 is a graph showing the relationship between various masks and line width uniformity used in Example 7.
FIG. 16 is a diagram schematically showing a dummy pattern insertion example in which a problem is likely to occur.
FIG. 17 is a diagram schematically illustrating a location error used in the ninth embodiment.
FIG. 18 is a diagram schematically illustrating a size error used in the tenth embodiment.
FIG. 19A is a diagram illustrating an example of a desired pattern in which a plurality of periods are mixed. FIG. 19B is a diagram illustrating an example in which a dummy pattern is inserted in one direction of the pattern of FIG. 19A. FIG. 19C is a diagram illustrating an example in which a dummy pattern is inserted into the pattern of FIG. 19A.
FIG. 20A is a flowchart illustrating a method of determining the size of a dummy pattern used in the eleventh embodiment. FIG. 20B is a flowchart illustrating a method used in the eleventh embodiment for determining the period of the dummy pattern when the desired pattern is a periodic pattern. FIG. 20C is a flowchart illustrating a method used in the eleventh embodiment for determining a dummy pattern period when a desired pattern is an isolated pattern.
FIG. 21A is a schematic plan view illustrating an example of a desired pattern having no periodicity in a part used in Example 12; FIG. 21B is a schematic plan view showing a mask pattern created by inserting a dummy pattern into the desired pattern shown in FIG.
FIGS. 22A and 22B show the results of an exposure experiment of Example 13. FIGS.
FIG. 23 shows a result of a program created by the inventor.
FIG. 24 is a plan view for explaining OPC.
FIG. 25 is a flowchart for explaining a device manufacturing method having the exposure apparatus of the present invention.
FIG. 26 is a detailed flowchart of step 4 shown in FIG. 25.
FIG. 27 is a diagram showing names provided for convenience to identify the size of a desired pattern and the size of an auxiliary pattern.
FIG. 28 is a diagram illustrating a degree of a location error.
FIG. 29 is a diagram illustrating a degree of a size error.
FIG. 30 is a database showing a relationship between dimensions of dummy patterns and MEEF.
FIG. 31 is a database showing a relationship between a dimension of a dummy pattern and a CD error.
FIG. 32 is a database showing a relationship between dimensions of a dummy pattern and DOF.
FIG. 33 is a diagram showing a simulation result when the mask pattern shown in FIG. 3 is used.
FIG. 34 is a graph showing a relationship between bias to a desired pattern and contrast of a resist.
[Explanation of symbols]
21, 31, 33 desired pattern
30-30C mask pattern
32, 34, 35 dummy pattern
61, 62, 72, 74 Light transmitting part
71, 73 Shading part
130A, 130B, 132, 134, 136 desired pattern
140A, 142, 144, 146, 161 dummy pattern
171 Mask pattern corresponding to desired pattern
172 Center of mask pattern corresponding to desired pattern
181 Mask pattern that should be created
182 Mask pattern actually created
191, 192, 193, 210, 220 Desired pattern
194, 230 dummy pattern

Claims (52)

所望のパターンと、当該所望のパターンよりも寸法の小さな補助パターンとが配列されたマスクを、前記所望のパターンが解像され、かつ、前記補助パターンの解像が抑制されるように、複数種類の光で照明して前記マスクを経た光を被露光体に投影光学系を介して投影する露光方法に適した前記マスクの製造方法であって、
前記所望のパターンの寸法を設定するステップと、
前記被露光体に塗布されるレジストの特性に応じて、前記所望のパターンの前記寸法を調節するステップを有することを特徴とする方法。
A mask in which a desired pattern and an auxiliary pattern having a smaller dimension than the desired pattern are arranged is divided into a plurality of types so that the desired pattern is resolved and the resolution of the auxiliary pattern is suppressed. The method of manufacturing a mask suitable for an exposure method of projecting light passing through the mask onto the object to be exposed through a projection optical system by illuminating with the light,
Setting the dimensions of the desired pattern;
Adjusting the size of the desired pattern according to characteristics of a resist applied to the object to be exposed.
前記レジストの特性は前記レジストのコントラストであり、
前記調節ステップは、前記所望のパターンへのバイアスを、約0.85倍乃至約1.15倍の範囲内で調節することを特徴とする請求項1記載の方法。
The characteristic of the resist is the contrast of the resist,
The method of claim 1, wherein the adjusting step adjusts the bias to the desired pattern within a range from about 0.85 times to about 1.15 times.
前記レジストの特性は前記レジストの閾値であり、
前記調節ステップは、前記所望のパターンへのバイアスを、約0.85倍乃至約1.15倍の範囲内で調節することを特徴とする請求項1記載の方法。
The characteristic of the resist is a threshold of the resist,
The method of claim 1, wherein the adjusting step adjusts the bias to the desired pattern within a range from about 0.85 times to about 1.15 times.
前記調節ステップは、前記レジストの前記特性と前記所望のパターンの前記寸法との関係を定めるデータベースを参照することによって調節することを特徴とする請求項1記載の方法。The method according to claim 1, wherein the adjusting step adjusts by referring to a database that defines a relationship between the characteristic of the resist and the dimension of the desired pattern. 所望のパターンと、当該所望のパターンよりも寸法の小さな補助パターンとが配列されたマスクを、前記所望のパターンが解像され、かつ、前記補助パターンの解像が抑制されるように照明して前記マスクを経た光を被露光体に投影光学系を介して投影する露光方法に適したマスクパターンの設定に使用されるデータベースであって、
前記被露光体に塗布されたレジストの特性が入力されると、前記所望のパターンへのバイアスを表示することを特徴とするデータベース。
A mask in which a desired pattern and an auxiliary pattern smaller in size than the desired pattern are arranged is illuminated so that the desired pattern is resolved and the resolution of the auxiliary pattern is suppressed. A database used for setting a mask pattern suitable for an exposure method of projecting the light having passed through the mask onto an object to be exposed via a projection optical system,
A database for displaying a bias to the desired pattern when characteristics of a resist applied to the object to be exposed are input.
所望のパターンと、当該所望のパターンよりも寸法の小さな補助パターンとが配列されたマスクを、前記所望のパターンが解像され、かつ、前記補助パターンの解像が抑制されるように、複数種類の光で照明して前記マスクを経た光を被露光体に投影光学系を介して投影する露光方法に適した前記マスクの製造方法であって、
前記所望のパターンの寸法を設定するステップと、
前記所望のパターンの寸法に対するマスクエラーエンハンスメントファクター及び線幅誤差の少なくとも一方と前記マスクパターンの最小ピッチとの関係を利用して、前記少なくとも一方が許容範囲内となるように、前記最小ピッチを決定するステップとを有することを特徴とする方法。
A mask in which a desired pattern and an auxiliary pattern having a smaller dimension than the desired pattern are arranged is divided into a plurality of types so that the desired pattern is resolved and the resolution of the auxiliary pattern is suppressed. The method of manufacturing a mask suitable for an exposure method of projecting light passing through the mask onto the object to be exposed through a projection optical system by illuminating with the light,
Setting the dimensions of the desired pattern;
Utilizing a relationship between at least one of a mask error enhancement factor and a line width error with respect to the size of the desired pattern and a minimum pitch of the mask pattern, the minimum pitch is determined such that the at least one is within an allowable range. Performing the steps of:
所望のパターンと、当該所望のパターンよりも寸法の小さな補助パターンとが配列されたマスクを、前記所望のパターンが解像され、かつ、前記補助パターンの解像が抑制されるように照明して前記マスクを経た光を被露光体に投影光学系を介して投影する露光方法に適したマスクパターンの設定に使用されるデータベースであって、
マスクエラーエンハンスメントファクター及び線幅誤差の少なくとも一方の許容範囲と、前記所望のパターンの寸法が入力されると、マスクパターンの最小ピッチを表示することを特徴とするデータベース。
A mask in which a desired pattern and an auxiliary pattern smaller in size than the desired pattern are arranged is illuminated so that the desired pattern is resolved and the resolution of the auxiliary pattern is suppressed. A database used for setting a mask pattern suitable for an exposure method of projecting the light having passed through the mask onto an object to be exposed via a projection optical system,
A database for displaying a minimum pitch of a mask pattern when an allowable range of at least one of a mask error enhancement factor and a line width error and a dimension of the desired pattern are input.
所望のパターンと、当該所望のパターンよりも寸法の小さな補助パターンとが配列されたマスクの製造方法であって、
前記所望のパターンの寸法を設定するステップと、
前記補助パターンの寸法を設定するステップと、
前記所望のパターンの寸法に対する、マスクエラーエンハンスメントファクター、線幅誤差、焦点深度、前記補助パターンのロケーションエラー、前記補助パターンのサイズエラー及び露光量の少なくともいずれか一つと前記補助パターンの寸法との関係を利用して、前記少なくともいずれか一つが許容範囲内となるように、前記補助パターンの前記寸法を調節するステップとを有することを特徴とする方法。
A method for manufacturing a mask in which a desired pattern and an auxiliary pattern having a smaller dimension than the desired pattern are arranged,
Setting the dimensions of the desired pattern;
Setting the dimensions of the auxiliary pattern;
Relationship between at least one of a mask error enhancement factor, a line width error, a depth of focus, a location error of the auxiliary pattern, a size error of the auxiliary pattern, and an exposure amount with respect to the size of the desired pattern, and a size of the auxiliary pattern. Adjusting the size of the auxiliary pattern so that at least one of the sizes is within an allowable range.
所望のパターンと、当該所望のパターンよりも寸法の小さな補助パターンとが配列されたマスクを、前記所望のパターンが解像され、かつ、前記補助パターンの解像が抑制されるように、複数種類の光で照明して前記マスクを経た光を被露光体に投影光学系を介して投影する露光方法に適した前記マスクの製造方法であって、
前記所望のパターンの寸法を設定するステップと、
前記補助パターンの寸法を設定するステップと、
前記所望のパターンの寸法に対する、マスクエラーエンハンスメントファクター、線幅誤差、焦点深度、前記補助パターンのロケーションエラー、前記補助パターンのサイズエラー及び露光量の少なくともいずれか一つと前記補助パターンの寸法との関係を利用して、前記少なくともいずれか一つが許容範囲内となるように、前記補助パターンの前記寸法を調節するステップとを有することを特徴とする方法。
A mask in which a desired pattern and an auxiliary pattern having a smaller dimension than the desired pattern are arranged is divided into a plurality of types so that the desired pattern is resolved and the resolution of the auxiliary pattern is suppressed. The method of manufacturing a mask suitable for an exposure method of projecting light passing through the mask onto the object to be exposed through a projection optical system by illuminating with the light,
Setting the dimensions of the desired pattern;
Setting the dimensions of the auxiliary pattern;
Relationship between at least one of a mask error enhancement factor, a line width error, a depth of focus, a location error of the auxiliary pattern, a size error of the auxiliary pattern, and an exposure amount with respect to the size of the desired pattern, and a size of the auxiliary pattern. Adjusting the size of the auxiliary pattern so that at least one of the sizes is within an allowable range.
前記調節ステップは、前記補助パターンの寸法の±10%の範囲内で変更することを特徴とする請求項9記載の方法。The method according to claim 9, wherein the adjusting step changes the size within ± 10% of the size of the auxiliary pattern. 前記調節ステップは、前記マスクエラーエンハンスメントファクター、前記ロケーションエラー又は前記サイズエラーを改善するために、前記前記補助パターンの寸法を小さくすることを特徴とする請求項9記載の方法。10. The method of claim 9, wherein the adjusting step reduces a size of the auxiliary pattern to improve the mask error enhancement factor, the location error, or the size error. 前記調節ステップは、前記線幅誤差、前記焦点深度又は前記露光量を改善するために、前記前記補助パターンの寸法を大きくすることを特徴とする請求項9記載の方法。The method according to claim 9, wherein the adjusting step increases a size of the auxiliary pattern to improve the line width error, the depth of focus, or the exposure amount. 所望のパターンと、当該所望のパターンよりも寸法の小さな補助パターンとが配列されたマスクを、前記所望のパターンが解像され、かつ、前記補助パターンの解像が抑制されるように照明して前記マスクを経た光を被露光体に投影光学系を介して投影する露光方法に適したマスクパターンの設定に使用されるデータベースであって、
マスクエラーエンハンスメントファクター、線幅誤差、焦点深度、ロケーションエラー、サイズエラー及び露光量の少なくとも一方の許容範囲と前記所望のパターンの寸法が入力されると、前記補助パターンの寸法を表示することを特徴とするデータベース。
A mask in which a desired pattern and an auxiliary pattern smaller in size than the desired pattern are arranged is illuminated so that the desired pattern is resolved and the resolution of the auxiliary pattern is suppressed. A database used for setting a mask pattern suitable for an exposure method of projecting the light having passed through the mask onto an object to be exposed via a projection optical system,
When an allowable range of at least one of a mask error enhancement factor, a line width error, a depth of focus, a location error, a size error, and an exposure amount and the dimensions of the desired pattern are input, the dimensions of the auxiliary pattern are displayed. And the database.
所望のパターンと、当該所望のパターンよりも寸法の小さな補助パターンとが配列されたマスクを、前記所望のパターンが解像され、かつ、前記補助パターンの解像が抑制されるように、複数種類の光で照明して前記マスクを経た光を被露光体に投影光学系を介して投影する露光方法であって、
焦点深度が許容範囲内となるように、前記マスクを照明する照明系のコヒーレンスファクターを調節するステップを有することを特徴とする方法。
A mask in which a desired pattern and an auxiliary pattern having a size smaller than the desired pattern are arranged is divided into a plurality of types so that the desired pattern is resolved and the resolution of the auxiliary pattern is suppressed. An exposure method for projecting light passing through the mask onto the object to be exposed via a projection optical system by illuminating with light of
Adjusting the coherence factor of an illumination system that illuminates the mask such that the depth of focus is within an acceptable range.
所望のパターンと、当該所望のパターンよりも寸法の小さな補助パターンとが配列されたマスクを、前記所望のパターンが解像され、かつ、前記補助パターンの解像が抑制されるように、複数種類の光で照明して前記マスクを経た光を被露光体に投影光学系を介して投影する露光方法であって、
焦点深度が許容範囲内となるように、前記被露光体を光軸方向に移動させながら複数回露光するステップを有することを特徴とする方法。
A mask in which a desired pattern and an auxiliary pattern having a size smaller than the desired pattern are arranged is divided into a plurality of types so that the desired pattern is resolved and the resolution of the auxiliary pattern is suppressed. An exposure method for projecting light passing through the mask onto the object to be exposed via a projection optical system by illuminating with light of
Exposing the object to be exposed a plurality of times while moving the object in the optical axis direction such that the depth of focus falls within an allowable range.
前記マスクは、前記補助パターンの中で前記所望のパターンに隣接するパターンの寸法が他の補助パターンの寸法よりも小さいことを特徴とする請求項15記載の方法。16. The method according to claim 15, wherein the mask has a dimension of a pattern adjacent to the desired pattern in the auxiliary pattern is smaller than a dimension of another auxiliary pattern. 所望のパターンと、当該所望のパターンよりも寸法の小さな補助パターンとが配列されたマスクを、前記所望のパターンが解像され、かつ、前記補助パターンの解像が抑制されるように、複数種類の光で照明して前記マスクを経た光を被露光体に投影光学系を介して投影する露光方法に適した前記マスクの製造方法であって、
前記所望のパターンの寸法を、第1の方向において当該第1の方向と直交する第2の方向の寸法よりも長く設定するステップと、
前記補助パターンの寸法を、前記第1の方向において当該第1の方向と直交する第2の方向の寸法よりも長く設定するステップとを有することを特徴とする方法。
A mask in which a desired pattern and an auxiliary pattern having a smaller dimension than the desired pattern are arranged is divided into a plurality of types so that the desired pattern is resolved and the resolution of the auxiliary pattern is suppressed. The method of manufacturing a mask suitable for an exposure method of projecting light passing through the mask onto the object to be exposed through a projection optical system by illuminating with the light,
Setting the dimension of the desired pattern longer in a first direction than in a second direction orthogonal to the first direction;
Setting the dimension of the auxiliary pattern in the first direction to be longer than the dimension in a second direction orthogonal to the first direction.
前記所望のパターンは長方形形状を有し、前記補助パターンは長方形形状を有する請求項17記載の方法。18. The method of claim 17, wherein the desired pattern has a rectangular shape and the auxiliary pattern has a rectangular shape. 所望のパターンと、当該所望のパターンよりも寸法の小さな補助パターンとが配列されたマスクの製造方法であって、
複数種類の形状が異なる所望のパターンが存在する場合に、前記形状の夫々に対応する複数種類の形状の異なる前記補助パターンを配置することを特徴とする方法。
A method for manufacturing a mask in which a desired pattern and an auxiliary pattern having a smaller dimension than the desired pattern are arranged,
A method comprising arranging a plurality of types of auxiliary patterns having different shapes corresponding to each of the shapes when a plurality of types of desired patterns having different shapes exist.
所望のパターンと、当該所望のパターンよりも寸法の小さな補助パターンとが配列されたマスクの製造方法であって、
複数種類の形状が異なる所望のパターンが存在する場合に、前記形状の夫々に対応する複数種類の形状の異なる前記補助パターンを配置することを特徴とする方法。
A method for manufacturing a mask in which a desired pattern and an auxiliary pattern having a smaller dimension than the desired pattern are arranged,
A method comprising arranging a plurality of types of auxiliary patterns having different shapes corresponding to each of the shapes when a plurality of types of desired patterns having different shapes exist.
所望のパターンと、当該所望のパターンよりも寸法の小さな補助パターンとが配列されたマスクを、前記所望のパターンが解像され、かつ、前記補助パターンの解像が抑制されるように、複数種類の光で照明して前記マスクを経た光を被露光体に投影光学系を介して投影する露光方法に適した前記マスクの製造方法であって、
複数種類の形状が異なる所望のパターンが存在する場合に2種類以上の形状の異なる前記補助パターンを配置することを特徴とする方法。
A mask in which a desired pattern and an auxiliary pattern having a smaller dimension than the desired pattern are arranged is divided into a plurality of types so that the desired pattern is resolved and the resolution of the auxiliary pattern is suppressed. The method of manufacturing a mask suitable for an exposure method of projecting light passing through the mask onto the object to be exposed through a projection optical system by illuminating with the light,
A method comprising: arranging two or more types of auxiliary patterns having different shapes when there are a plurality of types of desired patterns having different shapes.
所望のパターンと、当該所望のパターンよりも寸法の小さな補助パターンとが配列されたマスクを、前記所望のパターンが解像され、かつ、前記補助パターンの解像が抑制されるように、複数種類の光で照明して前記マスクを経た光を被露光体に投影光学系を介して投影する露光方法に適した前記マスクの製造方法であって、
前記所望のパターンを、互いに干渉することのない距離だけ離間した第1及び第2の領域にそれぞれ形成するステップと、
前記補助パターンを、前記第1及び第2の領域に対してそれぞれ異なるパターンとして設定するステップとを有することを特徴とする方法。
A mask in which a desired pattern and an auxiliary pattern having a smaller dimension than the desired pattern are arranged is divided into a plurality of types so that the desired pattern is resolved and the resolution of the auxiliary pattern is suppressed. The method of manufacturing a mask suitable for an exposure method of projecting light passing through the mask onto the object to be exposed through a projection optical system by illuminating with the light,
Forming the desired patterns in first and second regions separated by a distance that does not interfere with each other;
Setting the auxiliary pattern as a different pattern for each of the first and second regions.
前記互いに干渉することのない距離は、解像度をR、露光光の波長をλ、投影光学系の開口数をNA、k=R/(λ/NA)とおいた場合のkで換算した場合に、2以上であることを特徴とする請求項22記載の方法。Distance never said to interfere with each other, the resolution R, the wavelength of the exposure light lambda, when converted to the numerical aperture of the projection optical system in k 1 when placed NA, k 1 = R / ( λ / NA) and 23. The method of claim 22, wherein the number is two or more. 前記第1の領域の最小ピッチは、前記第2の領域の最小ピッチよりも小さく、
前記所望のパターンの設定ステップは、前記第2の領域の所望のパターンの寸法を増加させたことを特徴とする請求項22記載の方法。
The minimum pitch of the first region is smaller than the minimum pitch of the second region,
23. The method of claim 22, wherein the step of setting the desired pattern includes increasing a size of the desired pattern in the second region.
請求項24記載の方法によって製造されたマスクを前記第1の領域の前記最小ピッチに対して最適化された照明系で照明することを特徴とする露光方法。25. An exposure method, comprising illuminating a mask manufactured by the method according to claim 24 with an illumination system optimized for the minimum pitch of the first region. 前記所望のパターンの形成ステップは、前記第1の領域の所望のパターンの形状を補正することを特徴とする請求項22記載の方法。23. The method according to claim 22, wherein the step of forming the desired pattern corrects a shape of the desired pattern in the first region. 請求項26記載の方法によって製造されたマスクを前記第2の領域の前記最小ピッチに対して最適化された照明系で照明することを特徴とする露光方法。27. An exposure method, comprising illuminating a mask manufactured by the method according to claim 26 with an illumination system optimized for the minimum pitch of the second region. 所望のパターンと、当該所望のパターンよりも寸法の小さな補助パターンとが配列されたマスクを、前記所望のパターンが解像され、かつ、前記補助パターンの解像が抑制されるように照明して前記マスクを経た光を被露光体に投影光学系を介して投影する露光方法に適したマスクパターンの設定に使用されるデータベースであって、マスクの種類と、コントラスト又は線幅誤差の関係を表すことを特徴とするデータベース。A mask in which a desired pattern and an auxiliary pattern smaller in size than the desired pattern are arranged is illuminated so that the desired pattern is resolved and the resolution of the auxiliary pattern is suppressed. A database used for setting a mask pattern suitable for an exposure method of projecting light having passed through the mask onto an object to be exposed via a projection optical system, and represents a relationship between a mask type and a contrast or a line width error. A database characterized by that: 所望のパターンと、当該所望のパターンよりも寸法の小さな補助パターンとが配列されたマスクを、前記所望のパターンが解像され、かつ、前記補助パターンの解像が抑制されるように、複数種類の光で照明して前記マスクを経た光を被露光体に投影光学系を介して投影する露光方法に適した前記マスクの製造方法であって、
挿入される2つの補助パターンの重なった場合又は隣接した場合は、前記2つの補助パターンを設ける代わりに、前記2つの補助パターンの重心を重心とする補助パターンを配置することを特徴とする方法。
A mask in which a desired pattern and an auxiliary pattern having a smaller dimension than the desired pattern are arranged is divided into a plurality of types so that the desired pattern is resolved and the resolution of the auxiliary pattern is suppressed. The method of manufacturing a mask suitable for an exposure method of projecting light passing through the mask onto the object to be exposed through a projection optical system by illuminating with the light,
When two inserted auxiliary patterns overlap or are adjacent to each other, instead of providing the two auxiliary patterns, an auxiliary pattern whose center of gravity is the center of the two auxiliary patterns is arranged.
所望のパターンと、当該所望のパターンよりも寸法の小さな補助パターンとが配列されたマスクを、前記所望のパターンが解像され、かつ、前記補助パターンの解像が抑制されるように、複数種類の光で照明して前記マスクを経た光を被露光体に投影光学系を介して投影する露光方法に適したマスクの製造方法であって、
解像度をR、露光光の波長をλ、投影光学系の開口数をNA、k=R/(λ/NA)とし、挿入される2つのダミーパターンの最小頂点間隔がk換算で0.20以下になった場合に、前記2つの補助パターンを設ける代わりに、前記2つの補助パターンの重心を重心とする一の補助パターンを配置することを特徴とする方法。
A mask in which a desired pattern and an auxiliary pattern having a size smaller than the desired pattern are arranged is divided into a plurality of types so that the desired pattern is resolved and the resolution of the auxiliary pattern is suppressed. A method of manufacturing a mask suitable for an exposure method of projecting light passing through the mask onto the object to be exposed through a projection optical system by illuminating with light of
0 resolution R, the wavelength of the exposure light lambda, the numerical aperture of the projection optical system NA, k 1 = R / ( λ / NA) and to the minimum vertex distance between two dummy patterns to be inserted at k 1 terms. When the number is 20 or less, instead of providing the two auxiliary patterns, one auxiliary pattern whose center of gravity is the center of the two auxiliary patterns is arranged.
所望のパターンと、当該所望のパターンよりも寸法の小さな補助パターンとが配列されたマスクを、前記所望のパターンが解像され、かつ、前記補助パターンの解像が抑制されるように、複数種類の光で照明して前記マスクを経た光を被露光体に投影光学系を介して投影する露光方法に適したマスクの製造方法であって、
前記所望のパターンを、直交2方向のいずれかの方向で整列する少なくとも2つのコンタクトホールを有する周期パターンと、前記直交2方向のいずれの方向においても整列する他のコンタクトホールを有しない孤立パターンとに分類するステップと、
前記周期パターンに対しては、前記少なくとも2つのコンタクトホールの間隔としての周期に基づいて前記補助パターンを前記整列している方向に配置するステップと、
前記孤立パターンに対しては任意の周期に基づいて前記補助パターンを配置する第2のステップとを有することを特徴とする方法。
A mask in which a desired pattern and an auxiliary pattern having a size smaller than the desired pattern are arranged is divided into a plurality of types so that the desired pattern is resolved and the resolution of the auxiliary pattern is suppressed. A method of manufacturing a mask suitable for an exposure method of projecting light passing through the mask onto the object to be exposed through a projection optical system by illuminating with light of
A periodic pattern having at least two contact holes for aligning the desired pattern in any one of the two orthogonal directions, and an isolated pattern having no other contact holes aligned in any of the two orthogonal directions. Categorizing into
For the periodic pattern, arranging the auxiliary patterns in the aligned direction based on a period as an interval between the at least two contact holes;
A second step of arranging the auxiliary pattern based on an arbitrary period for the isolated pattern.
前記周期パターンに対して前記補助パターンを配置するステップは、
前記所望パターンの最小ピッチに基づいて前記補助パターンの寸法を決定するステップと、
前記周期と前記所望のパターンのホール径に基づいて前記補助パターンの周期を決定するステップとを有する請求項31記載の方法。
Arranging the auxiliary pattern with respect to the periodic pattern,
Determining the size of the auxiliary pattern based on the minimum pitch of the desired pattern,
The method of claim 31, further comprising: determining a period of the auxiliary pattern based on the period and a hole diameter of the desired pattern.
前記補助パターンの寸法を決定するステップは、
前記所望のパターンの最小ハーフピッチを、解像度をR、露光光の波長をλ、投影光学系の開口数をNA、k=R/(λ/NA)とおいた場合のkで換算した場合に、0.25x√2よりも小さいかどうか判断するステップと、
前記判断ステップが小さいと判断した場合に、前記補助パターンの寸法を前記所望のパターンの所定の割合に設定するステップと、
前記判断ステップが小さくないと判断した場合に、前記補助パターンの寸法をk換算で0.25以上に相当する寸法に設定するステップとを有することを特徴とする請求項32記載の方法。
Determining the size of the auxiliary pattern,
If the minimum half pitch of the desired pattern, by converting the resolution R, the wavelength of the exposure light lambda, the numerical aperture of the projection optical system NA, in k 1 = R / (λ / NA) and k 1 when placed Determining whether it is less than 0.25x√2;
Setting the dimension of the auxiliary pattern to a predetermined ratio of the desired pattern, when determining that the determining step is small;
If the determining step determines not smaller The method of claim 32, wherein the a step of setting the dimension corresponding to the dimension of the auxiliary pattern to 0.25 or more by k 1 terms.
前記所定の割合は、70%以上85%以下であることを特徴とする請求項33記載の方法。The method according to claim 33, wherein the predetermined ratio is not less than 70% and not more than 85%. 前記補助パターンの周期を決定するステップは、
前記所望のパターンの前記ホール径が、第1の閾値未満であるかどうかを判断する第1の判断ステップと、
当該第1の判断ステップが前記第1の閾値未満であると判断すれば異常終了するステップを更に有する請求項32記載の方法。
Determining the cycle of the auxiliary pattern,
A first determining step of determining whether the hole diameter of the desired pattern is less than a first threshold,
33. The method of claim 32, further comprising terminating abnormally if the first determining step determines that the value is less than the first threshold.
前記第1の閾値は0.25以上0.25×√2以下であることを特徴とする請求項35記載の方法。The method according to claim 35, wherein the first threshold is not less than 0.25 and not more than 0.25 * √2. 前記第1の判断ステップが未満ではないと判断すると、前記所望のパターンの前記ホール径が前記第1の閾値以上第2の閾値以下であるかどうかを判断する第2の判断ステップと、
当該第2の判断ステップが前記第1の閾値以上第2の閾値以下であると判断した場合に、前記周期パターンの周期を、解像度をR、露光光の波長をλ、投影光学系の開口数をNA、k=R/(λ/NA)とおいた場合のkで換算した場合に、第3の閾値以上であるかどうかを判断する第3の判断ステップと、
前記第3の判断ステップが前記第3の閾値以上ではないと判断した場合に、前記周期パターンの周期で前記補助パターンを配置するステップとを有することを特徴とする請求項35記載の方法。
A second determining step of determining whether the hole diameter of the desired pattern is equal to or greater than the first threshold and equal to or less than a second threshold when the first determining step determines that the hole diameter is not less than;
When the second determination step determines that the period is not less than the first threshold and not more than the second threshold, the period of the periodic pattern is R, the wavelength of the exposure light is λ, the numerical aperture of the projection optical system. the NA, when converted with k 1 = R / (λ / NA) and k 1 when placed, a third determination step of determining whether the whether the third threshold value or more,
36. The method according to claim 35, further comprising, if the third determining step determines that the auxiliary pattern is not equal to or greater than the third threshold value, arranging the auxiliary pattern at a cycle of the periodic pattern.
前記第3の判断ステップが前記第3の閾値以上ではあると判断した場合に、前記周期パターンの周期を所定の数で分割した値によって前記補助パターンを配置するステップとを有することを特徴とする請求項37記載の方法。And arranging the auxiliary pattern according to a value obtained by dividing the cycle of the periodic pattern by a predetermined number when the third determining step determines that the auxiliary pattern is equal to or greater than the third threshold value. 38. The method of claim 37. 前記第1の閾値は0.25以上0.25×√2以下であり、前記第2の閾値は0.25×√2以上0.5以下で、前記第3の閾値は1.0以上√2以下であることを特徴とする請求項37又は38記載の方法。The first threshold value is 0.25 or more and 0.25 × √2 or less, the second threshold value is 0.25 × √2 or more and 0.5 or less, and the third threshold value is 1.0 or more1.0. 39. The method according to claim 37, wherein the number is 2 or less. 当該第2の判断ステップが前記第1の閾値以上第2の閾値以下でないと判断した場合に、前記周期パターンの周期をkで換算した場合に、第4の閾値以上であるかどうかを判断する第4の判断ステップと、
前記第4のステップが前記第4の閾値以上であると判断した場合に、前記周期パターンの周期をkで換算した値から前記所望のパターンの前記ホール径をひいた値が第5の閾値以下であるかどうかを判断する第5の判断ステップと、
前記第5のステップにおいて前記第5の閾値以下であると判断した場合に、前記補助パターンを挿入しないことを特徴とする請求項37記載の方法。
If such second determination step determines that the not first threshold value or more second threshold value or less, the period of the periodic pattern when converted in k 1, determining whether the whether the fourth threshold value or more A fourth determining step of:
When said fourth step is equal to or greater than the fourth threshold value, the value obtained by subtracting the hole diameter of the desired pattern from the converted value of the period of the periodic pattern in k 1 is a fifth threshold value A fifth determining step of determining whether or not:
The method according to claim 37, wherein the auxiliary pattern is not inserted if it is determined in the fifth step that the difference is equal to or less than the fifth threshold value.
当該第2の判断ステップが前記第1の閾値以上第2の閾値以下でないと判断した場合に、前記周期パターンの周期をkで換算した場合に、第4の閾値以上であるかどうかを判断する第4の判断ステップと、
前記第4のステップが前記第4の閾値以上でないと判断した場合に、前記周期パターンの周期で前記補助パターンを配置するステップとを有することを特徴とする請求項37記載の方法。
If such second determination step determines that the not first threshold value or more second threshold value or less, the period of the periodic pattern when converted in k 1, determining whether the whether the fourth threshold value or more A fourth determining step of:
38. The method according to claim 37, further comprising the step of arranging the auxiliary pattern at a period of the periodic pattern when the fourth step determines that the auxiliary pattern is not equal to or larger than the fourth threshold value.
前記第1の閾値は0.25以上0.25×√2以下であり、前記第2の閾値は0.25×√2以上0.5以下で、前記第4の閾値は0.5×√2以上1.0以下であることを特徴とする請求項40又は41記載の方法。The first threshold is 0.25 or more and 0.25 × √2 or less, the second threshold is 0.25 × 以上 2 or more and 0.5 or less, and the fourth threshold is 0.5 × √. 42. The method according to claim 40, wherein the value is 2 or more and 1.0 or less. 前記孤立パターンに対して前記補助パターンを配置するステップは、
前記孤立パターンから所定の距離の範囲内に周期性パターンが存在するかどうかを判断するステップと、
前記判断ステップが存在すると判断した場合に前記周期性パターンの周期に合わせて前記補助パターンを配置することを特徴とするステップと、
前記判断ステップが存在しないと判断した場合に前記孤立パターンの寸法をハーフピッチにして前記補助パターンを配置するステップとを有することを特徴とする請求項31記載の方法。
Arranging the auxiliary pattern with respect to the isolated pattern,
Determining whether a periodic pattern exists within a predetermined distance from the isolated pattern,
A step of arranging the auxiliary pattern in accordance with the cycle of the periodic pattern when it is determined that the determining step exists; and
32. The method according to claim 31, further comprising, if it is determined that the determining step does not exist, setting the size of the isolated pattern to a half pitch and arranging the auxiliary pattern.
前記周期パターンは、第1の方向に周期性を有する第1の周期パターンと、前記第1の方向に平行な第2の方向に周期性を有する第2のパターンを含み、前記第1及び第2の周期パターンを構成するコンタクトホールはいずれも前記第1及び第2の方向に垂直な方向に他のコンタクトホールが存在しない場合に、
前記第1及び第2の周期パターンの間に、前記第1及び第2の方向に垂直な方向に関する前記第1及び第2の周期パターン間隔を周期として、前記補助パターンを配置するステップを更に有することを特徴とする請求項31記載の方法。
The periodic pattern includes a first periodic pattern having periodicity in a first direction, and a second pattern having periodicity in a second direction parallel to the first direction. In the case where no other contact hole exists in a direction perpendicular to the first and second directions, none of the contact holes constituting the second periodic pattern
A step of arranging the auxiliary pattern between the first and second periodic patterns, with the first and second periodic pattern intervals in a direction perpendicular to the first and second directions as a period; 32. The method of claim 31, wherein:
前記周期パターンを構成するコンタクトホールはいずれも前記周期パターンが周期性を有する第1の方向に垂直な第2の方向に他のコンタクトホールが存在しない場合に、
前記周期パターンと前記孤立パターンの間に、前記第2の方向に関する前記第1の方向と当該第1の方向と平行で前記孤立パターンを通る第3の方向との間隔を周期として利用して、前記補助パターンを配置するステップを更に有することを特徴とする請求項31記載の方法。
When none of the contact holes constituting the periodic pattern has another contact hole in a second direction perpendicular to the first direction in which the periodic pattern has periodicity,
Between the periodic pattern and the isolated pattern, using the interval between the first direction with respect to the second direction and a third direction parallel to the first direction and passing through the isolated pattern as a cycle, The method of claim 31, further comprising arranging the auxiliary pattern.
所望のパターンと、当該所望のパターンよりも寸法の小さな補助パターンとが配列されたマスクを、前記所望のパターンが解像され、かつ、前記補助パターンの解像が抑制されるように、複数種類の光で照明して前記マスクを経た光を被露光体に投影光学系を介して投影する露光方法に適した前記マスクの製造方法であって、
前記所望のパターンの一部が所定の領域内で格子状の各点に配置され、前記所定の領域から前記格子点の間隔の2倍以下の距離にあるパターンの個数が3以下で、当該パターンは前記格子上の点から前記格子点の間隔の20%以内の範囲でずれている場合は、前記ずれているパターンが格子状に配置されているとみなして前記補助パターンを挿入することを特徴とする方法。
A mask in which a desired pattern and an auxiliary pattern having a smaller dimension than the desired pattern are arranged is divided into a plurality of types so that the desired pattern is resolved and the resolution of the auxiliary pattern is suppressed. The method of manufacturing a mask suitable for an exposure method of projecting light passing through the mask onto the object to be exposed through a projection optical system by illuminating with the light,
A part of the desired pattern is arranged at each grid-like point in a predetermined area, and the number of patterns at a distance of twice or less the interval between the grid points from the predetermined area is 3 or less, and Is characterized in that, if the pattern is shifted from the point on the grid within 20% of the interval between the grid points, the auxiliary pattern is inserted by assuming that the shifted pattern is arranged in a grid. And how.
請求項1乃至4、6、9乃至11、17乃至24、26、33乃至46の方法を実行するためのプログラム。A program for executing the method according to any one of claims 1 to 4, 6, 9 to 11, 17 to 24, 26, and 33 to 46. 請求項1乃至4、6、9乃至11、17乃至24、26、33乃至46の方法によって作成されたマスク。A mask formed by the method according to any one of claims 1 to 4, 6, 9 to 11, 17 to 24, 26, and 33 to 46. 第1の所望のパターンと、
前記第1の所望のパターンの近くに配置され、前記第1の所望のパターンよりも寸法が小さく、前記第1のパターンと略相似な形状を有する第1の補助パターンと、
前記第1の所望のパターンと形状が異なる第2の所望のパターンと、
前記第2の所望のパターンの近くに配置され、前記第2の所望のパターンよりも寸法の小さく、前記第2のパターンと略相似な形状を有する第2の補助パターンと、を有することを特徴とするマスク。
A first desired pattern;
A first auxiliary pattern which is arranged near the first desired pattern, has a smaller size than the first desired pattern, and has a shape substantially similar to the first pattern;
A second desired pattern different in shape from the first desired pattern;
A second auxiliary pattern which is arranged near the second desired pattern, has a smaller size than the second desired pattern, and has a shape substantially similar to the second pattern. Mask.
前記第1の補助パターンは、前記第1の所望のパターンの周辺に第1の周期で複数配列されており、前記第2の補助パターンは、前記第2の所望のパターンの周辺に第2の周期で複数配列されていることを特徴とする請求項49記載のマスク。A plurality of the first auxiliary patterns are arranged in a first cycle around the first desired pattern, and a second auxiliary pattern is arranged around a second desired pattern around the second desired pattern. 50. The mask according to claim 49, wherein a plurality of the masks are arranged in a cycle. 前記第1の所望のパターンと前記第2の所望のパターンは、コンタクトホール用のパターンであることを特徴とする請求項44.5又は50記載のマスク。The mask according to claim 44.5 or 50, wherein the first desired pattern and the second desired pattern are patterns for contact holes. 請求項48乃至51のうちいずれか一項記載のマスクを用いて前記被露光体を露光するステップと、
前記露光された被露光体に所定のプロセスを行うステップとを有するデバイス製造方法。
Exposing the object to be exposed using the mask according to any one of claims 48 to 51,
Performing a predetermined process on the exposed object to be exposed.
JP2002167769A 2002-04-23 2002-06-07 Method for manufacturing mask, exposure method and method for manufacturing device Pending JP2004012932A (en)

Priority Applications (6)

Application Number Priority Date Filing Date Title
JP2002167769A JP2004012932A (en) 2002-06-07 2002-06-07 Method for manufacturing mask, exposure method and method for manufacturing device
US10/251,581 US7107573B2 (en) 2002-04-23 2002-09-20 Method for setting mask pattern and illumination condition
TW091121661A TWI315027B (en) 2002-04-23 2002-09-20 Mask designing method, and exposure method for illuminatiing a mask and exposing an object
EP02256555A EP1357426A3 (en) 2002-04-23 2002-09-20 Method for setting mask pattern and its illumination condition
KR10-2002-0067238A KR100533145B1 (en) 2002-04-23 2002-10-31 Method for setting mask pattern and its illumination condition
KR1020050075017A KR100633461B1 (en) 2002-04-23 2005-08-17 Method for setting mask pattern and its illumination condition

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2002167769A JP2004012932A (en) 2002-06-07 2002-06-07 Method for manufacturing mask, exposure method and method for manufacturing device

Publications (2)

Publication Number Publication Date
JP2004012932A true JP2004012932A (en) 2004-01-15
JP2004012932A5 JP2004012932A5 (en) 2005-10-13

Family

ID=30434919

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002167769A Pending JP2004012932A (en) 2002-04-23 2002-06-07 Method for manufacturing mask, exposure method and method for manufacturing device

Country Status (1)

Country Link
JP (1) JP2004012932A (en)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006220837A (en) * 2005-02-09 2006-08-24 Sony Corp Method for manufacturing photomask and method for manufacturing semiconductor device
JP2007034207A (en) * 2005-07-29 2007-02-08 Canon Inc Method for producing mask and mask pattern design device
JP2009229669A (en) * 2008-03-21 2009-10-08 Renesas Technology Corp Photomask, manufacturing device for semiconductor device having the photomask, and manufacturing method for the semiconductor device using the photomask
JP2010020187A (en) * 2008-07-11 2010-01-28 Canon Inc Generating method, generating method of original, exposure method, device manufacturing method, and program
JP2011151423A (en) * 2004-02-03 2011-08-04 Mentor Graphics Corp Light source optimization for image fidelity and throughput
JP2012212154A (en) * 2005-04-26 2012-11-01 Renesas Electronics Corp Method of manufacturing semiconductor device
KR101427983B1 (en) * 2007-05-25 2014-09-23 구완회 Method and apparatus for alignment
CN114236969A (en) * 2021-11-12 2022-03-25 京东方科技集团股份有限公司 Exposure detection method and device

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10248028B2 (en) 2004-02-03 2019-04-02 Mentor Graphics Corporation Source optimization for image fidelity and throughput
US9323161B2 (en) 2004-02-03 2016-04-26 Mentor Graphics Corporation Source optimization by assigning pixel intensities for diffractive optical element using mathematical relationship
JP2011151423A (en) * 2004-02-03 2011-08-04 Mentor Graphics Corp Light source optimization for image fidelity and throughput
JP2006220837A (en) * 2005-02-09 2006-08-24 Sony Corp Method for manufacturing photomask and method for manufacturing semiconductor device
JP2012212154A (en) * 2005-04-26 2012-11-01 Renesas Electronics Corp Method of manufacturing semiconductor device
JP2015028636A (en) * 2005-04-26 2015-02-12 ルネサスエレクトロニクス株式会社 Method of manufacturing semiconductor device
US8719740B2 (en) 2005-04-26 2014-05-06 Renesas Electronics Corporation Semiconductor device which is subjected to optical proximity correction
JP2007034207A (en) * 2005-07-29 2007-02-08 Canon Inc Method for producing mask and mask pattern design device
JP4642584B2 (en) * 2005-07-29 2011-03-02 キヤノン株式会社 Mask making method and exposure method
KR101427983B1 (en) * 2007-05-25 2014-09-23 구완회 Method and apparatus for alignment
JP2009229669A (en) * 2008-03-21 2009-10-08 Renesas Technology Corp Photomask, manufacturing device for semiconductor device having the photomask, and manufacturing method for the semiconductor device using the photomask
US8365106B2 (en) 2008-07-11 2013-01-29 Canon Kabushiki Kaisha Method for optimization of light effective source while target pattern is changed
JP2010020187A (en) * 2008-07-11 2010-01-28 Canon Inc Generating method, generating method of original, exposure method, device manufacturing method, and program
CN114236969A (en) * 2021-11-12 2022-03-25 京东方科技集团股份有限公司 Exposure detection method and device

Similar Documents

Publication Publication Date Title
KR100633461B1 (en) Method for setting mask pattern and its illumination condition
US7512928B2 (en) Sub-resolution assist feature to improve symmetry for contact hole lithography
US7318214B1 (en) System and method for reducing patterning variability in integrated circuit manufacturing through mask layout corrections
US7814456B2 (en) Method and system for topography-aware reticle enhancement
JP3266499B2 (en) Optical proximity correction method and system
US6178360B1 (en) Methods and apparatus for determining optimum exposure threshold for a given photolithographic model
US6622296B2 (en) Exposure mask pattern correction method, pattern formation method, and a program product for operating a computer
JP5677356B2 (en) Generation method of mask pattern
JP2010079184A (en) Generating method for pattern data, and pattern data generating program
JP5050365B2 (en) Photomask manufacturing method
JP5686567B2 (en) Program and method for determining exposure conditions and mask pattern
JP3977544B2 (en) Circuit design method for semiconductor device and program storage medium
JP2004012932A (en) Method for manufacturing mask, exposure method and method for manufacturing device
JP3754934B2 (en) Mask pattern and illumination condition setting method
JP2004163472A (en) Method for designing photomask, photomask, and semiconductor device
JP2008020734A (en) Design pattern preparation method for semiconductor device, program, and method of manufacturing the semiconductor device
JP2000305247A (en) Photomask, method for formation of pattern and production of device
KR101096979B1 (en) Method for forming photomask pattern to control critical Demension of semiconductor device
JP2008191364A (en) Design method of mask pattern
US6413685B1 (en) Method of reducing optical proximity effect
CN115903367A (en) Method for adding SRAF, mask and manufacturing method
JP2004157160A (en) Method for forming process model, method for designing mask pattern, mask and method for manufacturing semiconductor device
TWI806311B (en) Photo lithography method
JP2005072309A (en) Mask pattern correction method, exposure mask, and method for manufacturing mask
JPH09258428A (en) Mask for exposure and method for designing exposure condition

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050606

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050606

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20080522

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080527

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080728

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20090203