JP3754934B2 - Mask pattern and illumination condition setting method - Google Patents

Mask pattern and illumination condition setting method Download PDF

Info

Publication number
JP3754934B2
JP3754934B2 JP2002160741A JP2002160741A JP3754934B2 JP 3754934 B2 JP3754934 B2 JP 3754934B2 JP 2002160741 A JP2002160741 A JP 2002160741A JP 2002160741 A JP2002160741 A JP 2002160741A JP 3754934 B2 JP3754934 B2 JP 3754934B2
Authority
JP
Japan
Prior art keywords
pattern
mask
contact hole
dummy
hole pattern
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2002160741A
Other languages
Japanese (ja)
Other versions
JP2003318100A (en
Inventor
謙治 斉藤
賢治 山添
章義 鈴木
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Canon Inc
Original Assignee
Canon Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Canon Inc filed Critical Canon Inc
Priority to JP2002160741A priority Critical patent/JP3754934B2/en
Priority to US10/251,581 priority patent/US7107573B2/en
Priority to TW091121661A priority patent/TWI315027B/en
Priority to EP02256555A priority patent/EP1357426A3/en
Priority to KR10-2002-0067238A priority patent/KR100533145B1/en
Publication of JP2003318100A publication Critical patent/JP2003318100A/en
Priority to KR1020050075017A priority patent/KR100633461B1/en
Application granted granted Critical
Publication of JP3754934B2 publication Critical patent/JP3754934B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70125Use of illumination settings tailored to particular mask patterns

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Description

【0001】
【発明の属する技術分野】
本発明は、マスクパターン及び前記マスクパターンに最適な照明条件を設定する方法に係り、特に、所望のパターンと、当該所望のパターンのよりも寸法の小さな補助パターンとが配列されたマスクを、前記所望のパターンが解像され、かつ、前記補助パターンの解像が抑制されるように、複数種類の光で照明して前記マスクを経た光を被露光体に投影光学系を介して投影する露光方法に適したマスクパターン及び照明条件を設定する方法に関する。
【0002】
【従来の技術】
投影露光装置は、フォトリソグラフィ技術を用いてICやLSI及び液晶パネル等のデバイスを製造する際に用いられる。投影露光装置を用いたフォトリソグラフィ技術の微細化を行なうために、様々な改善がおこなわれてきたが、一般的には投影露光装置の露光波長を短くし、投影光学系の開口数(NA)を大きくする方法が採用されてきた。
【0003】
露光波長を短くし、投影光学系のNAを大きくすると解像力は良くなる。投影露光装置の性質上、解像しやすいパターンと解像しにくいパターンがあり、一般的に線パターン(以下、L/Sパターン)とコンタクトホールパターン(以下、C/Hパターン)を比較すると、L/Sパターンのほうが解像しやすいといわれている。そのため、半導体チップなどに用いられるL/Sパターンの幅よりC/Hパターンの幅のほうが大きいのが通常である。以上のことから、フォトリソグラフィ技術の微細化における問題点は微細C/Hパターンの作成であるということもできる。
【0004】
そこで、本発明者らは所望C/Hパターンの周辺に所望C/Hパターンより小さなホール径を有するダミーC/Hパターンを配置して、所望C/Hパターンだけを解像させることにより微細C/Hを形成する方法を研究している。
【0005】
【発明が解決しようとする課題】
前記実施例によれば、ダミーC/Hの入れ方により所望C/Hパターンの結像状態が変化することがわかっている。本発明者の研究により、所望C/Hパターンの大きさや周期、所望C/Hパターンの配置などからダミーC/Hパターン挿入ルールが明らかになった。ダミーC/Hの挿入ルールに従い決定されたマスクパターンに対して適した照明系を選ばないと前期実施例の効果を十分に得ることができないことも明らかにあった。ダミーC/Hパターンの挿入ルールに従い決定されたマスクパターンに適した照明系を用いてもマスク上の所望パターンが再現されないことがある。その場合は、所望パターンを補正する必要が生じる。
【0006】
実際のマスクにおいて、C/Hパターンの利用個所は非常に多い。回路設計者が非常に多くのC/Hパターンに対して上記の条件を満たすようにダミーC/Hを挿入することは非常に困難である。
【0007】
そこで、本発明は、マスクパターンと照明条件を比較的簡単に設定する方法を提供することを例示的目的とする。
【0008】
【課題を解決するための手段】
上記目的を達成するために、本発明の一側面としての露光方法は、コンタクトホールパターンと、当該コンタクトホールパターンよりも寸法の小さな補助パターンと、を有するマスクを、前記コンタクトホールパターンが解像され、かつ、前記補助パターンの解像が抑制されるように照明し、前記マスクからの光で被露光体を露光する露光方法であって、前記コンタクトホールパターン及び前記補助パターンで構成されるパターンの周期に基づいて形状が調整された有効光源で前記マスクを照明するステップを有し、前記コンタクトホールパターンと前記補助パターンとは、少なくとも第一の方向に沿って前記マスクに配置され、前記有効光源は、前記第一の方向及びそれに垂直な第二の方向に軸を持つ十字型の遮光部分を含むことを特徴とする
【0009】
本発明の別の側面としての設計方法は、コンタクトホールパターンと、当該コンタクトホールパターンよりも寸法の小さな補助パターンと、を有するマスクを、前記コンタクトホールパターンが解像され、かつ、前記補助パターンの解像が抑制されるように照明し、前記マスクからの光で被露光体を露光する露光方法に適したマスクの設計方法であって、前記コンタクトホールパターンのデータに基づいて、前記補助パターンのデータを作成するステップと、前記コンタクトホールパターン及び補助パターンで構成されるパターンの周期に基づいて、前記マスクを照明する際の有効光源の形状を調整するステップと、前記有効光源の形状で前記マスクを照明した場合の、前記コンタクトホールパターン及び前記補助パターンの解像の状態を確認するステップと、前記解像の状態に基づいて、前記コンタクトホールパターンのデータ及び/又は前記補助パターンのデータを補正するステップとを有し、前記コンタクトホールパターンと前記補助パターンとは、少なくとも第一の方向に沿って前記マスクに配置され、前記有効光源は、前記第一の方向及びそれに垂直な第二の方向に軸を持つ十字型の遮光部分を含むことを特徴とする
【0015】
本発明の更なる目的又はその他の特徴は、以下添付図面を参照して説明される好ましい実施例によって明らかにされるであろう。
【0016】
【発明の実施の形態】
以下、添付図面を参照して、本発明の実施の形態を説明する。ここで、図1は、本実施形態のマスクパターン及び照明条件を設定する方法を説明するためのフローチャートである。所望のC/Hパターンと、当該パターンのホール径よりも小さなホール径を有するダミーC/Hとが配列されたマスクを形成し、所望C/Hパターン部のみを解像させることによる露光方法を露光方法Iと呼ぶことにする。
【0017】
まず、始めに露光後に形成したいC/Hパターンに応じて所望パターンがないところの透過率を0とし、所望パターンがあるところの透過率を1として対応する所望パターンデータ(Dpd)を作成する(ステップ1002)。所望のパターンの設定後に使用されるマスクの種類(例えば、バイナリーマスク、ハーフトーンマスク、位相シフトマスクなど)が決定される(ステップ1004)。
【0018】
その後、一つは、露光方法Iに対するマスクデータの作成方法において以下の工程を持つ。第1−1の工程は、Dpdをもとに必要なダミーC/Hパターンデータ(Dum)を引き出し、露光方式Iに適したマスクデータ(Fpd)を作成する。第1−2の工程は、論理演算をもとにDumデータを作成し、Fpdを作成する。第1−3の工程は、Fpdがマスクパターン設計ルールを満たしているかを判定する。通常は第1−1もしくは第1−2あるいはその両方の工程、第1−3の工程の順で必要に応じて繰り返される。
【0019】
二つめは、露光方式Iに対応するマスクに適した照明系の有効光源形状の設定方法に関するもので以下の工程を持つ。第2−1の工程は、Fpdから露光方式Iに適した照明系データ(Oi)を引き出してくる。第2−2の工程は、Fpdから論理演算を行いOiを作成する。第2−3の工程はDpdからOiを引き出してくる。第2−4の工程は、Dpdから論理演算を行いOiを作成する。第2−5の工程は、Oiが照明モード設計ルールを満たしているかを判定する。Fpdが決まっていれば、第2−1の工程もしくは第2−2の工程あるいはその両方の工程、第2−5の工程の順で必要に応じて繰り返され、Fpdが決まっていなければ第2−3の工程、もしくは第2−4の工程あるいはその両方の工程、第2−5の工程の順で必要に応じて繰り返される。
【0020】
ダミーパターンの挿入と照明条件の設定はステップ1006で行われる。ステップ1006の詳細を図2に示す。図2(a)及び図2(b)は、ダミーパターンの挿入を説明するための2種類のフローチャートを示しており、図2(a)は演算からダミーパターンのデータを作成し、図2(b)はデータベースからダミーパターンのデータを作成する。図2(c)及び図2(d)は照明条件の設定を説明するための2種類のフローチャートを示しており、図2(c)は演算から照明条件を設定し、図2(d)はデータベースから照明条件を設定する。ステップ1006では、図2(a)及び図2(b)と、図2(c)及び図2(d)とは任意の順番で組み合わせ可能である。即ち、図2(a)から図2(c)又は図2(d)のフローに移行する場合、図2(b)から図2(c)又は図2(d)のフローに移行する場合、図2(c)から図2(a)又は図2(b)のフローに移行する場合、図2(d)から図2(a)又は図2(b)のフローに移行する場合がある。
【0021】
図2(a)は、演算を行い(ステップ1102)、ダミーホールをチェックし(ステップ1104)、ダミーホールが所定の設計ルール内に作成されていれば終了し(ステップ1112)、ダミーホールが所定の設計ルール内に作成されていなければ演算ステップに帰還することを所定回数だけ繰り返す(ステップ1106、1108)。所定回数以内にダミーホールの作成が合格とステップ1104で判断されなければ異常として終了する(ステップ1110)。
【0022】
図2(b)は、データベース(テーブルデータ)を引き出し(ステップ1202)、ダミーホールをチェックし、ダミーホールが所定の設計ルール内に作成されていれば終了し(ステップ1212)、ダミーホールが所定の設計ルール内に作成されていなければ演算ステップに帰還することを所定回数だけ繰り返す(ステップ1206、1208)。所定回数以内にダミーホールの作成が合格とステップ1204で判断されなければ異常として終了する(ステップ1210)。
【0023】
図2(b)のステップに使用されるデータベースの例を下の表1及び表2に示す。表1は、図16に示す有効光源の最大σが0.92でa=0.7、b=0.5でマスクパターンハーフピッチが120nmである場合、表2は、図16に示す有効光源形状の最大σが0.92でa=0.7、b=0.5でマスクパターンハーフピッチが110nmである場合を示している。
【0024】
【表1】

Figure 0003754934
【0025】
【表2】
Figure 0003754934
【0026】
図2(c)は、演算を行い(ステップ1302)、照明条件をチェックし(ステップ1304)、照明条件が所定の設計ルール内に作成されていれば終了し(ステップ1312)、照明条件が所定の設計ルール内に作成されていなければ演算ステップに帰還することを所定回数だけ繰り返す(ステップ1306、1308)。所定回数以内に照明条件の作成が合格とステップ1304で判断されなければ異常として終了する(ステップ1310)。
【0027】
図2(d)は、データベース(テーブルデータ)を引き出し(ステップ1402)、照明条件をチェックし、照明条件が所定の設計ルール内に作成されていれば終了し(ステップ1412)、照明条件が所定の設計ルール内に作成されていなければ演算ステップに帰還することを所定回数だけ繰り返す(ステップ1406、1408)。所定回数以内に照明条件の作成が合格とステップ1404で判断されなければ異常として終了する(ステップ1410)。
【0028】
三つめは、FpdとOiをもとに露光方式Iの効果を検証することに関するもので以下の工程を持つ。第3−1の工程は、Fdpに対してOiを用いたときに所望C/Hパターンが精度よく形成されるのかを判定する。第3−2の工程は、Dpdを補正する。上記第3−1から第3−2の工程は必要に応じて繰り返される。工程3−2ではDpdを補正するので、Dumの挿入をやり直す必要がでる。この判断次第ではDpdに補正を入れた状態でステップ1006に戻る必要性が生じる。露光方式Iは、ダミーC/Hパターンの数と大きさを変えることによって露光量を調節できるので、Dpdを分割して上記の工程を適用していき、最後に合成するという方法をとっても良い。
【0029】
これらは、図1においてステップ1008及び1014においてなされる。チェックは、ダミーパターンは解像されずに所望のパターンのみが精度良くが解像されるかどうかで判断する。精度の度合いは一定の基準に従い決まっているが、使用者が決めても良い。所望のパターンのみが解像されるダミーパターンや照明条件の候補が複数あれば、コントラストの大きい方、線幅(クリティカルディメンジョン)の誤差ばらつきが小さい方が選択されることが好ましい。
【0030】
本発明の形態は、上記の工程を繰り返し、FpdとOiを見出す繰り返し演算工程、およびDumとOiのテーブルとを備えたマスクデータおよび照明系データの作成方法である。かかる繰り返しは、図1においてステップ1016乃至1020に記載されている。最終的に、図1に示す処理手順は、マスクパターンデータと照明条件が決定されるか(ステップ1010)、異常として終了する(ステップ1024)。
【0031】
Dpdは、所望パターンがないところの透過率を0とし、所望パターンがあるところの透過率を1として決定される(バイナリーマスクの場合)。基本的なDumの挿入方法はDpdによって決定され、以下のようなルールが考えられる。
【0032】
一般的にDumの形状には制限がないが、正方形もしくは長方形が基本である。図9に模式的に示したように、Dpdに対して一定の周期を形成するように配置する。Dpdの周りに2周以上のDumがあると効果的である。Dumは重なってはいけない。図10(a)に模式的に示したように、Dumの横方向・縦方向の周期を同じにすると円形ホールを形成しやすくなり、図10(b)に模式的に示したように縦方向・横方向の周期を変えると楕円形のホールが形成しやすくなる。
【0033】
孤立Dpdに対しては以下のようなDumの挿入方法が考えられる。図11に模式的に示したように、孤立Dpdにはホール径をハーフピッチにしてDumを挿入する。孤立Dpdは光量が弱いため、ハーフピッチを縮めることも効果的に働く。図12に模式的に示したように、孤立Dpdの周辺にあるピッチを持ったDpdが混在するときは、前記のピッチにあわせてDumを挿入してもよい。
【0034】
周期的に並んでいるDpdにはその周期をくずさないようにDumを挿入する。上記のDum挿入ルールの基本的思想は、瞳面での回折光の分布を制御することにある。例えば、図13(a)に示したバイナリーマスクの投影光学系の瞳面上での回折光分布は図13(b)に示したようになるが、図13(a)に示したバイナリーマスクにDumを挿入した図14(a)のようなバイナリーマスクでは、瞳面上の回折光分布が図14(b)に示したようになる。これにより、Dumの挿入により、特定の位置に所望の回折光を集めるように制御できることがわかる。
【0035】
Oiを決定するには、以下の方法が考えられる。バイナリーマスクの場合は図16に模式的に示した有効光源分布を持つ照明系により照明するのがよく、十字型の遮光領域を変えることにより結像性能を変化させることができる。具体的には、図中のa、bの値を変えていくのが良い。さらに図16において、最大σの値を変えることも効果的である。位相シフトマスクには図17に模式的に示した照明系が良く、遮光領域の大きさを変えることが効果的である。ここでも、図中のa、bの値を変えていくのが良い。図17において、最大σを変えることも効果的である。図18は、いわゆる小σ照明と大σ照明からなり、小σ照明の強度と大σ照明の強度の比を変えたり、大σ照明の位置を変えることが効果的である。Dumを入れることで、擬似的に形成されたマスクパターンの周期が縦方向・横方向で明らかに違うときは図16乃至図18に模式的に示した90度回転対称な照明系でなくても良く、図19に示したように180度回転対象になるようにしてもよい。
【0036】
FdpとOiが求まったとしても所望パターンが精度良く転写可能であるとは限らない。転写されるべきパターンが特定の基準を満たしていないとき、もともとのDpdに補正を入れる必要が生じる。これはいわゆる光学近接補正(以下、OPC:Optical Proximity Correctionと呼ぶ)と呼ばれる(ステップ1012)。所望パターンを精度良く転写可能にするためには以下のような方法が考えられる。基本的には図15に示したように、転写されるべきパターンサイズが所望の値より小さかったらDpdを大きくするようにOPCを入れ(図15(a))、所望サイズより大きかったらDpdを小さくするようにOPCを入れる(図15(d))。Dumを変えることも所望パターンに作用するため、所望パターンサイズが所望の値より小さかった場合、Dpd近傍に配置してあるDumを大きくしたり周期を小さくする、その逆で所望パターンサイズが所望の値より大きかった場合、Dpd近傍に配置してあるDumを小さくしたり周期を大きくするなどの方法もある。Dpd近傍にあるDumの数を変えて所望パターンに作用させても良く、Dumの数を減らせば所望パターンの光量を小さくさせることができ、Dumの数を増やせば所望パターンの光量を大きくすることができる。照明系を変えてもよい。例えば、バイナリーマスクにおいては図16に示した有効光源分布を持つ照明系による照明が有効であるとされているが、遮光領域の大きさを変えることでホール形状を丸くしたり、解像力や焦点深度を変えることが可能になる。
【0037】
本発明の大部分はコンピュータが実行可能であるから、データ作成者は最終的にレジスト上に形成したいパターンを作成して入力するのみで、その後のマスクパターンデータ及び照明条件の生成は上記手順でコンピュータにより自動的に行なうことができるので、大規模な半導体集積回路の設計においても最適なマスクパターン及び照明条件を効率よく作成することができる。膨大なマスクデータを一括して処理しなくとも、マスクパターンデータを分割して処理でき、最後に合成するという方法もとれるため、コンピュータにとっても都合がよい。
【0038】
ステップ1012では、その他、マスクの種類(バイナリーマスク、ハーフトーンマスク、位相シフトマスク等)、被露光体に塗布されるフォトレジストが感光する閾値、前記被露光体に塗布されるフォトレジストを変更して変更されたフォトレジストの閾値、有効光源のコヒーレンスファクターσを変更してもよい。例えば、位相シフトマスクは焦点深度を延ばしたり、線幅誤差ばらつきを多少低減したりする効果があるのでバイナリーマスクで焦点深度が足りない場合には位相シフトマスクを変更することも効果的である。
【0039】
【実施例】
【実施例1】
本実施例で用いられた露光装置の露光光の波長は248nmで、開口数NAは0.73である。図20(a)のようなバイナリーマスクがあり、全てのC/Hの大きさはウェハ上で120nmであり、横方向には間隔120nm、縦方向には間隔360nmであった。これは横方向に周期240nm、縦方向に周期480nmであることを意味する。図20(b)のように、縦方向・横方向それぞれに周期240nmのダミーホールを挿入した。このとき、所望パターンの3周までダミーパターンを配置した。照明系は図16において最大σを0.92とし、a=0.7、b=0.5としたときの実験結果を図21(a)に、a=0.6、b=0.5としたときの実験結果を図22(b)に示す。いずれの場合も、像がきれいに形成されていることがわかる。このときのレジストはTOK−DP746HCであるが、他のレジスト、例えば、JSA−KRFM170YやUV6−SLなどでも像が形成されることを確かめてある。
【0040】
図20(a)に示したマスクパターンの横方向の周期がウェハ上で220nm、縦方向の周期がウェハ上で440nmのときは、それぞれの方向に周期220nmでダミーホールを入れ図16の照明において、a=0.7、b=0.5としたときもきれいな像を解像できた。その結果を図21(c)に示す。
【0041】
図20(a)に示したマスクパターンの横方向の周期がウェハ上で200nm、縦方向の周期がウェハ上で400nmのときは、それぞれの方向に周期200nmでダミーホールを入図16の照明において、a=0.8、b=0.6としたときもきれいな像を解像できた。その結果を図21(d)に示す。
【0042】
上記実施例には所望パターンにOPCを入れてある。例えば、孤立ホールの強度が弱いため、若干大きめに所望パターンを設定してある。
【0043】
【実施例2】
本実施例で仮定している露光装置の波長は248nmで、開口数は0.73である。図20(a)に示したようなマスクが、強度の透過率6%のハーフトーンマスクであるとする。全てのC/Hの大きさはウェハ上で120nmであり、横方向には間隔120nm、縦方向には間隔360nmであった。これは横方向に周期240nm、縦方向に周期480nmであることを意味する。図20(b)のように、縦方向・横方向それぞれに周期240nmのダミーホールを挿入した。このとき、所望パターンの3周までダミーパターンを配置した。照明系は図16において最大σを0.92とし、a=0.7、b=0.5としたときのシミュレーション結果を図22に示す。像がきれいに解像していることが確認できる。
【0044】
【実施例3】
本実施例で仮定している露光装置の波長は248nmで、開口数は0.73である。図20(a)に示したようなマスクにおいて、上下左右に互いに隣接するホールの位相差が180度の位相シフトマスクであるとする。全てのC/Hの大きさはウェハ上で120nmであり、横方向には間隔120nm、縦方向には間隔360nmであった。これは横方向に周期240nm、縦方向に周期480nmであることを意味する。図20(b)のように、縦方向・横方向それぞれに周期240nmのダミーホールを挿入し、互いに隣あうホールの位相差が180度になるようにした。このとき、所望パターンの3周までダミーパターンを配置した。照明系は図17において最大σを0.92とし、a=0.2、b=0.1としたときのシミュレーション結果を図23に示す。像がきれいに解像していることが確認できる。
【0045】
【実施例4】
本実施例で仮定している露光装置の波長は248nmで、開口数は0.73である。図24(a)に示すバイナリーマスクを考える。図24(a)のマスクには様々な周期でホール径がsであるホールが混在している。その結果、横方向には少なくとも2種類の周期pとp’’’が混在している。縦方向にも少なくとも2種類周期p’とp’’が混在している。このようなマスクの場合にも本発明は効果を示し、例えば図24(b)に示すようにダミーホールを挿入すればよい。このダミーホール挿入の原理は実施の形態で述べたことを組み合わせた結果である。これらのダミーホールの挿入に加え、図16に示した照明形においてaとbの値を変えることによって、通常では解像しないパターンまでも解像させることができた。具体的には、p=240nm、p’’=280nm、p’=260nm、p’’’=220nmのときに、ダミーホールがなく輪帯照明のときは孤立ホールが弱くなるため像がきれいに形成されない。対して、図24(b)に示すようにダミーホールを挿入した場合は全ての像がきれいに解像した。このときの照明系は図16において、a=0.7、b=0.5であった。
【0046】
【実施例5】
図25(a)に示すように、周期がpのパターンが間隔2p+p’で並んでいるマスクを考える。ただし、pは0.5<k<1.0に相当する周期で、p’は1.0<k<1.5に相当する周期であるとする。ここで、解像度をR、開口数をNA、露光光源の波長をλとするとk=R・NA/λで表される。各パターンに2周分だけ周期pでダミーホールを入れていったとき、ダミーホール間隔がp’−pになるところが現れる。このようなダミーホールは、互いの近接効果が働きレジストを感光させてしまう恐れがある。そのようなことを避けるため、図25(b)に示すように、一部にp’/2の周期を持つようにダミーホールを配置した。このように配置されたダミーホールは隣接するダミーホールに近接効果を及ぼすため、間接的に所望パターンにも効果を及ぼすことが確認された。特に、焦点深度などの改善がみられた。
【0047】
【実施例6】
本実施例では、演算によりダミーホールを決定する。まず、図3に示されたような所望パターンが与えられたとする。図3において、横方向の周期pは240nmで縦方向の周期は2pであるとする。ホール径sはp/2であるとする。所望パターンに対応して、マスクデータがないところの透過率が0、マスクパターンがあるところの透過率を1としてマスクパターンを決定する。こうして出来たマスクパターンが図4(a)である。
【0048】
ダミーホール配置は所望パターンから決めることができる。ダミーホール配置には様々なルールがあることは先に述べたとおりで、図6に示したような経験則も得られている。まず、図6(a)は、所望のパターンからダミーホールのホール径を設定する方法を示すフローチャートである。まず、所望のパターンの最小ハーフピッチpに対応するkが0.25×√2よりも小さいかどうかを判断して(ステップ2002)、そうであればダミーホールの大きさをpの75%とし(ステップ2004)、そうでなければダミーホールの大きさをkが0.25以下に相当するように設定する(ステップ2006)。なぜこのような経験則が得られたのかについて説明する。一般的なデンスコンタクトホールをバイナリーマスクで露光するとき、回折光は図28に示したように発生する。2次元的に分布する回折光の呼び方を図28に示したように呼ぶことにする。ここでは、話を簡単にするため、照明系の最大σは1とする。(1,0)次光もしくは(0,1)次光が瞳に入るにはpに対応するkが0.25以上でなくてはならない。対して、(1,1)次光が瞳面内に入るためにはpに対応するkが0.25×√2以上でなくてはならない。このため、コンタクトホールはpに対応するkが0.25×√2以下になると極端に解像が困難になる。そのため、pに対応するkが0.25×√2というところで分岐条件を設けるのはあながち間違ったことではない。pに対応するkが0.25×√2より小さいときは解像が困難になるため、ダミーホールの大きさをpの75%と比較的大きな値にしてもダミーホールは解像しにくい。対して、pに対応するkが0.25×√2より大きいときはダミーホールの大きさを、解像しにくい大きさであるkが0.25以下に設定するのが良い。これらは照明系の最大σが1のときの話である。実際の露光装置において、照明系の最大σをσmaxとしたときσmaxは1より小さいのが普通である。その結果、pに対応するkが0.25×√2/σmax以下になると極端に解像が困難になる。よって、pに対応するkが0.25×√2/σmax以下というところを分岐条件にしてもよい。
【0049】
図6(b)はダミーホールパターンの周期決定方法を説明するためのフローチャートである。図6(b)において、g1、g2、g3、g4は使用者が決めてよい。理論的にはg1=0.25、g2=0.50、g3=2×g2、g4=2×g2がよい。理論的なg1、g2、g3、g4の意味について説明する。g1を0.25に設定する理由は解像限界だからである。g2を0.5に設定した理由は1次回折光が瞳内に入る条件だからで、これ以上大きいパターンは比較的楽に解像する。g3はパターン周期を表すので、もしg2の2倍以上のg3ならば比較的楽に解像することができ、ある整数で割ることによりg2以上g3以下の周期を擬似的に作れるようにダミーホールを挿入すればよいので、g3はg2の2倍にすればよい。g4もg3と同じ理由である。もっとも、過去の経験や露光装置の性能を考慮してそれぞれの値を変えてもよい。例えば、露光装置に設定されている最大σやレジストの種類などが考慮される。本実施例では、キヤノンFPA−5000ESなる露光装置(波長245nm、開口数0.73)とTOK−DP746HCというレジストを使用した結果、g1=0.30、g2=0.45、g3=1.2、g4=0.9としても解が収束した。これらを考慮し、所望パターンがある場所にもダミーホールの配置だけを決定してしまう。そうして決定されたダミーホール配置グリッドが図4(b)である。具体的には、本実施例においてホール径は120nmなので、異常終了することはない。最小ホール径120nmのkは約0.35なので、g1以上g2以下である。パターン周期P1は240nmであるので、P2も240nmである。パターン周期P2はkで約0.70なのでg3以上に相当しない。その結果、周期P2=240nmでダミーホールを挿入すればよい。ダミーホールの大きさは最小ハーフピッチの大きさが0.25×√2以下なので、120nmの75%である90nmにすればよい。
【0050】
ダミーホールは図5(a)に模式的に示したように重なってはいけないため、この場合は挿入ルールを見直す必要がある。図5(b)に模式的に示したように、隣接するダミーホールが密着している場合も挿入ルールをみなおす必要がある。図5(c)のように隣あう間隔がk<0.25であったときは現時点では無視してよい。図4(b)から所望パターン部に対応する部分に置かれているダミーホールを演算により排除したマスクパターンが図4(c)である。図4(c)と図4(a)のマスクパターンを演算により合成すると、本露光方式に適したマスク図4(d)ができ上がる。こうして得られたマスクパターンを用いて、照明系の最適化をおこない所望パターンを得ることができた。
【0051】
【実施例7】
本実施例では、テーブルによりダミーホールを決定する。図3に示されたような所望パターンが与えられたとする。図3において、横方向の周期は0.5<k<1.0に対応するpで縦方向の周期は2pであるとする。ホール径sはp/2であるとする。図6は経験則によって得られたダミーホールの大きさと周期の決定方法であり、これに従ってダミーパターンの大きさを挿入するわけであるが、このときはまだ所望パターンに対するマスクを考慮していない。図6に示したようなルールに従って用意されているダミーホールの挿入テーブルから所望パターン最小ピッチに対応して所望パターンとダミーホールパターンを一度に作成する方法がある。このような方法でも図4(d)のようなマスクパターンが得られ、そのマスクに適した照明系を選ぶことによって所望パターンを露光することができた。
【0052】
【実施例8】
本実施例では、演算により照明系を決定する。演算またはテーブルによりダミーホールが挿入されたマスクデータがあるとする。本露光方式における照明系では、図7(a)に模式的に示した所望パターンを解像させる照明部分と図7(b)に模式的に示したダミーホールを押さえつける役割を果たす照明部分からなる。図7(a)に示した照明部分と図7(b)に示した照明部分を演算で足し合わせ、もし重なり部分があれば、重なり部ではどちらか一方の照明系を採用する。こうして得られた照明系の、図7(c)で示した最大σより大きい領域にある部分を演算により取り除いてできた照明系が図7(d)である。こうして得られた照明系を用いたとき、所望パターンをきれいに解像することができた。
【0053】
【実施例9】
本実施例では、テーブルにより照明系を決定する。テーブルは経験的に得られている。例えば、バイナリーマスクを用いた本露光方式において適した照明系は図16に示した照明形になるわけであるが、aの値は最小ハーフピッチに対応するkを求めたとき、((1/k)/2−0.1)/2にすれば解に近いことを見出した。これは実施例1からもわかる。bの果たす役割はダミーパターンを解像させないためである。これも経験的に最小ピッチに対するkが0.25×√2以下のときは0.5以上であることが適していることがわかっている。最小ピッチに対するkが0.25×√2以上のときは比較的所望パターンが解像しやすいため、bの値に気を使う必要があまりない。そのため、((1/k)/2−0.1)/2以下であれば良く、通常は((1/k)/2−0.1)/2−0.1としたところで差し支えがない。このようなルールをもとに作成されたテーブルからaとbの値を引き出して導き出した照明系を用いて所望パターンをきれいに解像することができた。さらに、図29、図30に示したようなテーブルデータを活用してもよい。このテーブルデータはシミュレーションにより得られたものであるが、実験より得られたデータをテーブルデータにしてもよい。図29、図30のテーブルデータは図16のa、bの値におけるコントラストの変化を120nm、110nmのパターンについて調べたものである。このように用意されたテーブルデータからマスクパターンにあった照明系を選んできても所望パターンをきれいに解像することができた。
【0054】
【実施例10】
本実施例では、ある所望パターンに対して先に照明系を決定した後にダミーホールを形成する。本実施例で用いられた露光装置の波長は248nmで、開口数は0.73である。
【0055】
図3に模式的に示したような所望パターンを得たいとする。ここで、すべてのホール径は110nmであるとし、横方向のホール間隔は110nm、縦方向のホール間隔は330nmであるとする。
【0056】
所望パターンの配置に応じてダミーホールを挿入することにより瞳面での回折光の分布を制御できることは先に述べたとおりである。ダミーホール挿入はもともとの所望パターンの周期を効果的にするので瞳面での回折光を制御できる。ダミーホールがなくとも所望パターンの周期に応じて回折光が発生するので、ダミーホールを挿入する前に所望パターンの周期に応じて照明系を決めてもよい。このようにして決められた照明系はダミーパターンがなくとも解像力を向上させる効果がある。
【0057】
例えば、本実施例のマスクにダミーホールがないとき最大σが0.92である2/3輪帯照明で露光を行なったときの実験結果を図8(a)に紹介する。図8(a)を見てわかるとおり、所望パターンが解像していない。対して、図16の照明において最大σ0.92、a=0.7、b=0.5となる照明系を用いて露光を行なったときの結果が図8(b)である。ダミーパターンを挿入しなくとも解像性能がよくなっていることがわかる。
【0058】
先に照明系を決めたあとでダミーパターンを挿入することによっても所望パターンをきれいに解像させることができた。
【0059】
【実施例11】
図1は本実施例に係るマスクパターンデータ作成、および照明系データ作成の方法をフローチャートにより示したものである。
【0060】
ウェハ上に形成したい所望パターンに応じて、マスクデータがないところの透過率が0、マスクパターンがあるところの透過率を1としてマスクパターンを決定する。ダミーホールの配置を先に決定するか、照明条件を先に設定するかはかまわないことが前述の実施例でわかっている。ダミーホールは演算により求めても、テーブルから求めてもよい。照明条件も演算より求めても、テーブルから求めても良い。
【0061】
ダミーホールを配置したマスクに適した照明条件を適用したときに、所望パターンが形成されるかを確認する必要がある。もし、所望パターンが形成されない場合、所望パターンに応じて作成されたマスクデータにOPCを入れるなどの方法がとられる。
【0062】
OPCを入れた状態で、所望パターンが形成されているのかと再び確認する必要がある。もし、どのようにOPCを入れても所望パターンが形成されない場合は、ダミーホールの挿入の仕方を変えたり、照明系を変える必要がある。
【0063】
これらの工程を繰り返し行なうことで、露光方式Iに適したマスクデータ、及び照明モードを決定することができた。それにより所望パターンをきれいに解像することができた。
【0064】
【実施例12】
図26に模式的に示すような所望パターンデータがあったとする。我々が用いた露光装置の波長は248nmで、開口数は0.73である。所望パターンデータ図26(a)に示したように、ホール径が110nmで、横方向パターンハーフピッチが110nmで縦方向パターンハーフピッチが220nmのマスクデータ26dと、ホール径が120nmで、横方向パターンハーフピッチが120nmで縦方向パターンハーフピッチが240nmのマスクデータ26eが混在していた。所望マスクデータを26dと26eに分割して独立にダミーデータを挿入することにした。まず、マスクデータ26dに対してだけダミーホールを挿入したのち、マスクデータ26eに対してだけダミーホールを挿入した。ついで、両者に共通な照明モードを決定したところ、マスクデータ26dと26eでは露光量に差が生じることがわかった。これは、マスクデータ26eのほうがホール径が大きいことが原因となっている。
【0065】
そこで、ダミーホールの大きさと数を変えることによって、マスクデータ26dと26eに生じる露光量差をなくすことができた。最終的なマスクパターンが図26(b)に示したように、マスクパターン26dには縦横方向に110nmの周期で大きさ80nmのダミーホールを所望パターンの周り3周分挿入し、マスクパターン26eには縦横方向に120nmの周期で大きさ80nmのダミーホールを所望パターンの周り3周分挿入した。照明系は図16において、a=0.7、b=0.5とした。レジストとして、TOK−DP746HCを使ったときは460J/mの露光量でパターンを解像することができた。その結果は図27に載せたとおりである。図27(a)はマスクパターン26dに対応する露光結果で、図27(b)はマスクパターン26eに対応する露光結果である。
【0066】
以上、本発明の好ましい実施例を説明したが、本発明はこれらに限定されずその要旨の範囲内で様々な変形や変更が可能である。
【0067】
【発明の効果】
以上のように本発明によると、データ作成者は最終的にレジスト上に形成したいパターンを作成して入力するだけでたり、その後のマスクパターンデータ及び照明条件の生成は上記手順でコンピュータにより自動的に行なうことができるので、大規模な半導体集積回路の設計においても最適なマスクパターン及び照明条件を効率よく作成することができる。
【図面の簡単な説明】
【図1】 本発明の設定方法を説明するフローチャートである。
【図2】 図1に示すフローチャートのダミーホール挿入工程及び照明条件設定工程を詳細に説明するフローチャートである。
【図3】 所望のコンタクトホールパターンを示す図である。
【図4】 演算により露光方式Iに適したマスクを作成するときの説明図である。
【図5】 ダミーホール挿入ルールを満たしていない可能性がある状態を説明する図である。
【図6】 経験によって得られたダミーホールの大きさと周期の決定方法を示す図である。
【図7】 演算により本露光方式に適した照明条件を設定する方法を説明するための図である。
【図8】 ダミーホールがなくとも、照明系の変更だけで解像力に効果が現れることを説明するための図である。
【図9】 ダミーホールの挿入原理を示す図である。
【図10】 ダミーホールの挿入原理を示す図である。
【図11】 ダミーホールの挿入原理を示す図である。
【図12】 ダミーホールの挿入原理を示す図である。
【図13】 ダミーパターン挿入前の回折光の発生の仕方を示す図である。
【図14】 ダミーパターン挿入前の回折光の発生の仕方を示す図である。
【図15】 OPCの一例を示す図である。
【図16】 本露光方式に適した照明モードの一例を示す図である。
【図17】 本露光方式に適した照明モードの一例を示す図である。
【図18】 本露光方式に適した照明モードの一例を示す図である。
【図19】 本露光方式に適した照明モードの一例を示す図である。
【図20】 ダミーホール挿入前と挿入後のマスクパターンを示す図である。
【図21】 本発明の効果を表す図である。
【図22】 本発明の効果を表す図である
【図23】 本発明の効果を表す図である。
【図24】 ダミーホール挿入例を示す図である。
【図25】 ダミーホール挿入例を示す図である。
【図26】 本実施例の一例を示す図である。
【図27】 本発明の効果を表す図である。
【図28】 バイナリマスクによるデンスホールから得られる瞳面回折光分布を表す図である。
【図29】照明系テーブルデータの一例を示す図である。
【図30】照明系テーブルデータの一例を示す図である。
【符号の説明】
3 所望のコンタクトホール
4a、13a、14a、20a、26a マスク遮光部
4b、13b、14b、20b、26b、26c 所望パターンに対応するマスク透光部
4c、14c、20c ダミーホールに対応するマスク透光部
7a 主に所望パターン形成に寄与する照明光分布
7b 主に不要パターンの発生を押さえつけるのに寄与する照明光分布
7c、16a、17a、18a、19a 照明系遮光部
7d、16b、17b、18b、19b 照明系透光部
9a、10a、11a、12a、24a、25a 所望のパターンに対応して作成されたマスクパターン
9b、10b、11b、12b、24b、25b ダミーホール
15a 所望パターンの大きさに対応したマスクパターン
15b 所望パターンにOPCを入れたあとのマスクパターン
26d、26e 分割されたマスクパターンデータ
26f、27g ダミーホールに対応するマスク透光部[0001]
BACKGROUND OF THE INVENTION
The present invention relates to a mask pattern and a method for setting an optimal illumination condition for the mask pattern, and in particular, a mask in which a desired pattern and an auxiliary pattern having a smaller dimension than the desired pattern are arranged. Exposure in which light having passed through the mask is projected onto an object to be exposed via a projection optical system so that a desired pattern is resolved and resolution of the auxiliary pattern is suppressed. The present invention relates to a method for setting a mask pattern and illumination conditions suitable for the method.
[0002]
[Prior art]
The projection exposure apparatus is used when a device such as an IC, an LSI, or a liquid crystal panel is manufactured using a photolithography technique. Various improvements have been made to miniaturize the photolithography technology using a projection exposure apparatus. Generally, the exposure wavelength of the projection exposure apparatus is shortened, and the numerical aperture (NA) of the projection optical system is increased. A method of increasing the size has been adopted.
[0003]
When the exposure wavelength is shortened and the NA of the projection optical system is increased, the resolution is improved. Due to the nature of the projection exposure apparatus, there are patterns that are easy to resolve and patterns that are difficult to resolve. Generally, when comparing a line pattern (hereinafter referred to as L / S pattern) and a contact hole pattern (hereinafter referred to as C / H pattern), It is said that the L / S pattern is easier to resolve. Therefore, the width of the C / H pattern is usually larger than the width of the L / S pattern used for a semiconductor chip or the like. From the above, it can be said that the problem in miniaturization of the photolithography technique is the creation of a fine C / H pattern.
[0004]
Therefore, the present inventors arrange a dummy C / H pattern having a smaller hole diameter than the desired C / H pattern around the desired C / H pattern, and resolve only the desired C / H pattern to achieve a fine C / C pattern. I am studying how to form / H.
[0005]
[Problems to be solved by the invention]
According to the embodiment, it is known that the image formation state of the desired C / H pattern changes depending on how the dummy C / H is inserted. The inventor's research has revealed the dummy C / H pattern insertion rule from the size and period of the desired C / H pattern, the arrangement of the desired C / H pattern, and the like. It was also clear that the effects of the previous example could not be sufficiently obtained unless an appropriate illumination system was selected for the mask pattern determined according to the dummy C / H insertion rule. Even if an illumination system suitable for the mask pattern determined according to the insertion rule of the dummy C / H pattern is used, the desired pattern on the mask may not be reproduced. In that case, it is necessary to correct the desired pattern.
[0006]
In an actual mask, the C / H pattern is used in many places. It is very difficult for a circuit designer to insert a dummy C / H so as to satisfy the above condition for a very large number of C / H patterns.
[0007]
Accordingly, an object of the present invention is to provide a method for setting a mask pattern and illumination conditions relatively easily.
[0008]
[Means for Solving the Problems]
In order to achieve the above object, as one aspect of the present invention, Exposure method Is Illuminating a mask having a contact hole pattern and an auxiliary pattern having a dimension smaller than the contact hole pattern so that the contact hole pattern is resolved and the resolution of the auxiliary pattern is suppressed, An exposure method for exposing an object to be exposed with light from a mask, the step of illuminating the mask with an effective light source whose shape is adjusted based on a cycle of a pattern composed of the contact hole pattern and the auxiliary pattern. The contact hole pattern and the auxiliary pattern are disposed on the mask along at least a first direction, and the effective light source has an axis in the first direction and a second direction perpendicular thereto. Including a cross-shaped shading part .
[0009]
According to another aspect of the present invention, there is provided a design method comprising: a mask having a contact hole pattern and an auxiliary pattern having a dimension smaller than the contact hole pattern, the contact hole pattern being resolved, and the auxiliary pattern A mask design method suitable for an exposure method in which illumination is performed so that resolution is suppressed and the object to be exposed is exposed with light from the mask, and the auxiliary pattern of the auxiliary pattern is based on the contact hole pattern data. A step of creating data, a step of adjusting the shape of an effective light source when illuminating the mask based on a period of a pattern constituted by the contact hole pattern and the auxiliary pattern, and the mask with the shape of the effective light source The state of resolution of the contact hole pattern and the auxiliary pattern when the And correcting the contact hole pattern data and / or the auxiliary pattern data based on the resolution state, and the contact hole pattern and the auxiliary pattern are at least first The effective light source includes a cross-shaped light-shielding portion having an axis in the first direction and a second direction perpendicular to the first direction. .
[0015]
Further objects and other features of the present invention will become apparent from the preferred embodiments described below with reference to the accompanying drawings.
[0016]
DETAILED DESCRIPTION OF THE INVENTION
Embodiments of the present invention will be described below with reference to the accompanying drawings. Here, FIG. 1 is a flowchart for explaining a method of setting a mask pattern and illumination conditions according to this embodiment. An exposure method by forming a mask in which a desired C / H pattern and a dummy C / H having a hole diameter smaller than the hole diameter of the pattern are arranged and resolving only the desired C / H pattern portion It will be called exposure method I.
[0017]
First, the desired pattern data (Dpd) corresponding to the C / H pattern desired to be formed after the exposure is created by setting the transmittance where there is no desired pattern to 0 and the transmittance where the desired pattern is 1 as 1 ( Step 1002). The type of mask (for example, binary mask, halftone mask, phase shift mask, etc.) used after setting the desired pattern is determined (step 1004).
[0018]
Thereafter, one has the following steps in the mask data creation method for the exposure method I. In the first-first step, necessary dummy C / H pattern data (Dum) is extracted based on Dpd, and mask data (Fpd) suitable for the exposure method I is created. In the 1-2 process, Dum data is created based on a logical operation, and Fpd is created. In the first to third steps, it is determined whether Fpd satisfies the mask pattern design rule. Usually, it is repeated as necessary in the order of the 1-1, 1-2, or both steps, and the 1-3 step.
[0019]
The second one relates to a method for setting an effective light source shape of an illumination system suitable for a mask corresponding to the exposure method I, and has the following steps. In the second-first process, illumination system data (Oi) suitable for the exposure method I is extracted from Fpd. In step 2-2, a logical operation is performed from Fpd to create Oi. In step 2-3, Oi is extracted from Dpd. In step 2-4, a logical operation is performed from Dpd to create Oi. In step 2-5, it is determined whether Oi satisfies the illumination mode design rule. If Fpd is determined, the steps 2-1 and / or 2-2 are repeated as necessary in the order of the steps 2-5, and if the Fpd is not determined, the second step is performed. -3 process, or 2-4 process, or both processes, and 2-5 process in that order.
[0020]
Insertion of dummy patterns and setting of illumination conditions are performed in step 1006. Details of step 1006 are shown in FIG. 2 (a) and 2 (b) show two types of flowcharts for explaining the insertion of dummy patterns. FIG. 2 (a) creates dummy pattern data from the calculation, and FIG. b) creates dummy pattern data from the database. 2 (c) and 2 (d) show two types of flowcharts for explaining the setting of the illumination conditions. FIG. 2 (c) sets the illumination conditions from the calculation, and FIG. Set lighting conditions from the database. In step 1006, FIG. 2 (a) and FIG. 2 (b) and FIG. 2 (c) and FIG. 2 (d) can be combined in any order. That is, when shifting from the flow of FIG. 2 (a) to FIG. 2 (c) or FIG. 2 (d), when shifting to the flow of FIG. 2 (c) or FIG. 2 (d), When shifting from the flow of FIG. 2 (c) to FIG. 2 (a) or FIG. 2 (b), the flow of FIG. 2 (d) may shift to the flow of FIG. 2 (a) or FIG. 2 (b).
[0021]
In FIG. 2A, calculation is performed (step 1102), dummy holes are checked (step 1104), and if the dummy holes are created within a predetermined design rule, the process ends (step 1112). If it is not created within the design rule, the feedback to the calculation step is repeated a predetermined number of times (steps 1106 and 1108). If the creation of the dummy hole is acceptable and not judged in step 1104 within the predetermined number of times, the process ends as an abnormality (step 1110).
[0022]
FIG. 2 (b) pulls out the database (table data) (step 1202), checks the dummy holes, and ends if the dummy holes are created within a predetermined design rule (step 1212). If it is not created within the design rule, the feedback to the calculation step is repeated a predetermined number of times (steps 1206 and 1208). If the creation of the dummy hole is acceptable within the predetermined number of times and is not judged in step 1204, the process ends as an abnormality (step 1210).
[0023]
Examples of databases used in the step of FIG. 2B are shown in Tables 1 and 2 below. Table 1 shows that when the maximum σ of the effective light source shown in FIG. 16 is 0.92, a = 0.7, b = 0.5, and the mask pattern half pitch is 120 nm, Table 2 shows the effective light source shown in FIG. In this example, the maximum σ of the shape is 0.92, a = 0.7, b = 0.5, and the mask pattern half pitch is 110 nm.
[0024]
[Table 1]
Figure 0003754934
[0025]
[Table 2]
Figure 0003754934
[0026]
In FIG. 2 (c), calculation is performed (step 1302), the illumination condition is checked (step 1304), and if the illumination condition is created within a predetermined design rule, the process ends (step 1312). If it is not created in the design rule, the feedback to the calculation step is repeated a predetermined number of times (steps 1306 and 1308). If the creation of the illumination condition is acceptable within the predetermined number of times and is not judged in step 1304, the process ends as an abnormality (step 1310).
[0027]
FIG. 2D retrieves the database (table data) (step 1402), checks the lighting conditions, and ends if the lighting conditions are created within a predetermined design rule (step 1412). If it is not created within the design rule, the feedback to the calculation step is repeated a predetermined number of times (steps 1406 and 1408). If the creation of the illumination condition is acceptable and not judged in step 1404 within the predetermined number of times, the process ends as abnormal (step 1410).
[0028]
The third one relates to verifying the effect of the exposure method I based on Fpd and Oi, and has the following steps. The third step 3-1 determines whether or not the desired C / H pattern is formed with high accuracy when Oi is used for Fdp. In step 3-2, Dpd is corrected. The above steps 3-1 to 3-2 are repeated as necessary. In step 3-2, Dpd is corrected, so it is necessary to redo Dum insertion. Depending on this determination, it is necessary to return to step 1006 with Dpd corrected. In the exposure method I, since the exposure amount can be adjusted by changing the number and size of the dummy C / H patterns, the above steps may be applied by dividing Dpd, and the method of combining at the end may be adopted.
[0029]
These are done in steps 1008 and 1014 in FIG. The check is made based on whether or not only the desired pattern is resolved with high accuracy without resolving the dummy pattern. The degree of accuracy is determined according to a certain standard, but may be determined by the user. If there are a plurality of dummy patterns or illumination condition candidates in which only a desired pattern is resolved, it is preferable to select the one having the higher contrast and the smaller error variation in the line width (critical dimension).
[0030]
The embodiment of the present invention is a method of creating mask data and illumination system data including a repetition calculation step of finding Fpd and Oi by repeating the above steps and a table of Dum and Oi. Such repetition is described in steps 1016 to 1020 in FIG. Finally, the processing procedure shown in FIG. 1 is terminated as an abnormality (step 1024), whether mask pattern data and illumination conditions are determined (step 1010).
[0031]
Dpd is determined by setting the transmittance where there is no desired pattern as 0 and the transmittance where there is a desired pattern as 1 (in the case of a binary mask). The basic Dum insertion method is determined by Dpd, and the following rules can be considered.
[0032]
Generally, there is no limitation on the shape of the Dum, but a square or a rectangle is basic. As schematically shown in FIG. 9, they are arranged so as to form a constant period with respect to Dpd. It is effective if there is a Dum having two or more rounds around Dpd. Dum must not overlap. As schematically shown in FIG. 10 (a), if the horizontal and vertical periods of the Dum are the same, it becomes easier to form a circular hole, and as shown schematically in FIG. 10 (b), the vertical direction.・ If the period in the horizontal direction is changed, it becomes easier to form elliptical holes.
[0033]
For the isolated Dpd, the following Dum insertion method can be considered. As schematically shown in FIG. 11, Dum is inserted into the isolated Dpd with the hole diameter being half pitch. Since the isolated Dpd has a small amount of light, reducing the half pitch also works effectively. As schematically shown in FIG. 12, when Dpd having a pitch around the isolated Dpd is mixed, Dum may be inserted in accordance with the pitch.
[0034]
Dum is inserted into Dpd arranged periodically so as not to break the cycle. The basic idea of the above Dum insertion rule is to control the distribution of diffracted light on the pupil plane. For example, the diffracted light distribution on the pupil plane of the projection optical system of the binary mask shown in FIG. 13A is as shown in FIG. 13B, but the binary mask shown in FIG. In the binary mask as shown in FIG. 14A in which Dum is inserted, the diffracted light distribution on the pupil plane is as shown in FIG. Thereby, it turns out that it can control so that desired diffracted light may be collected in a specific position by insertion of Dum.
[0035]
The following method can be considered to determine Oi. In the case of a binary mask, it is preferable to illuminate with an illumination system having an effective light source distribution schematically shown in FIG. 16, and the imaging performance can be changed by changing the cross-shaped light shielding region. Specifically, it is preferable to change the values of a and b in the figure. In FIG. 16, it is also effective to change the value of the maximum σ. The illumination system schematically shown in FIG. 17 is good for the phase shift mask, and it is effective to change the size of the light shielding region. Again, it is better to change the values of a and b in the figure. In FIG. 17, changing the maximum σ is also effective. FIG. 18 includes so-called small σ illumination and large σ illumination, and it is effective to change the ratio of the intensity of the small σ illumination to the intensity of the large σ illumination or to change the position of the large σ illumination. When the period of the mask pattern formed in a pseudo manner is clearly different between the vertical direction and the horizontal direction by inserting Dum, even if it is not the 90 degree rotationally symmetric illumination system schematically shown in FIGS. As shown in FIG. 19, the object may be rotated 180 degrees.
[0036]
Even if Fdp and Oi are obtained, the desired pattern cannot always be transferred with high accuracy. When the pattern to be transferred does not satisfy a specific standard, it is necessary to correct the original Dpd. This is called so-called optical proximity correction (hereinafter referred to as OPC: Optical Proximity Correction) (step 1012). In order to make it possible to transfer a desired pattern with high accuracy, the following method can be considered. Basically, as shown in FIG. 15, if the pattern size to be transferred is smaller than a desired value, OPC is inserted so as to increase Dpd (FIG. 15A), and if larger than the desired size, Dpd is decreased. OPC is inserted as shown in FIG. 15 (d). Changing the Dum also affects the desired pattern, so if the desired pattern size is smaller than the desired value, the Dum arranged near the Dpd is increased or the period is decreased, and vice versa. When the value is larger than the value, there are methods such as reducing the Dum arranged in the vicinity of the Dpd or increasing the period. The number of Dum in the vicinity of Dpd may be changed to act on the desired pattern. If the number of Dum is decreased, the amount of light of the desired pattern can be reduced, and if the number of Dum is increased, the amount of light of the desired pattern is increased. Can do. The illumination system may be changed. For example, in a binary mask, illumination by an illumination system having an effective light source distribution shown in FIG. 16 is effective. However, by changing the size of the light-shielding region, the hole shape can be rounded, or the resolution and depth of focus can be increased. Can be changed.
[0037]
Since most of the present invention can be executed by a computer, the data creator only creates and inputs a pattern to be finally formed on the resist, and the subsequent mask pattern data and illumination conditions are generated by the above procedure. Since it can be automatically performed by a computer, an optimum mask pattern and illumination conditions can be efficiently created even in designing a large-scale semiconductor integrated circuit. Even if a large amount of mask data is not processed at once, the mask pattern data can be divided and processed, and the method of combining them at the end is also convenient, which is convenient for a computer.
[0038]
In step 1012, in addition, the mask type (binary mask, halftone mask, phase shift mask, etc.), the threshold at which the photoresist applied to the object to be exposed is exposed, and the photoresist applied to the object to be exposed are changed. The threshold value of the changed photoresist and the coherence factor σ of the effective light source may be changed. For example, since the phase shift mask has the effect of extending the depth of focus or reducing the line width error variation somewhat, it is also effective to change the phase shift mask when the binary mask has insufficient depth of focus.
[0039]
【Example】
[Example 1]
The wavelength of the exposure light of the exposure apparatus used in this example is 248 nm, and the numerical aperture NA is 0.73. There is a binary mask as shown in FIG. 20A, and the size of all C / H is 120 nm on the wafer, the interval is 120 nm in the horizontal direction, and the interval is 360 nm in the vertical direction. This means that the period is 240 nm in the horizontal direction and the period is 480 nm in the vertical direction. As shown in FIG. 20B, dummy holes with a period of 240 nm were inserted in each of the vertical direction and the horizontal direction. At this time, dummy patterns were arranged up to three times of the desired pattern. FIG. 21A shows the experimental results when the maximum σ is 0.92 in FIG. 16 and a = 0.7 and b = 0.5 in FIG. FIG. 22B shows the result of the experiment. In either case, it can be seen that the image is clearly formed. The resist at this time is TOK-DP746HC, but it has been confirmed that an image can be formed with other resists such as JSA-KRFM170Y and UV6-SL.
[0040]
When the horizontal period of the mask pattern shown in FIG. 20A is 220 nm on the wafer and the vertical period is 440 nm on the wafer, dummy holes are inserted in each direction at a period of 220 nm in the illumination of FIG. When a = 0.7 and b = 0.5, a clear image could be resolved. The result is shown in FIG.
[0041]
When the horizontal period of the mask pattern shown in FIG. 20A is 200 nm on the wafer and the vertical period is 400 nm on the wafer, a dummy hole is inserted in each direction at a period of 200 nm in the illumination shown in FIG. When a = 0.8 and b = 0.6, a clear image could be resolved. The result is shown in FIG.
[0042]
In the above embodiment, OPC is included in a desired pattern. For example, the desired pattern is set slightly larger because the strength of the isolated hole is weak.
[0043]
[Example 2]
The wavelength of the exposure apparatus assumed in this embodiment is 248 nm and the numerical aperture is 0.73. It is assumed that the mask as shown in FIG. 20A is a halftone mask having an intensity transmittance of 6%. All C / H magnitudes were 120 nm on the wafer, with a spacing of 120 nm in the horizontal direction and a spacing of 360 nm in the vertical direction. This means that the period is 240 nm in the horizontal direction and the period is 480 nm in the vertical direction. As shown in FIG. 20B, dummy holes with a period of 240 nm were inserted in each of the vertical direction and the horizontal direction. At this time, dummy patterns were arranged up to three times of the desired pattern. FIG. 22 shows simulation results when the illumination system has a maximum σ of 0.92 in FIG. 16, a = 0.7, and b = 0.5. It can be confirmed that the image is clearly resolved.
[0044]
[Example 3]
The wavelength of the exposure apparatus assumed in this embodiment is 248 nm and the numerical aperture is 0.73. In the mask as shown in FIG. 20A, it is assumed that the phase shift mask has a phase difference of 180 degrees between adjacent holes vertically and horizontally. All C / H magnitudes were 120 nm on the wafer, with a spacing of 120 nm in the horizontal direction and a spacing of 360 nm in the vertical direction. This means that the period is 240 nm in the horizontal direction and the period is 480 nm in the vertical direction. As shown in FIG. 20B, dummy holes with a period of 240 nm are inserted in each of the vertical direction and the horizontal direction so that the phase difference between adjacent holes is 180 degrees. At this time, dummy patterns were arranged up to three times of the desired pattern. FIG. 23 shows the simulation results when the illumination system has a maximum σ of 0.92 in FIG. 17, a = 0.2, and b = 0.1. It can be confirmed that the image is clearly resolved.
[0045]
[Example 4]
The wavelength of the exposure apparatus assumed in this embodiment is 248 nm and the numerical aperture is 0.73. Consider the binary mask shown in FIG. In the mask of FIG. 24A, holes having a hole diameter s at various periods are mixed. As a result, at least two types of periods p and p ′ ″ are mixed in the horizontal direction. There are at least two kinds of periods p ′ and p ″ in the vertical direction. In the case of such a mask, the present invention is also effective. For example, a dummy hole may be inserted as shown in FIG. This principle of dummy hole insertion is the result of combining what has been described in the embodiment. In addition to the insertion of these dummy holes, by changing the values of a and b in the illumination form shown in FIG. 16, it was possible to resolve even patterns that were not normally resolved. Specifically, when p = 240 nm, p ″ = 280 nm, p ′ = 260 nm, and p ′ ″ = 220 nm, there is no dummy hole, and the isolated hole becomes weaker during annular illumination, so the image is clearly formed. Not. On the other hand, when the dummy holes were inserted as shown in FIG. 24B, all the images were clearly resolved. The illumination system at this time was a = 0.7 and b = 0.5 in FIG.
[0046]
[Example 5]
As shown in FIG. 25A, consider a mask in which patterns having a period p are arranged at intervals of 2p + p ′. However, p is 0.5 <k 1 P ′ is 1.0 <k in a cycle corresponding to <1.0. 1 It is assumed that the cycle corresponds to <1.5. Here, if the resolution is R, the numerical aperture is NA, and the wavelength of the exposure light source is λ, k 1 = R · NA / λ When dummy holes are inserted in each pattern with a period p for two rounds, a place where the dummy hole interval becomes p′−p appears. Such dummy holes may cause a proximity effect to expose the resist. In order to avoid such a situation, as shown in FIG. 25 (b), dummy holes are arranged so as to partially have a period of p ′ / 2. Since the dummy holes arranged in this manner exert a proximity effect on the adjacent dummy holes, it is confirmed that the dummy holes indirectly affect the desired pattern. In particular, improvements such as depth of focus were observed.
[0047]
[Example 6]
In this embodiment, a dummy hole is determined by calculation. First, assume that a desired pattern as shown in FIG. 3 is given. In FIG. 3, it is assumed that the period p in the horizontal direction is 240 nm and the period in the vertical direction is 2p. The hole diameter s is assumed to be p / 2. Corresponding to the desired pattern, the mask pattern is determined by setting the transmittance where there is no mask data to 0 and the transmittance where there is a mask pattern as 1. The mask pattern thus formed is shown in FIG.
[0048]
The dummy hole arrangement can be determined from a desired pattern. As described above, there are various rules for the dummy hole arrangement, and an empirical rule as shown in FIG. 6 is also obtained. First, FIG. 6A is a flowchart showing a method for setting the hole diameter of a dummy hole from a desired pattern. First, k corresponding to the minimum half pitch p of the desired pattern 1 Is smaller than 0.25 × √2 (step 2002), if so, the size of the dummy hole is set to 75% of p (step 2004); otherwise, the size of the dummy hole K 1 Is set to correspond to 0.25 or less (step 2006). Explain why such a rule of thumb was obtained. When a general dense contact hole is exposed with a binary mask, diffracted light is generated as shown in FIG. The name of the two-dimensionally distributed diffracted light will be called as shown in FIG. Here, for the sake of simplicity, the maximum σ of the illumination system is 1. K corresponding to p for (1,0) -order light or (0,1) -order light to enter the pupil 1 Must be 0.25 or more. On the other hand, in order for the (1,1) -order light to enter the pupil plane, k corresponding to p 1 Must be greater than or equal to 0.25 × √2. For this reason, the contact hole is k corresponding to p. 1 When 0.25 × √2 or less, resolution becomes extremely difficult. Therefore, k corresponding to p 1 However, it is not wrong to set the branch condition where 0.25 × √2. k corresponding to p 1 Is less than 0.25 × √2, resolution is difficult, so even if the size of the dummy hole is a relatively large value of 75% of p, the dummy hole is difficult to resolve. For k corresponding to p 1 Is larger than 0.25 × √2, the size of the dummy hole is difficult to resolve. 1 Is preferably set to 0.25 or less. These are stories when the maximum σ of the illumination system is 1. In an actual exposure apparatus, σmax is usually smaller than 1 when σmax is the maximum illumination system σ. As a result, k corresponding to p 1 Is extremely difficult to resolve when the value is 0.25 × √2 / σmax or less. Therefore, k corresponding to p 1 May be a branching condition of 0.25 × √2 / σmax or less.
[0049]
FIG. 6B is a flowchart for explaining a dummy hole pattern period determining method. In FIG. 6B, g1, g2, g3, and g4 may be determined by the user. Theoretically, g1 = 0.25, g2 = 0.50, g3 = 2 × g2, and g4 = 2 × g2 are preferable. The theoretical meanings of g1, g2, g3, and g4 will be described. The reason for setting g1 to 0.25 is that the resolution limit. The reason why g2 is set to 0.5 is that the first-order diffracted light enters the pupil, and a pattern larger than this can be resolved relatively easily. Since g3 represents a pattern period, if g3 is twice or more than g2, it can be resolved relatively easily, and a dummy hole is created so that a period of g2 or more and g3 or less can be created by dividing by an integer. Since g3 may be inserted, g3 may be twice g2. g4 is also the same reason as g3. However, each value may be changed in consideration of past experience and performance of the exposure apparatus. For example, the maximum σ set in the exposure apparatus and the type of resist are taken into consideration. In this embodiment, Canon FPA-5000ES exposure apparatus (wavelength 245 nm, numerical aperture 0.73) and TOK-DP746HC resist are used. As a result, g1 = 0.30, g2 = 0.45, g3 = 1.2. The solution converged even when g4 = 0.9. Considering these, only the placement of the dummy holes is determined at the place where the desired pattern exists. The dummy hole arrangement grid thus determined is shown in FIG. Specifically, in this embodiment, since the hole diameter is 120 nm, it does not end abnormally. K with minimum hole diameter of 120nm 1 Is about 0.35, so it is g1 or more and g2 or less. Since the pattern period P1 is 240 nm, P2 is also 240 nm. The pattern period P2 is k 1 Therefore, it does not correspond to g3 or more. As a result, dummy holes may be inserted with a period P2 = 240 nm. The size of the dummy hole may be 90 nm which is 75% of 120 nm because the minimum half pitch is 0.25 × √2 or less.
[0050]
Since the dummy holes should not overlap as schematically shown in FIG. 5A, it is necessary to review the insertion rule in this case. As schematically shown in FIG. 5B, it is necessary to consider the insertion rule even when adjacent dummy holes are in close contact. As shown in FIG. 5C, the adjacent interval is k. 1 <0.25 can be ignored at this time. FIG. 4C shows a mask pattern in which the dummy holes placed in the portion corresponding to the desired pattern portion are eliminated by calculation from FIG. When the mask patterns of FIG. 4C and FIG. 4A are synthesized by calculation, a mask FIG. 4D suitable for this exposure method is completed. Using the mask pattern thus obtained, the illumination system was optimized and a desired pattern could be obtained.
[0051]
[Example 7]
In this embodiment, a dummy hole is determined by a table. Assume that a desired pattern as shown in FIG. 3 is given. In FIG. 3, the horizontal period is 0.5 <k. 1 It is assumed that p corresponding to <1.0 and the period in the vertical direction is 2p. The hole diameter s is assumed to be p / 2. FIG. 6 shows a method for determining the size and period of a dummy hole obtained by an empirical rule, and the size of the dummy pattern is inserted according to this method. At this time, the mask for the desired pattern is not yet considered. There is a method of creating a desired pattern and a dummy hole pattern at a time corresponding to the minimum pitch of the desired pattern from a dummy hole insertion table prepared according to the rules as shown in FIG. Even with such a method, a mask pattern as shown in FIG. 4D was obtained, and a desired pattern could be exposed by selecting an illumination system suitable for the mask.
[0052]
[Example 8]
In this embodiment, the illumination system is determined by calculation. Assume that there is mask data in which dummy holes are inserted by calculation or table. The illumination system in this exposure method includes an illumination part for resolving a desired pattern schematically shown in FIG. 7A and an illumination part that plays a role of pressing a dummy hole schematically shown in FIG. 7B. . The illumination part shown in FIG. 7 (a) and the illumination part shown in FIG. 7 (b) are added by calculation, and if there is an overlapping part, one of the illumination systems is adopted in the overlapping part. FIG. 7D shows an illumination system obtained by removing the portion of the illumination system obtained in this way in the region larger than the maximum σ shown in FIG. 7C by calculation. When the illumination system thus obtained was used, the desired pattern could be clearly resolved.
[0053]
[Example 9]
In this embodiment, the illumination system is determined by a table. The table is obtained empirically. For example, an illumination system suitable for the present exposure method using a binary mask is the illumination type shown in FIG. 16, but the value of a corresponds to the minimum half pitch. 1 When ((1 / k 1 ) /2-0.1) / 2 was found to be close to the solution. This can also be seen from Example 1. The role b plays is to prevent the dummy pattern from being resolved. This is also empirically k for the minimum pitch. 1 It has been found that 0.5 or more is suitable when is less than or equal to 0.25 × √2. K for minimum pitch 1 When is more than 0.25 × √2, it is relatively easy to resolve the desired pattern, so it is not necessary to pay attention to the value of b. Therefore, ((1 / k 1 ) /2-0.1) / 2 or less, usually ((1 / k 1 ) /2-0.1) /2-0.1. The desired pattern could be clearly resolved using the illumination system derived by extracting the values of a and b from the table created based on such rules. Furthermore, table data as shown in FIGS. 29 and 30 may be used. This table data is obtained by simulation, but data obtained from experiments may be used as table data. The table data in FIGS. 29 and 30 are obtained by examining the change in contrast for the values of a and b in FIGS. 16A and 16B for patterns of 120 nm and 110 nm. Even if the illumination system suitable for the mask pattern was selected from the table data prepared in this way, the desired pattern could be resolved clearly.
[0054]
[Example 10]
In this embodiment, the dummy holes are formed after the illumination system is first determined for a certain desired pattern. The wavelength of the exposure apparatus used in this example is 248 nm and the numerical aperture is 0.73.
[0055]
Suppose that it is desired to obtain a desired pattern as schematically shown in FIG. Here, it is assumed that all the hole diameters are 110 nm, the horizontal hole interval is 110 nm, and the vertical hole interval is 330 nm.
[0056]
As described above, the distribution of diffracted light on the pupil plane can be controlled by inserting dummy holes according to the arrangement of the desired pattern. The dummy hole insertion makes the period of the original desired pattern effective, so that the diffracted light on the pupil plane can be controlled. Even if there is no dummy hole, diffracted light is generated according to the cycle of the desired pattern. Therefore, the illumination system may be determined according to the cycle of the desired pattern before inserting the dummy hole. The illumination system determined in this way has an effect of improving the resolving power even without a dummy pattern.
[0057]
For example, FIG. 8A shows experimental results when exposure is performed with 2/3 annular illumination having a maximum σ of 0.92 when there is no dummy hole in the mask of this embodiment. As can be seen from FIG. 8A, the desired pattern is not resolved. On the other hand, FIG. 8B shows a result when exposure is performed using an illumination system in which the maximum σ is 0.92, a = 0.7, and b = 0.5 in the illumination of FIG. It can be seen that the resolution performance is improved without inserting a dummy pattern.
[0058]
The desired pattern could also be clearly resolved by inserting a dummy pattern after the illumination system was determined first.
[0059]
Example 11
FIG. 1 is a flowchart showing a method for creating mask pattern data and illumination system data according to this embodiment.
[0060]
According to the desired pattern to be formed on the wafer, the mask pattern is determined by setting the transmittance where there is no mask data as 0 and the transmittance where there is a mask pattern as 1. It has been found in the above-described embodiment that it does not matter whether the arrangement of the dummy holes is determined first or the illumination conditions are set first. The dummy hole may be obtained by calculation or from a table. The illumination conditions may be obtained from calculation or from a table.
[0061]
It is necessary to confirm whether a desired pattern is formed when an illumination condition suitable for a mask having a dummy hole is applied. If the desired pattern is not formed, a method such as putting OPC in the mask data created according to the desired pattern is used.
[0062]
It is necessary to confirm again whether a desired pattern is formed in a state where OPC is inserted. If a desired pattern is not formed no matter how OPC is inserted, it is necessary to change the way of inserting dummy holes or change the illumination system.
[0063]
By repeating these steps, it was possible to determine mask data and illumination mode suitable for the exposure method I. As a result, the desired pattern could be clearly resolved.
[0064]
Example 12
Assume that there is desired pattern data as schematically shown in FIG. The wavelength of the exposure apparatus we used is 248 nm and the numerical aperture is 0.73. Desired pattern data As shown in FIG. 26A, mask data 26d having a hole diameter of 110 nm, a horizontal pattern half pitch of 110 nm and a vertical pattern half pitch of 220 nm, and a hole diameter of 120 nm and a horizontal pattern Mask data 26e having a half pitch of 120 nm and a vertical pattern half pitch of 240 nm was mixed. The desired mask data is divided into 26d and 26e, and dummy data is inserted independently. First, after inserting a dummy hole only for the mask data 26d, a dummy hole was inserted only for the mask data 26e. Then, when an illumination mode common to both was determined, it was found that there was a difference in exposure amount between the mask data 26d and 26e. This is because the mask data 26e has a larger hole diameter.
[0065]
Therefore, by changing the size and number of dummy holes, it was possible to eliminate the exposure amount difference generated in the mask data 26d and 26e. As shown in FIG. 26 (b), the final mask pattern is inserted into the mask pattern 26d by a dummy hole having a size of 110 nm in the vertical and horizontal directions with a period of 110 nm for three rounds around the desired pattern. In the vertical and horizontal directions, a dummy hole having a size of 80 nm and a period of 120 nm was inserted around the desired pattern for three rounds. In FIG. 16, the illumination system has a = 0.7 and b = 0.5. 460 J / m when using TOK-DP746HC as resist 2 The pattern could be resolved with an exposure amount of. The result is as shown in FIG. FIG. 27A shows an exposure result corresponding to the mask pattern 26d, and FIG. 27B shows an exposure result corresponding to the mask pattern 26e.
[0066]
Although the preferred embodiments of the present invention have been described above, the present invention is not limited to these embodiments, and various modifications and changes can be made within the scope of the gist thereof.
[0067]
【The invention's effect】
As described above, according to the present invention, the data creator simply creates and inputs a pattern to be finally formed on the resist, and the subsequent generation of mask pattern data and illumination conditions is automatically performed by the computer according to the above procedure. Therefore, the optimum mask pattern and illumination conditions can be efficiently created even in the design of a large-scale semiconductor integrated circuit.
[Brief description of the drawings]
FIG. 1 is a flowchart illustrating a setting method according to the present invention.
FIG. 2 is a flowchart for explaining in detail a dummy hole insertion step and an illumination condition setting step in the flowchart shown in FIG. 1;
FIG. 3 is a view showing a desired contact hole pattern.
FIG. 4 is an explanatory diagram when a mask suitable for the exposure method I is created by calculation.
FIG. 5 is a diagram for explaining a state in which a dummy hole insertion rule may not be satisfied.
FIG. 6 is a diagram showing a method for determining the size and period of a dummy hole obtained through experience.
FIG. 7 is a diagram for explaining a method of setting illumination conditions suitable for the present exposure method by calculation.
FIG. 8 is a diagram for explaining that an effect appears in the resolving power only by changing the illumination system without a dummy hole;
FIG. 9 is a diagram showing the principle of dummy hole insertion.
FIG. 10 is a diagram showing the principle of dummy hole insertion.
FIG. 11 is a diagram showing a dummy hole insertion principle.
FIG. 12 is a diagram showing a dummy hole insertion principle.
FIG. 13 is a diagram showing how diffracted light is generated before dummy patterns are inserted.
FIG. 14 is a diagram showing how diffracted light is generated before dummy patterns are inserted.
FIG. 15 is a diagram illustrating an example of OPC.
FIG. 16 is a diagram showing an example of an illumination mode suitable for the present exposure method.
FIG. 17 is a diagram showing an example of an illumination mode suitable for the present exposure method.
FIG. 18 is a diagram showing an example of an illumination mode suitable for the present exposure method.
FIG. 19 is a diagram showing an example of an illumination mode suitable for the present exposure method.
FIG. 20 is a diagram showing mask patterns before and after inserting dummy holes.
FIG. 21 is a diagram illustrating the effect of the present invention.
FIG. 22 is a diagram illustrating the effect of the present invention.
FIG. 23 is a diagram illustrating the effect of the present invention.
FIG. 24 is a diagram showing an example of dummy hole insertion.
FIG. 25 is a diagram showing an example of dummy hole insertion.
FIG. 26 is a diagram illustrating an example of the present embodiment.
FIG. 27 is a diagram illustrating the effect of the present invention.
FIG. 28 is a diagram illustrating a pupil plane diffracted light distribution obtained from a dense hole by a binary mask.
FIG. 29 is a diagram illustrating an example of illumination system table data.
FIG. 30 is a diagram illustrating an example of illumination system table data.
[Explanation of symbols]
3 Desired contact hole
4a, 13a, 14a, 20a, 26a Mask light shielding part
4b, 13b, 14b, 20b, 26b, 26c Mask translucent part corresponding to desired pattern
4c, 14c, 20c Mask translucent part corresponding to dummy hole
7a Illumination light distribution mainly contributing to desired pattern formation
7b Illumination light distribution that mainly contributes to suppressing the generation of unwanted patterns
7c, 16a, 17a, 18a, 19a Illumination system light shielding part
7d, 16b, 17b, 18b, 19b Illumination system translucent part
9a, 10a, 11a, 12a, 24a, 25a Mask patterns created corresponding to the desired pattern
9b, 10b, 11b, 12b, 24b, 25b Dummy hole
15a Mask pattern corresponding to desired pattern size
15b Mask pattern after OPC is added to desired pattern
26d, 26e Divided mask pattern data
26f, 27g Mask translucent part corresponding to dummy hole

Claims (10)

コンタクトホールパターンと、当該コンタクトホールパターンよりも寸法の小さな補助パターンと、を有するマスクを、前記コンタクトホールパターンが解像され、かつ、前記補助パターンの解像が抑制されるように照明し、前記マスクからの光で被露光体を露光する露光方法であって、Illuminating a mask having a contact hole pattern and an auxiliary pattern having a dimension smaller than the contact hole pattern so that the contact hole pattern is resolved and the resolution of the auxiliary pattern is suppressed, An exposure method for exposing an object to be exposed with light from a mask,
前記コンタクトホールパターン及び前記補助パターンで構成されるパターンの周期に基づいて形状が調整された有効光源で前記マスクを照明するステップを有し、Illuminating the mask with an effective light source whose shape is adjusted based on a period of a pattern composed of the contact hole pattern and the auxiliary pattern;
前記コンタクトホールパターンと前記補助パターンとは、少なくとも第一の方向に沿って前記マスクに配置され、The contact hole pattern and the auxiliary pattern are disposed on the mask along at least a first direction,
前記有効光源は、前記第一の方向及びそれに垂直な第二の方向に軸を持つ十字型の遮光部分を含むことを特徴とする露光方法。The exposure method, wherein the effective light source includes a cross-shaped light-shielding portion having an axis in the first direction and a second direction perpendicular thereto.
前記コンタクトホールパターンと前記補助パターンとは、前記第一の方向及び第二の方向に沿って前記マスクに配置されることを特徴とする請求項1記載の露光方法。The exposure method according to claim 1, wherein the contact hole pattern and the auxiliary pattern are disposed on the mask along the first direction and the second direction. 前記有効光源の形状の調整は、前記十字型の遮光部分の形状を変更することによって行われることを特徴とする請求項1記載の露光方法。2. The exposure method according to claim 1, wherein the shape of the effective light source is adjusted by changing the shape of the cross-shaped light-shielding portion. コンタクトホールパターンと、当該コンタクトホールパターンよりも寸法の小さな補助パターンと、を有するマスクを、前記コンタクトホールパターンが解像され、かつ、前記補助パターンの解像が抑制されるように照明し、前記マスクからの光で被露光体を露光する露光方法に適したマスクの設計方法であって、Illuminating a mask having a contact hole pattern and an auxiliary pattern having a dimension smaller than the contact hole pattern so that the contact hole pattern is resolved and the resolution of the auxiliary pattern is suppressed, A mask design method suitable for an exposure method for exposing an object to be exposed with light from a mask,
前記コンタクトホールパターンのデータに基づいて、前記補助パターンのデータを作成するステップと、Creating the auxiliary pattern data based on the contact hole pattern data;
前記コンタクトホールパターン及び補助パターンで構成されるパターンの周期に基づいて、前記マスクを照明する際の有効光源の形状を調整するステップと、Adjusting the shape of the effective light source when illuminating the mask, based on the period of the pattern composed of the contact hole pattern and the auxiliary pattern;
前記有効光源の形状で前記マスクを照明した場合の、前記コンタクトホールパターン及び前記補助パターンの解像の状態を確認するステップと、Checking the resolution of the contact hole pattern and the auxiliary pattern when the mask is illuminated with the shape of the effective light source; and
前記解像の状態に基づいて、前記コンタクトホールパターンのデータ及び/又は前記補助パターンのデータを補正するステップとを有し、Correcting the contact hole pattern data and / or the auxiliary pattern data based on the resolution state, and
前記コンタクトホールパターンと前記補助パターンとは、少なくとも第一の方向に沿って前記マスクに配置され、The contact hole pattern and the auxiliary pattern are disposed on the mask along at least a first direction,
前記有効光源は、前記第一の方向及びそれに垂直な第二の方向に軸を持つ十字型の遮光部分を含むことを特徴とする設計方法。The effective light source includes a cross-shaped light shielding portion having an axis in the first direction and a second direction perpendicular thereto.
前記コンタクトホールパターンと前記補助パターンとは、前記第一の方向及び第二の方向に沿って配置されることを特徴とする請求項4記載の設計方法。5. The design method according to claim 4, wherein the contact hole pattern and the auxiliary pattern are arranged along the first direction and the second direction. 前記有効光源の形状の調整は、前記十字型の遮光部分の形状を変更することによって行われることを特徴とする請求項4記載の設計方法。5. The design method according to claim 4, wherein the shape of the effective light source is adjusted by changing the shape of the cross-shaped light-shielding portion. 前記補正ステップは、前記補助パターンの大きさを変更するステップを含むことを特徴とする請求項4記載の設計方法。The design method according to claim 4, wherein the correcting step includes a step of changing a size of the auxiliary pattern. 前記補正ステップは、前記補助パターンの数を変更するステップを含むことを特徴とする請求項4記載の設計方法。5. The design method according to claim 4, wherein the correcting step includes a step of changing the number of the auxiliary patterns. 前記補正ステップは、前記コンタクトホールパターンの大きさを変更するステップを含むことを特徴とする請求項4記載の設計方法。The design method according to claim 4, wherein the correcting step includes a step of changing a size of the contact hole pattern. 前記補正ステップは、前記コンタクトホールパターンの形状を変更するステップを含むことを特徴とする請求項4記載の設計方法。The design method according to claim 4, wherein the correcting step includes a step of changing a shape of the contact hole pattern.
JP2002160741A 2002-04-23 2002-04-23 Mask pattern and illumination condition setting method Expired - Fee Related JP3754934B2 (en)

Priority Applications (6)

Application Number Priority Date Filing Date Title
JP2002160741A JP3754934B2 (en) 2002-04-23 2002-04-23 Mask pattern and illumination condition setting method
US10/251,581 US7107573B2 (en) 2002-04-23 2002-09-20 Method for setting mask pattern and illumination condition
TW091121661A TWI315027B (en) 2002-04-23 2002-09-20 Mask designing method, and exposure method for illuminatiing a mask and exposing an object
EP02256555A EP1357426A3 (en) 2002-04-23 2002-09-20 Method for setting mask pattern and its illumination condition
KR10-2002-0067238A KR100533145B1 (en) 2002-04-23 2002-10-31 Method for setting mask pattern and its illumination condition
KR1020050075017A KR100633461B1 (en) 2002-04-23 2005-08-17 Method for setting mask pattern and its illumination condition

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2002160741A JP3754934B2 (en) 2002-04-23 2002-04-23 Mask pattern and illumination condition setting method

Publications (2)

Publication Number Publication Date
JP2003318100A JP2003318100A (en) 2003-11-07
JP3754934B2 true JP3754934B2 (en) 2006-03-15

Family

ID=29545617

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002160741A Expired - Fee Related JP3754934B2 (en) 2002-04-23 2002-04-23 Mask pattern and illumination condition setting method

Country Status (1)

Country Link
JP (1) JP3754934B2 (en)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4886169B2 (en) * 2003-02-21 2012-02-29 キヤノン株式会社 Mask, design method thereof, exposure method, and device manufacturing method
EP1719019A2 (en) * 2004-02-03 2006-11-08 Mentor Graphics Corporation Source optimization for image fidelity and throughput
JP4904034B2 (en) * 2004-09-14 2012-03-28 ケーエルエー−テンカー コーポレイション Method, system and carrier medium for evaluating reticle layout data
US8043797B2 (en) 2004-10-12 2011-10-25 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
JP4750525B2 (en) 2005-10-14 2011-08-17 キヤノン株式会社 Exposure method and device manufacturing method
JP2007123333A (en) 2005-10-25 2007-05-17 Canon Inc Exposure method
KR101061357B1 (en) * 2009-02-17 2011-08-31 주식회사 하이닉스반도체 Photo mask
JP5627394B2 (en) 2010-10-29 2014-11-19 キヤノン株式会社 Program for determining mask data and exposure conditions, determination method, mask manufacturing method, exposure method, and device manufacturing method

Also Published As

Publication number Publication date
JP2003318100A (en) 2003-11-07

Similar Documents

Publication Publication Date Title
KR100633461B1 (en) Method for setting mask pattern and its illumination condition
US20230401373A1 (en) Pin access hybrid cell height design and system
TWI241622B (en) Mask and its manufacturing method, exposure, and device fabrication method
TWI465836B (en) Improved process margin using discrete assist features
KR100786422B1 (en) Pattern layout of integrated circuit, photomask, manufacturing method of semiconductor device, and data producing method
JP4009459B2 (en) Manufacturing method of semiconductor integrated circuit device and manufacturing method of mask
US6238824B1 (en) Method for designing and making photolithographic reticle, reticle, and photolithographic process
CN101930484A (en) Method and system of placing printing assist feature for random mask layout
JP4886169B2 (en) Mask, design method thereof, exposure method, and device manufacturing method
US6465138B1 (en) Method for designing and making photolithographic reticle, reticle, and photolithographic process
JP3754934B2 (en) Mask pattern and illumination condition setting method
US20220164514A1 (en) Region based shrinking methodology for integrated circuit layout migration
JP3977544B2 (en) Circuit design method for semiconductor device and program storage medium
US20220237357A1 (en) Diagonal via pattern and method
KR20120040657A (en) Program storage medium and method for determining exposure condition and mask pattern
JP2004012932A (en) Method for manufacturing mask, exposure method and method for manufacturing device
JP2008191364A (en) Design method of mask pattern
KR101096979B1 (en) Method for forming photomask pattern to control critical Demension of semiconductor device
US6413685B1 (en) Method of reducing optical proximity effect
CN116710843A (en) Optical proximity correction for free shape
JP4408732B2 (en) Method for forming hole pattern
JPH11133585A (en) Mask for exposure and its production
WO2009125529A1 (en) Method of generating mask pattern and method of forming pattern
US20230325579A1 (en) Geometric Mask Rule Check With Favorable and Unfavorable Zones
US7754400B2 (en) Method for determining an acceptable reticle tolerance for a reticle used to produce an integrated circuit layout

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20040608

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20050208

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20050329

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050527

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20051213

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20051219

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 3754934

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20081222

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091222

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091222

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101222

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111222

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121222

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131222

Year of fee payment: 8

LAPS Cancellation because of no payment of annual fees