JP2003507906A - イオン注入システム及び方法 - Google Patents

イオン注入システム及び方法

Info

Publication number
JP2003507906A
JP2003507906A JP2001519467A JP2001519467A JP2003507906A JP 2003507906 A JP2003507906 A JP 2003507906A JP 2001519467 A JP2001519467 A JP 2001519467A JP 2001519467 A JP2001519467 A JP 2001519467A JP 2003507906 A JP2003507906 A JP 2003507906A
Authority
JP
Japan
Prior art keywords
platen
switch
plasma
voltage
potential
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2001519467A
Other languages
English (en)
Inventor
ローレンス ケラーマン,ピーター
デビッド バーンスタイン,ジェームズ
スチュアート デンホルム,アレック
Original Assignee
アクセリス テクノロジーズ インコーポレーテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by アクセリス テクノロジーズ インコーポレーテッド filed Critical アクセリス テクノロジーズ インコーポレーテッド
Publication of JP2003507906A publication Critical patent/JP2003507906A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32412Plasma immersion ion implantation

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physical Vapour Deposition (AREA)
  • Plasma Technology (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

(57)【要約】 プラズマ・イマージョンイオン注入のための方法及びシステムは、ウエハ等の注入基板の注入エネルギー分布を均一に維持するとともに電荷蓄積を最小にするために設けられている。電圧モジュレータ(27)は、プラズマを包含する処理室(17)内のプラテン(14)にパルス電圧信号(-Vp)を加え、このプラズマ内のイオンがプラテン上に載置されたウエハに引き寄せられて注入される。この電圧モジュレータ(27)は、(i) 電源(48)とプラテン(14)の間に配置され、電源とプラテン間の接続を一時的に生じさせ、プラテンにパルス電圧信号を供給する第1スイッチ(50)と、(ii) プラテンと低ポテンシャル電位との間に配置され、かつ少なくとも一時的にプラテンから残存電圧(-Vr)を放電するために第1スイッチ(50)が開いて電源とプラテンとの間の接続が切り離された後で閉じられる、第2スイッチ(54)と、(iii) 第1、第2のスイッチ(50,54)の順次動作を制御するコントローラ(56)とを備えている。第2スイッチ(54)を閉じさらに、プラテンをアース電位にすると、所定のエネルギー範囲内のイオンのみが、ウエハ内に注入される。注入エネルギー分布を改善し、そして、正のイオンの注入によるウエハの電荷蓄積は、プラズマ内の電子が注入パルス間でウエハに向けて流れることによって中和される。第2スイッチ(54)が開くと、第2スイッチは、プラテンをプラズマのフローティング電位に達するようにフロートさせることができ、ウエハ内の回路素子上の過剰電圧を最小にする。この代わりに、プラテンをプラズマのフローティング電位となるように正バイアスを加えるようにしてもよい。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】
本発明は、一般的に、プラズマ・イマージョンイオン注入システム(Plasma im
mersion ion implantation system)に関するものである。特に、本発明は、均
一なイオン注入エネルギー分布を維持し、かつこのようなシステムによってイオ
ン注入される基板の電荷蓄積を最小にするための装置及び方法に関する。
【0002】
【従来の技術】
イオン注入は、集積回路の大規模製造において、半導体に不純物を混入させる
ために、産業界に望ましい技術として発展してきた。イオンドーズ量は、特定の
イオン注入処理を定める際に2つの重要な変数の1つである。(他方は、イオン
注入深さを決めるイオンエネルギーである。)イオンドーズ量は、与えられた領
域又は半導体材料の容積に対する注入イオンの濃度に関係する。一般的に、高電
流イオン注入機(一般的に、イオンビーム電流が約1mAまでの能力を有する)
が、低いドーズ量に用いられる。
【0003】 従来のイオン注入装置は、全体として3つの部分あるいはサブシステムから構
成されている。即ち、(i)イオンビームを出力するためのイオン源、(ii)イオン
ビームを分析するための質量分析磁石を含むビームライン、(iii)イオンビーム
によって注入されるべき半導体ウエハまたは他の基板を含むターゲット室を含む
。イオン注入機内のイオン源は、一般的に、イオン源室内でイオン源ガス及び所
望のドーパント要素である成分をイオン化し、さらに、イオンビームの形にイオ
ン源ガスを抽出することによってイオンビームを発生する。このイオンビームは
、ビームラインによって与えられる、真空中のビーム通路に沿って向けられる。
ビーム内で励起されたイオンは、ターゲット室内の基板に衝突し、そこでイオン
注入される。このようなイオン注入システムにおいて、ウエハ上の回路要素が損
傷される程度に、ウエハの表面に注入イオンが帯電しないようにすることが重要
である。ウエハの電荷蓄積によるこのような有害な作用を防止するために、ビー
ム中和機構がイオン注入装置に用いられる。さらに、注入イオンのエネルギーは
、イオン注入に対して均一で所望のエネルギー分布が確実になるように制御され
なければならない。
【0004】 プラズマ・イマージョンイオン注入(PIキューブ、即ちPI3)は、プラテン上
のウエハ等の基板を処理室内におけるプラズマ内に侵入させる技術である。そし
て、この処理室は、処理室の機能とともにプラズマ源の機能も有する。一般的に
、電圧差が周期的に処理室の壁とプラテンとの間に形成され、プラズマ内のイオ
ンを基板に引き付ける。十分な電圧差は、パルス型イオン注入を基板の表面に生
じさせる。
【0005】 従来のイオン注入システムでは、ウエハのチャージング(電荷蓄積)が確実に
最小化されることが重要である。PI3システムにおいて、ウエハのチャージング
は、注入パルスから電荷が蓄積されること、または注入パルス中の処理室内でプ
ラズマにさらされること、のいずれかによって生じる。更に、従来のイオン注入
システムでは、空間エネルギー範囲内のイオンのみが、ウエハに注入され均一な
注入エネルギー分布を実現する。
【0006】
【発明が解決しようとする課題】
従って、本発明の目的は、均一な注入エネルギー分布を維持し、かつプラズマ
・イマージョンイオン注入システムによってイオン注入された基板の電荷蓄積を
最小化するためのシステム及び方法を提供することである。
【0007】
【課題を解決するための手段】
プラズマ・イマージョンイオン注入システム及び方法は、注入エネルギー分布
を均一に維持し、ウエハ等のイオン注入される基板の電荷蓄積を最小化するため
に設けられている。改良された電圧モジュレータは、プラズマを含む処理室内の
プラテンにパルス電圧信号を供給する。その結果、プラズマ内のイオンは、プラ
テン上にあるウエハに引き付けられイオン注入される。この電圧モジュレータは
、(i) 電源とプラテンの間に配置され、前記電源とプラテン間の連結を一時的に
生じさせ、前記プラテンにパルス電圧信号を供給する第1スイッチと、(ii) 前
記プラテンとアースとの間に配置され、少なくとも一時的にプラテンから残存電
圧を放電するように、前記第1スイッチが開いた後に閉じ、前記電源とプラテン
との間の前記連結が切り離される第2スイッチと、(iii) 前記第1、第2のスイ
ッチの順次動作を制御するコントローラとを備えている。
【0008】 第2スイッチを閉じて、プラテンをアース電位にすることにより、付加された
パルス電圧よりも少ないエネルギーを有する多数のイオンが減少される。そのた
め、イオン注入エネルギー分布が改善される。さらに、イオン注入より正のイオ
ンがウエハに帯電すると、プラズマ内の電子が、注入パルス間のウエハに向けて
流れることによって中和される。第2スイッチが開くと、プラテンがプラズマの
フローティング電位に到達するように、プラテンをフロートさせることができ、
ウエハ内の回路素子に加わる電圧を最小にする。この代わりに、プラテンをプラ
ズマのフローティング電位になるように正バイアスを加えることもできる。
【0009】
【発明の実施の形態】
図面を参照すると、図1は、参照符号10で概略示すプラズマ・イマージョン
式イオン注入装置を開示する。本システムは、真空状態の処理室12を含み、こ
の処理室は、絶縁体18上に取付けられる電気的に活性なウエハを支持するプラ
テン14と、導電性の壁17を有する電気的にアースされた処理室ハウジング1
6と、石英窓19とを備えている。処理室内で発生したプラズマは、所望のドー
パントイオン種(例えば、砒素)を含み、このイオン種は、負電圧がプラテン1
4に加えられると、処理室に配置される、例えば半導体ウエハWまたは同等品等
の基板内に注入される。図1に示されるように、ウエハWは、ピン装置25によ
って操作されるピン23によりプラテンから持ち上げられる。このようにして、
ウエハはロードロックアセンブリ(図示略)を介してプラズマ室内に迅速に挿入
しかつ取り出すことができる。
【0010】 プラズマは、処理室12内で以下のように発生する。イオン化可能ガスは処理
室12内に、処理室の上部周辺部に備えられる入口21と有孔環状経路21Aを
経由して導かれる。高周波(RF)発生装置(ジェネレータ)22は、RF信号
(13.5メガヘルツ(MHZ)のオーダー)を発生し、この信号は整合ネット
ワーク24に送られる。この整合ネットワークは、RF信号を略平面形状のアン
テナ28に容量結合するコンデンサ26を備え、アンテナ28は、リード30、
32を経由する内外の環状コイルを有している。RF発生装置22のインピーダ
ンスと負荷インピーダンスとをマッチングさせるために、ジェネレータに戻るR
F信号の反射作用を最小にすることでアンテナ28の最大出力を保つ。このよう
な整合ネットワークの実施形態の1つとして、作動状況に基いてコンデンサ26
の容量をサーボモータにより変化させる、“逆L形”ネットワークが公知である
【0011】 アンテナ28内で発生するRF電流は、石英窓19を通過して処理室12内に
進入する磁界を発生させる。この磁力線は、アンテナコイル内の電流の方向に基
いて、矢印Bによって示される方向に進行する。石英窓19を通過して処理室を
貫通するこの磁界は、処理室内に電界を誘導する。この電界は、電子を加速して
この電子により、環状経路21Aを経由して処理室内に導入されるドーパントガ
スをイオン化してプラズマを作り出す。このプラズマは、所望のドーパントを有
する正に帯電したイオンを含み、適当な抑制電圧がモジュレータ27によってプ
ラテン14に加えられるとき、このイオンがウエハW内に注入される。このイオ
ン注入工程は、真空中で起こるので、処理室12は、ポンプマニホールド29を
介してポンプ(図示略)によって排気される。
【0012】 電磁コイル34、36、38及び40は、処理室12の外側に配置される。こ
のコイルの目的は、処理室12内の磁界を変化させることにより、プラズマ拡散
速度を効果的に変化させることにある。このプラズマ拡散速度は、ウエハ表面を
横切るプラズマ密度の放射状分布を変化させて、ウエハ表面を横切る均一な注入
を保つ。好ましい実施形態において、電磁コイルは、上下に各々配置する2つの
大きな主コイル34、40と、処理室12に対してより隣接して配置する2つの
小さな調整コイル36、38とから構成される。さらに、ウエハプラテン14は
、複数のファラデー電流コレクタやファラデーカップ42のような線量測定検出
器を備えていてもよい。これは、プラズマ電流濃度を計測して、即ち、注入ドー
ズ量を表示するためである。
【0013】 本発明は、ウエハプラテン14に印加する電圧を制御する機構を含む改良され
たモジュレータ27は、によって実行される。図2に示すように、プラテン電圧
制御機構は、モジュレータ27と高電圧電源48を備えている。スイッチ50を
使用して、プラテン14に高いパルス電圧を周期的に印加して、プラズマ中の正
電荷イオンを、プラテン上に載置されたウエハW中に注入する。アース電位と電
源48との間にキャパシタ52が設置されており、供給電圧のスパイクを抑制す
るバッファとして機能している。
【0014】 プラテン14と低ポテンシャル電位(この場合はアース電位)との間にスイッチ
54が接続されており、このスイッチ54により、スイッチ50が開いた後にプ
ラテン14に印加される電圧を制限している。本発明の実施形態では、プラテン
のバイアス電圧源58は、後で説明するが、使用されず、スイッチ54が、直接
青−ス電位とプラテンの間に接続される。スイッチ50,54は、好適には、高
電圧IGBT型スイッチであり、コントローラ56によって作動される。また、
コントローラ56は、電源48の出力電圧を制御するためにも使用される。以下
で更に説明するように、スイッチ54は、均一な注入エネルギ分布を保証すると
共に、システム10によってイオン注入される基板の電荷蓄積を最小とするよう
に連続的に制御する機能(開閉動作)を有する。
【0015】 図3は、モジュレータ回路にスイッチ54が設置された場合(実線)と設置さ
れない場合(一点鎖線)に、プラテン14に加えられる電圧を示している。プラ
テンに加えられた電圧−Vpは、本発明において、−0.5kV〜−10kVの範囲にあ
り、この電圧が時間t1でプラテンに加えられる。時間t1〜t2の間、概略4マ
イクロ秒(μs)の時間で、プラテンに加えられる負電圧は、処理室17におけ
るプラズマから正のイオンを引き付け、これらをウエハW内に注入する。時間t 2 において、スイッチ50が開かれ、電圧は、電源48によってプラテンにもは
や加えられない。
【0016】 スイッチ54がモジュレータ内に設けられていない(従来のシステム)場合、
負の残存電圧−Vrが、時間t5における次の注入サイクルに到達するまで、次
第に消散する。この時間では、高い電圧−Vpが再びスイッチ50によってプラ
テンに加えられる。時間t2〜t5の間では、電圧−Vpが加えられるよりもエネ
ルギー(ここでは注入深さ)が次第に低くなるが、残存する負の電圧−Vrは、
ウエハ内に正のイオンを引き付けて注入を続ける。この残存する負の電圧−Vr
は、図3において、破線で示されている。この電圧−Vrが消散する速度は、プ
ラズマ密度による。安定した注入エネルギー分布を確実にするために、安定した
均等なプラズマ密度が、各イオン注入に対して必要とされるであろう。この依存
性を取り除くことが有益であり、その場合、注入エネルギー分布に影響しないで
プラズマ密度を調整する(異なるドーズ比を達成する)ことができる。
【0017】 残存電圧は、長い降下時間を有するので、ウエハ内にイオン注入されるイオン
に広がるエネルギーを生じさせる。スイッチ50が開いた直後に、プラテンの電
圧は、降下し始め、ウエハ内にイオン注入されるイオンが供給される処理室17
内のプラズマシースを最終的に崩壊させる。例えば、プラズマは、1010/cm 3 のイオン密度で、−5kVで5マイクロ秒の電圧パルス−Vpを有する場合、
プラズマシースの崩壊の最小時間は、6マイクロ秒であり、イオン注入は、スイ
ッチ50が開いた後、6マイクロ秒までに生じさせることができる。残存電圧−
Vrの降下時間が6マイクロ秒以上である場合には、実質的なエネルギーの広が
りが発生する。特に、−5kVで20マイクロ秒の電圧パルスを有する場合、注
入されたイオンの約25%のみが、電圧−Vpでイオン注入されることがわかっ
た。この引き伸ばされた降下時間の結果として、生じるプラズマエネルギー分布
は、非均一である。
【0018】 しかし、本発明におけるスイッチ54は、モジュレータ27内に設けられてい
る場合、スイッチ50が開いた後、約1マイクロ秒の時間t3において、スイッ
チが閉じられる。これにより、パルス電圧−Vpに対する急速な降下時間を保証
する。スイッチ54が閉じた直後、プラテン上の残存電圧は、アースされ、イオ
ン注入が停止する。これにより、イオン注入時にイオンエネルギーが広がること
を最小化する。さらに、エネルギーの広がりは、プラズマ密度等のプラズマ状態
に無関係となる。
【0019】 注入エネルギー分布を改善することに加えて、スイッチ54は、また回路に損
傷を与える、ウエハの電荷蓄積を減少させる。ウエハの電荷蓄積は、2つのメカ
ニズムにより発生する。ウエハ基板上に絶縁構造があると、プラズマ内のイオン
は、ウエハの絶縁層内に注入されて、ウエハが正に帯電して、この絶縁層を正に
帯電させる。この帯電した絶縁層が中和されない場合、電荷は、ウエハ回路が絶
縁されたウエハと基板との間の電位の差によって損傷される程度まで最終的に蓄
積される。注入パルス電圧−Vpの結果として、スイッチ54によりプラテンが
接地されると、ウエハ基板は中和される。スイッチ54が閉じられてプラテンが
接地されると、プラズマ内の電子は、正に帯電したウエハの絶縁層に引きつけら
れて中和する。このように、各注入パルス電圧の間で、パルス電圧からのウエハ
のチャージング(電荷蓄積)が中和される。スイッチ54がない場合には、従来
技術のように、負の残存電圧−Vrが、プラズマの電子に反発し、これにより、
ウエハ表面の電荷の電荷を中和することができない。
【0020】 ウエハのチャージングによる第2のメカニズムは、処理室17内のウエハWの
周囲にあるプラズマを用いるものであり、処理室17は、約+10〜+20ボル
ト(公知のプラズマ電位)の範囲で正に帯電される。プラズマは、ウエハの絶縁
表面をプラズマフローティング電位に充電し、そして、プラテン14(従って、
ウエハ基板)がスイッチ54によってアース電位にとどまると、絶縁層と基板と
の間に電位差が生じる。このようなプラズマに導かれた絶縁層上の電圧ひずみを
防止するために、スイッチ54は、時間t4で開いて、プラテンをプラズマのフ
ローティング電位Vf(概略、0〜20V)にフロートすることができる。この
時間では、プラズマのプラテン電圧差が除去されて、ウエハ回路への損傷が防止
される。スイッチ54がt4−t3間で閉じられる間の瞬時的な時間間隔は約1〜
2マイクロ秒である。
【0021】 代わりに、プラズマが導入されるウエハのこの第2のメカニズムを防止するた
めに、スイッチ54が開いたとき、プラテンをプラズマのフローティング電位に
フロートさせないで、プラテンの電圧を、プラズマのフローティング電位(概略
、0〜+20V)に対して強制的に正にすることができる。
【0022】 スイッチ54とプラテンバイアス電源58(図2参照)は、この目的のために
使用することができる。スイッチ54を時間t3で閉じることによってプラテン
を正にバイアスすると、スイッチ50が時間t2で開いた後、プラズマ電位によ
るウエハの電荷蓄積が最小化される。この場合、スイッチ54は、上述した瞬時
的な時間(t4−t3)以上で閉じられる。好ましくは、スイッチ54は、閉状態
にとどまり、これにより、時間t5で次の注入パルスが始まる前までに、プラテ
ン14をプラズマ電圧にバイアスする。
【0023】 これにより、本発明は、パルス型プラズマ・イマージョン式イオン注入システ
ムにおける2つの目的を達成する。第1に、注入パルス後ウエハプラテンをアー
ス電位にすることにより、残存電圧の最後部−Vrは、クリップされ、その結果
、注入されるイオンの大部分が注入電圧パルス−Vpに相当するエネルギーレベ
ルとなる。このように、イオン注入のエネルギー純度は、十分に改善される。第
2に、プラテンがプラズマフローティング電位にフロートすることを可能にし、
またはプラテンがプラズマフローティング電位に強制的になることのいずれかに
よって続いて起こる、ウエハプラテンを一時的にアース電位にすることにより、
注入イオンとプラズマ電位によるウエハの電荷蓄積が最小化される。
【0024】 従って、以上、好ましい実施形態として、プラズマ・イマージョンイオン注入
システムによって注入される基板上に蓄積される電荷を最小にし、かつ均一な注
入エネルギー分布を維持するための方法およびシステムを説明してきた。しかし
、上述した説明は、本発明の一例として示すものであり、本発明は、個々に記載
の特定の実施形態に制限されるものではなく、種々の再構成、修正、及び変更は
、特許請求の範囲及びこれらと等価の構成によって定められる本発明の範囲から
逸脱しない上記記載に関連して可能である。
【図面の簡単な説明】
【図1】 図1は、本発明の原理に従って構成されたモジュレータスイッチング機構の1
つの形態を含むプラズマ・イマージョンイオン注入システムの断面図である。
【図2】 図2は、図1に示すモジュレータスイッチング機構を含むプラテン電圧コント
ローラのブロック図である。
【図3】 図3は、本発明に係るモジュレータスイッチング機構を含む場合と含まない場
合を示す、時間に対するプラテン電圧の変化を示すグラフ図である。
【手続補正書】特許協力条約第34条補正の翻訳文提出書
【提出日】平成13年8月28日(2001.8.28)
【手続補正1】
【補正対象書類名】明細書
【補正対象項目名】特許請求の範囲
【補正方法】変更
【補正の内容】
【特許請求の範囲】
───────────────────────────────────────────────────── フロントページの続き (72)発明者 バーンスタイン,ジェームズ デビッド アメリカ合衆国 マサチューセッツ 01915 エセックス ベバリー ブリスコ ー ストリート アパートメント 3アー ル. 19 (72)発明者 デンホルム,アレック スチュアート アメリカ合衆国 マサチューセッツ 01773 ミドルセックス リンカーン ピ ー.オー.ボックス 83 【要約の続き】 される。注入エネルギー分布を改善し、そして、正のイ オンの注入によるウエハの電荷蓄積は、プラズマ内の電 子が注入パルス間でウエハに向けて流れることによって 中和される。第2スイッチ(54)が開くと、第2スイッ チは、プラテンをプラズマのフローティング電位に達す るようにフロートさせることができ、ウエハ内の回路素 子上の過剰電圧を最小にする。この代わりに、プラテン をプラズマのフローティング電位となるように正バイア スを加えるようにしてもよい。

Claims (16)

    【特許請求の範囲】
  1. 【請求項1】 処理室(17)を有し、この処理室内に発生したプラズマ中のイオンを用いて、
    プラテン(14)上に配置された基板(W)にイオン注入するパルス型プラズマ・
    イマージョン式イオン注入システム(10)において、電圧モジュレータ(27)が (i) 電源(48)と前記プラテン(14)の間に配置され、前記電源とプラテン
    間の接続を一時的に生じさせ、前記プラテンにパルス電圧信号(−Vp)を供給す
    る第1スイッチ(50)と、 (ii) 前記プラテンと低ポテンシャル電位との間に配置され、かつ少なくとも
    一時的にプラテンから残存電圧(-Vr)を放電するために前記第1スイッチ(50)
    が開いて前記電源とプラテンとの間の前記接続が切り離された後で閉じられる、
    第2スイッチ(54)と、 (iii) 前記第1、第2のスイッチ(50,54)の順次動作を制御するコントロー
    ラ(56)とを備えることを特徴とする電圧モジュレータ。
  2. 【請求項2】 前記低ポテンシャル電位は、アース電位であることを特徴とする請求項1に記
    載の電圧モジュレータ。
  3. 【請求項3】 さらに、第2電源(58)を含み、前記第2スイッチ(54)は、前記第1スイッ
    チが開いた後、前記第2電源によって与えられるバイアス電圧を前記プラテン(
    14)に加えることを特徴とする請求項1記載の電圧モジュレータ。
  4. 【請求項4】 前記バイアス電圧は、概略プラズマ電圧であることを特徴とする請求項3に記
    載の電圧モジュレータ。
  5. 【請求項5】 前記バイアス電圧は、0V〜20Vの間であることを特徴とする請求項4に記
    載の電圧モジュレータ。
  6. 【請求項6】 (i) 室内に発生したプラズマ中のイオンを用いて、前記室内に置かれたプラ
    テン(14)上に位置決められた基板(W)をイオン注入するための処理室と、 (ii) 前記プラテン(14)にパルス電圧信号(−Vp)を供給する電源(48)と
    、 (iii) 電源(48)と前記プラテン(14)の間に配置され、前記電源とプラテ
    ン間の接続を一時的に生じさせ、前記プラテンにパルス電圧信号(−Vp)を供給
    する第1スイッチ(50)と、 (iv) 前記プラテンと低ポテンシャル電位との間に配置され、かつ少なくとも
    一時的にプラテンから残存電圧(-Vr)を放電するために前記第1スイッチ(50)
    が開いて前記電源とプラテンとの間の前記接続が切り離された後で閉じられる、
    第2スイッチ(54)と、 (v) 前記第1、第2のスイッチ(50,54)の順次動作を制御するコントローラ
    (56)とを備えることを特徴とするプラズマ・イマ−ジョンイオン注入システム
  7. 【請求項7】 前記低ポテンシャル電位は、アース電位であることを特徴とする請求項6に記
    載のイオン注入システム。
  8. 【請求項8】 さらに、第2電源(58)を含み、前記第2スイッチ(54)は、前記第1スイッ
    チが開いた後、前記第2電源によって与えられるバイアス電圧を前記プラテン(1
    4)に加えることを特徴とする請求項6に記載のイオン注入システム。
  9. 【請求項9】 前記バイアス電圧は、概略プラズマ電圧であることを特徴とする請求項8に記
    載の電圧モジュレータ。
  10. 【請求項10】 前記バイアス電圧は、0V〜20Vの間であることを特徴とする請求項9に記
    載の電圧モジュレータ。
  11. 【請求項11】 均一な注入エネルギー分布を可能にし、かつプラズマイマ−ジョンイオン注入
    システムによって注入される基板の電荷蓄積を最小にする方法であって、 (i) 処理室(17)において、ウエハ(W)をプラテン(14)上に取り付け、 (ii) ウエハに注入される適切なイオンを含む前記処理室(17)において、フ
    ローティング電位によって特徴付けられるプラズマを発生し、 (iii) 電源(48)と前記プラテン(14)の間に配置され、前記電源から前記
    プラテンへのパルス電圧信号(-Vp)を一時的に加えて、注入のために前記ウエハ
    に向かう前記イオンを引き付けるように、第1スイッチ(50)を閉じ、そして、 (iv) 前記第1スイッチを開放し、 (v) 前記第1スイッチ(50)が開放され、前記電源とプラテンとの間の接続
    が切り離された後で、前記プラテンからの残存電圧(-Vr)を少なくとも一時的に
    放電するために、前記プラテン(14)とより低いポテンシャル電位との間に配置
    された第2スイッチ(54)を閉じる、各ステップを有することを特徴とする方法
  12. 【請求項12】 前記低ポテンシャル電位は、アース電位であることを特徴とする請求項11に
    記載の方法。
  13. 【請求項13】 前記プラズマは、フローティング電位によって特徴づけられ、さらに、前記第
    2スイッチ(54)を開放し、前記電源から前記プラテンへ、順次パルス電圧信号
    (-Vp)を供給する前に、前記プラテンが前記フローティング電位になるようにフ
    ロートさせるステップを有することを特徴とする請求項11に記載の方法。
  14. 【請求項14】 前記プラズマは、フローティング電位によって特徴づけられ、さらに、前記第
    2スイッチ(54)を開放し、前記電源から前記プラテンへ、順次パルス電圧信号
    (-Vp)を供給する前に、前記プラテンにバイアス電圧を加えるステップを有する
    ことを特徴とする請求項11に記載の方法。
  15. 【請求項15】 前記バイアス電圧は、概略前記プラズマのフローティング電位であることを特
    徴とする請求項14に記載の方法。
  16. 【請求項16】 前記バイアス電圧は、0V〜20Vの間にあることを特徴とする請求項15に
    記載の方法。
JP2001519467A 1999-08-06 2000-08-03 イオン注入システム及び方法 Pending JP2003507906A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/369,560 US6237527B1 (en) 1999-08-06 1999-08-06 System for improving energy purity and implant consistency, and for minimizing charge accumulation of an implanted substrate
US09/369,560 1999-08-06
PCT/GB2000/003001 WO2001015200A1 (en) 1999-08-06 2000-08-03 Implanting system and method

Publications (1)

Publication Number Publication Date
JP2003507906A true JP2003507906A (ja) 2003-02-25

Family

ID=23455967

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001519467A Pending JP2003507906A (ja) 1999-08-06 2000-08-03 イオン注入システム及び方法

Country Status (6)

Country Link
US (1) US6237527B1 (ja)
EP (1) EP1204985A1 (ja)
JP (1) JP2003507906A (ja)
KR (1) KR20020027526A (ja)
TW (1) TW465260B (ja)
WO (1) WO2001015200A1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140088101A (ko) * 2011-10-06 2014-07-09 이옹 빔 세르비스 플라즈마 침적 모드에서 이온주입기를 제어하는 방법
JP2014535129A (ja) * 2011-10-04 2014-12-25 イオン ビーム サービス イオン注入装置用制御モジュール

Families Citing this family (180)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6458430B1 (en) 1999-12-22 2002-10-01 Axcelis Technologies, Inc. Pretreatment process for plasma immersion ion implantation
US6939434B2 (en) * 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
US7223676B2 (en) * 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
US20070042580A1 (en) * 2000-08-10 2007-02-22 Amir Al-Bayati Ion implanted insulator material with reduced dielectric constant
US7294563B2 (en) * 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
US6893907B2 (en) 2002-06-05 2005-05-17 Applied Materials, Inc. Fabrication of silicon-on-insulator structure using plasma immersion ion implantation
US7166524B2 (en) * 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
US7183177B2 (en) * 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
US7465478B2 (en) * 2000-08-11 2008-12-16 Applied Materials, Inc. Plasma immersion ion implantation process
US7094670B2 (en) 2000-08-11 2006-08-22 Applied Materials, Inc. Plasma immersion ion implantation process
US7137354B2 (en) * 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
US7303982B2 (en) * 2000-08-11 2007-12-04 Applied Materials, Inc. Plasma immersion ion implantation process using an inductively coupled plasma source having low dissociation and low minimum plasma voltage
US7037813B2 (en) 2000-08-11 2006-05-02 Applied Materials, Inc. Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage
US7094316B1 (en) 2000-08-11 2006-08-22 Applied Materials, Inc. Externally excited torroidal plasma source
US7320734B2 (en) * 2000-08-11 2008-01-22 Applied Materials, Inc. Plasma immersion ion implantation system including a plasma source having low dissociation and low minimum plasma voltage
US7288491B2 (en) * 2000-08-11 2007-10-30 Applied Materials, Inc. Plasma immersion ion implantation process
US7430984B2 (en) * 2000-08-11 2008-10-07 Applied Materials, Inc. Method to drive spatially separate resonant structure with spatially distinct plasma secondaries using a single generator and switching elements
US7479456B2 (en) * 2004-08-26 2009-01-20 Applied Materials, Inc. Gasless high voltage high contact force wafer contact-cooling electrostatic chuck
US20050230047A1 (en) * 2000-08-11 2005-10-20 Applied Materials, Inc. Plasma immersion ion implantation apparatus
WO2002025694A2 (en) * 2000-09-18 2002-03-28 Axcelis Technologies, Inc. System and method for controlling sputtering and deposition effects in a plasma immersion implantation device
US7467598B2 (en) * 2001-04-09 2008-12-23 Tegal Corporation System for, and method of, etching a surface on a wafer
KR100811445B1 (ko) * 2002-12-30 2008-03-07 동부일렉트로닉스 주식회사 이온 주입 장치
US7355687B2 (en) * 2003-02-20 2008-04-08 Hunter Engineering Company Method and apparatus for vehicle service system with imaging components
US7291360B2 (en) * 2004-03-26 2007-11-06 Applied Materials, Inc. Chemical vapor deposition plasma process using plural ion shower grids
US20050211171A1 (en) * 2004-03-26 2005-09-29 Applied Materials, Inc. Chemical vapor deposition plasma reactor having an ion shower grid
US20050211546A1 (en) * 2004-03-26 2005-09-29 Applied Materials, Inc. Reactive sputter deposition plasma process using an ion shower grid
US7244474B2 (en) * 2004-03-26 2007-07-17 Applied Materials, Inc. Chemical vapor deposition plasma process using an ion shower grid
US7695590B2 (en) * 2004-03-26 2010-04-13 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
US8058156B2 (en) * 2004-07-20 2011-11-15 Applied Materials, Inc. Plasma immersion ion implantation reactor having multiple ion shower grids
US7767561B2 (en) * 2004-07-20 2010-08-03 Applied Materials, Inc. Plasma immersion ion implantation reactor having an ion shower grid
KR100585160B1 (ko) * 2004-09-20 2006-05-30 삼성전자주식회사 이온 전류 밀도를 향상시킬 수 있는 아크 챔버를 갖는이온 주입 장치
US7666464B2 (en) * 2004-10-23 2010-02-23 Applied Materials, Inc. RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor
US7428915B2 (en) * 2005-04-26 2008-09-30 Applied Materials, Inc. O-ringless tandem throttle valve for a plasma reactor chamber
US20060260545A1 (en) * 2005-05-17 2006-11-23 Kartik Ramaswamy Low temperature absorption layer deposition and high speed optical annealing system
US7109098B1 (en) 2005-05-17 2006-09-19 Applied Materials, Inc. Semiconductor junction formation process including low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US7422775B2 (en) * 2005-05-17 2008-09-09 Applied Materials, Inc. Process for low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US7312162B2 (en) * 2005-05-17 2007-12-25 Applied Materials, Inc. Low temperature plasma deposition process for carbon layer deposition
US7323401B2 (en) * 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
US7312148B2 (en) * 2005-08-08 2007-12-25 Applied Materials, Inc. Copper barrier reflow process employing high speed optical annealing
US7429532B2 (en) * 2005-08-08 2008-09-30 Applied Materials, Inc. Semiconductor substrate process using an optically writable carbon-containing mask
US7335611B2 (en) * 2005-08-08 2008-02-26 Applied Materials, Inc. Copper conductor annealing process employing high speed optical annealing with a low temperature-deposited optical absorber layer
US8642135B2 (en) 2005-09-01 2014-02-04 Micron Technology, Inc. Systems and methods for plasma doping microfeature workpieces
KR20090106617A (ko) * 2007-01-19 2009-10-09 어플라이드 머티어리얼스, 인코포레이티드 플라스마 함침 챔버
US9123509B2 (en) 2007-06-29 2015-09-01 Varian Semiconductor Equipment Associates, Inc. Techniques for plasma processing a substrate
US20090004836A1 (en) * 2007-06-29 2009-01-01 Varian Semiconductor Equipment Associates, Inc. Plasma doping with enhanced charge neutralization
US7972945B2 (en) * 2007-12-28 2011-07-05 Panasonic Corporation Plasma doping apparatus and method, and method for manufacturing semiconductor device
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US8461554B1 (en) 2011-12-07 2013-06-11 Varian Semiconductor Equipment Associates, Inc. Apparatus and method for charge neutralization during processing of a workpiece
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
FR2998707B1 (fr) 2012-11-27 2016-01-01 Ion Beam Services Implanteur ionique pourvu d'une pluralite de corps de source plasma
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) * 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9478408B2 (en) 2014-06-06 2016-10-25 Lam Research Corporation Systems and methods for removing particles from a substrate processing chamber using RF plasma cycling and purging
US10081869B2 (en) 2014-06-10 2018-09-25 Lam Research Corporation Defect control in RF plasma substrate processing systems using DC bias voltage during movement of substrates
US10047438B2 (en) 2014-06-10 2018-08-14 Lam Research Corporation Defect control and stability of DC bias in RF plasma-based substrate processing systems using molecular reactive purge gas
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10553411B2 (en) 2015-09-10 2020-02-04 Taiwan Semiconductor Manufacturing Co., Ltd. Ion collector for use in plasma systems
FR3045206B1 (fr) * 2015-12-10 2020-01-03 Ion Beam Services Procede de commande pour un implanteur fonctionnant en immersion plasma
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6328861A (ja) * 1986-07-21 1988-02-06 Nissin Electric Co Ltd 表面処理装置
JPH04280055A (ja) * 1990-10-10 1992-10-06 Hughes Aircraft Co イオン注入及び表面処理に関する方法及び装置
JPH0582075A (ja) * 1991-09-20 1993-04-02 Nissin Electric Co Ltd イオン照射装置
WO1996041366A1 (en) * 1995-06-07 1996-12-19 Materials Research Corporation Plasma processing system with reduced particle contamination
JPH09120988A (ja) * 1995-08-24 1997-05-06 Tokyo Electron Ltd プラズマ処理方法
WO1998033200A1 (de) * 1997-01-23 1998-07-30 Forschungszentrum Rossendorf E.V. Modulator für die plasmaimmersions-ionenimplantation

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5813625B2 (ja) * 1979-12-12 1983-03-15 超エル・エス・アイ技術研究組合 ガスプラズマ食刻法
US4912065A (en) 1987-05-28 1990-03-27 Matsushita Electric Industrial Co., Ltd. Plasma doping method
KR930003857B1 (ko) 1987-08-05 1993-05-14 마쯔시다덴기산교 가부시기가이샤 플라즈마 도우핑방법
JPH03203317A (ja) * 1989-12-29 1991-09-05 Matsushita Electric Ind Co Ltd プラズマ処理装置
JP3124204B2 (ja) 1994-02-28 2001-01-15 株式会社東芝 プラズマ処理装置
JP2770753B2 (ja) 1994-09-16 1998-07-02 日本電気株式会社 プラズマ処理装置およびプラズマ処理方法
US5585012A (en) * 1994-12-15 1996-12-17 Applied Materials Inc. Self-cleaning polymer-free top electrode for parallel electrode etch operation
US5674321A (en) 1995-04-28 1997-10-07 Applied Materials, Inc. Method and apparatus for producing plasma uniformity in a magnetic field-enhanced plasma reactor
US5711812A (en) 1995-06-06 1998-01-27 Varian Associates, Inc. Apparatus for obtaining dose uniformity in plasma doping (PLAD) ion implantation processes
US5661093A (en) * 1996-09-12 1997-08-26 Applied Materials, Inc. Method for the stabilization of halogen-doped films through the use of multiple sealing layers
US5654043A (en) 1996-10-10 1997-08-05 Eaton Corporation Pulsed plate plasma implantation system and method

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6328861A (ja) * 1986-07-21 1988-02-06 Nissin Electric Co Ltd 表面処理装置
JPH04280055A (ja) * 1990-10-10 1992-10-06 Hughes Aircraft Co イオン注入及び表面処理に関する方法及び装置
JPH0582075A (ja) * 1991-09-20 1993-04-02 Nissin Electric Co Ltd イオン照射装置
WO1996041366A1 (en) * 1995-06-07 1996-12-19 Materials Research Corporation Plasma processing system with reduced particle contamination
JPH11509979A (ja) * 1995-06-07 1999-08-31 マテリアルズ リサーチ コーポレーション 粒子による汚染を軽減するプラズマ処理システム
JPH09120988A (ja) * 1995-08-24 1997-05-06 Tokyo Electron Ltd プラズマ処理方法
WO1998033200A1 (de) * 1997-01-23 1998-07-30 Forschungszentrum Rossendorf E.V. Modulator für die plasmaimmersions-ionenimplantation

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014535129A (ja) * 2011-10-04 2014-12-25 イオン ビーム サービス イオン注入装置用制御モジュール
KR20140088101A (ko) * 2011-10-06 2014-07-09 이옹 빔 세르비스 플라즈마 침적 모드에서 이온주입기를 제어하는 방법
JP2014535130A (ja) * 2011-10-06 2014-12-25 イオン ビーム サービス プラズマ浸漬モードにおけるイオン注入装置の制御方法
KR101963761B1 (ko) * 2011-10-06 2019-03-29 이옹 빔 세르비스 플라즈마 침적 모드에서 이온주입기를 제어하는 방법

Also Published As

Publication number Publication date
WO2001015200A1 (en) 2001-03-01
EP1204985A1 (en) 2002-05-15
KR20020027526A (ko) 2002-04-13
US6237527B1 (en) 2001-05-29
TW465260B (en) 2001-11-21

Similar Documents

Publication Publication Date Title
JP2003507906A (ja) イオン注入システム及び方法
KR100407606B1 (ko) 펄스로된애노드를갖는플라즈마담금주입
US5654043A (en) Pulsed plate plasma implantation system and method
KR101126376B1 (ko) 안정되고 반복 가능한 플라즈마 이온 주입을 위한 방법
KR100559197B1 (ko) 플라즈마 침지 이온 주입을 위한 전처리 공정
TW472290B (en) Hollow cathode for plasma doping system
KR20000023345A (ko) 이온주입 방법 및 시스템
US5433258A (en) Gettering of particles during plasma processing
KR20070088752A (ko) 축상 정전기적 구속을 갖는 플라스마 이온 주입 시스템
KR101124686B1 (ko) 전하효과를 제한하는 이온 주입기 전원공급장치
KR20080010061A (ko) 플라즈마를 이용한 이온주입장치
US20070069157A1 (en) Methods and apparatus for plasma implantation with improved dopant profile
KR20150088265A (ko) 복수의 플라즈마 소스 몸체를 구비한 이온 주입기
WO2002025694A2 (en) System and method for controlling sputtering and deposition effects in a plasma immersion implantation device
KR102017520B1 (ko) 저압형 플라즈마 투입 방식 이온주입기
US20140199492A1 (en) Ion implanter and method of operating ion implanter
KR101034422B1 (ko) 애노드 펄스에 의한 플라즈마 도핑을 위한 방법 및 장치
JPH07312201A (ja) イオンド−ピング装置におけるイオンビ−ム運転方法
KR20020019596A (ko) 기판의 표면을 가로질러 주입량의 균일성을 제공하기 위한장치 및 방법
KR20010043738A (ko) 저 에너지 이온 주입을 위한 방법 및 장치
JP3473219B2 (ja) イオンビーム発生装置
KR20100121981A (ko) 주파수 변조를 이용한 플라즈마 도핑 방법
KR20100121988A (ko) 플라즈마 도핑방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070802

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110114

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110126

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20111026