JP2003188160A - 選択的化学気相成長システム及び方法 - Google Patents

選択的化学気相成長システム及び方法

Info

Publication number
JP2003188160A
JP2003188160A JP2002320226A JP2002320226A JP2003188160A JP 2003188160 A JP2003188160 A JP 2003188160A JP 2002320226 A JP2002320226 A JP 2002320226A JP 2002320226 A JP2002320226 A JP 2002320226A JP 2003188160 A JP2003188160 A JP 2003188160A
Authority
JP
Japan
Prior art keywords
gas
injector
reaction
region
chemical vapor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2002320226A
Other languages
English (en)
Inventor
Bruce E Mayer
イー メイアー ブルース
Nitin K Ingle
ケイ イングル ニティン
Robert S Murphy
エス マーフィ ロバート
Colby D Mattson
ディ マットソン コルビィ
Samuel S Kurita
エス クリタ サミュエル
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML US Inc
Original Assignee
ASML US Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML US Inc filed Critical ASML US Inc
Publication of JP2003188160A publication Critical patent/JP2003188160A/ja
Pending legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45595Atmospheric CVD gas inlets with no enclosed reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

(57)【要約】 【課題】 逆活性マスキングのような費用のかかる後処
理ステップが省略される、より一様な膜の生成を促進さ
せる化学気相成長システム及び方法を提供することを目
的とする。 【解決手段】 上記目的は、選択的に堆積する化学種が
反応領域内の反応ガスの滞留時間を延長することにより
形成される化学気相成長システム及び方法により達成さ
れる。それらの選択的に堆積する化学種は、半導体ウエ
ハ及び/又はCVD基板のトレンチの側面と底面上に、
より急速に堆積する。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本出願は、2001年11月1日出
願の米国仮出願第60/355,494号の恩恵を主張するもので
あり、この明細書の記載は本願明細書に含まれるものと
する。本発明は、ガス状の化学薬品を表面に送るための
システム及び方法に関する。更に詳細には、本発明は、
半導体基板上のトポグラフィ形状の一様なコーティング
を促進させる、窒化ケイ素表面に相対する酸化ケイ素表
面上の薄膜又は薄層として化学種、例えば、テトラエチ
ルオルトシリケート(TEOS)とオゾン(O 3)の反
応生成物の選択的化学気相成長システム及び方法を提供
する。
【0002】
【従来の技術】化学気相成長(CVD)は、ある種のガ
ス状化学薬品の熱反応又は分解によって1種以上の化合
物の安定な膜又は層を表面上に堆積させる半導体製造に
おいて重要な成分である。CVDシステムは、多くの形
がある。そのプロセスの装置の例は、米国特許第4,834,
020号、同第5,122,391号、同第5,136,975号、及び同第
6,022,414号に記載されており、それらの特許はすべて
譲受人によって所有されており、それらの明細書の記載
は本願明細書に含まれるものとする。米国特許第4,834,
020号及び同第5,122,391号には、大気圧CVD(APC
VD)コンベヤシステムが記載されている。他のCVD
装置、例えば、プラズマ増強CVD(PECVD)、又
は低圧CVD(LPCVD)システムも工業的に広く用
いられている。CVDシステムの1つの重要な成分は、
ガス状の化学薬品を表面に送るために用いられるインジ
ェクタである。ガスは基板上に分配されなければならな
いので、ガスが反応して基板の表面上に許容しうる膜を
堆積する。インジェクタの機能は、制御方式で所望の場
所にガスを分配することである。ガスの分配が制御され
ると、一部にはガスの予備混合や前反応が最少になるこ
とによりガスの完全で効率のよい均一な反応の機会が最
大になる。完全な反応によって高品質膜を堆積する確率
が高くなる。ガスフローが制御されない場合には、化学
反応は最適にならず、結果として一様な組成でない膜に
なりやすい。ウエハ上に堆積した膜が一様な組成でない
場合、ウエハから製造された半導体又は他のデバイスの
適切な機能が損なわれる。従って、インジェクタ設計に
よってガスの所望の流れが制御方式で促進することは重
要なことである。
【0003】これまで、反応成分や堆積していないCV
D生成化合物が堆積領域から除去される割合を最大にす
ることにかなりの努力が注がれてきた。非常に反応性の
前駆化合物の混合物が熟成するにつれて、第2及び第3
生成物の割合がますます増加する。更に、反応時間が長
くなるにつれて、堆積していない一次、二次、又は三次
生成物が小さな気相、又は空中の粒子の中に核形成し始
める。これらの粒子が基板上に堆積すると、堆積した膜
に不純物がトラップされることがあり、得られたデバイ
スの性能が損なわれることになり、破損にさえ至る。更
に、これらの粒子と他の余分なCVD生成物がインジェ
クタ装置上に堆積すると、目詰まりや望まない異物を防
止するためにメンテナンスがたびたび必要となる。CV
Dによって堆積したドープした又はドープしていない酸
化ケイ素(ケイ酸塩ガラスとも呼ばれる)の薄膜は、電
子デバイスの生産において広く応用されている。CMO
S集積回路(IC)に適したトランジスタデバイス動作
には、一方のトランジスタがもう一方のトランジスタか
ら電子的に孤立していることが必要である。ドープして
いないケイ酸塩ガラス(USG又はSiOxとも言われ
る)を充填した浅いトレンチの孤立(STI)手法は、
以前に用いられていた局部的酸化(LOCOS)孤立手
法より技術的にかなり有益である。特に、テトラエチル
オルトシリケート(TEOS)とオゾン(O3)から薄
膜USGの堆積は、トレンチ充填物質として広く許容さ
れたものである。堆積したSiOxの回路構造への集積化
には、しばしば複雑で費用のかかるケミカルメカニカル
ポリシング(CMP)法によって堆積後平坦化が必要で
ある。
【0004】物理的に高低領域のある表面上にUSGを
堆積するために用いられている現在のCVD法は、結果
として、下にある基板トポロジーを反映する膜表面が得
られる。低い膜面積は、予想されるトレンチと一致して
いる。CMP技術を用いて表面を平坦化する場合、一様
な研磨速度によって図1Aに示されるトレンチ表面の
“皿状化”が引き起こされる。皿状化によって、続いて
のホトリソグラフィステップ中にデバイスの分解や焦点
深度の問題が生じ得る。トレンチの皿状化を軽減する従
来技術の手法は、図1Bに示されるようにトレンチのエ
ッジ部上にドープしていない酸化ケイ素(USG)の高
い領域を堆積する“逆活性”ホトリソグラフィステップ
を必要とするものである。これらの逆活性リッジが形成
すると、回路構造に何も加えられず、それらの生成には
費用のかかるホトリソグラフィステップがSTIプロセ
ス順序に加えられる。
【0005】図2は、標準的STIデバイス構造の断面
図である。図示されたUSG堆積は、2種類の異なる表
面(1)トレンチの両側の窒化物(SiNY)マスク層と
(2)トレンチの底面と側壁のケイ素又は熱酸化ケイ素
上で起こっている。トレンチの底面と側壁の熱酸化ケイ
素は、しばしば熱酸化物ライナと呼ばれている。熱酸化
物ライナは、USG堆積前又は後に形成されてもよく、
プロセス順序の選択に左右される。逆活性マスキングに
対して余分なホトリソグラフィステップの費用が追加さ
れることから、逆活性マスキングステップを省略する装
置及び/又は方法の開発が経済的に望ましい。マスク窒
化物上よりトレンチの側面と底面にSiOxを急速に堆積
させる方法と装置は、トレンチを“ボトムアップから充
填させる”ものである。窒化ケイ素(SiNY)よりケイ
素又は酸化ケイ素上に堆積するそのような選択を管理す
ることによって、結果として、CMPの前に逆活性マス
キングを必要としない堆積したままの平面が得られる。
従って、SiNY上の堆積に相対するケイ素(又は酸化ケ
イ素)上の選択的SiOx堆積の方法と装置は、CVDシ
ステム及び方法における現在の技術状態に相対して非常
に有利である。
【0006】
【発明が解決しようとする課題】本発明の目的は、一般
的には、選択的化学気相成長の方法及びシステムを提供
することである。特に、本発明の目的は、CVDによっ
て基板表面上のある範囲に残りの基板表面上より速い速
度で膜又は層を堆積するためにガス状化学薬品を実質的
に制御された方式で基板表面に送るための改良された方
法及びシステムを提供することである。本発明の目的
は、更に、堆積する膜の成長速度が第1表面領域、例え
ば、トレンチの底面や側面の方が第2表面領域より大き
いように薄膜を堆積する基板にTEOS+O3を送るた
めのインジェクタ及びシステムを提供することである。
【0007】
【課題を解決するための手段】本発明の実施態様におい
ては、基板上に膜を堆積するための化学気相成長システ
ムが提供される。基板の表面には、少なくとも第1物質
領域と第2物質領域が含まれている。該システムには、
1種以上のガスを反応領域に供給するインジェクタが含
まれている。ガスの反応領域における滞留時間は、1種
以上のターゲット化学種を1種以上のガスの反応によっ
て形成することを十分促進させるだけの時間である。こ
れらのターゲット化学種は、基板表面上の第1物質上に
第2物質上より速い速度で堆積する。本発明の実施態様
は、更に、少なくとも第1物質領域と第2物質領域をも
つ基板上に膜を堆積するための化学気相成長システムを
提供するものである。該システムには、1種以上のガス
を供給する少なくとも1のインジェクタと、1種以上の
ガスを受容するインジェクタに隣接した反応領域と、反
応領域からガスを除去する排気通路と、基板を反応領域
まで横速度で移動させるための移動メカニズムとが含ま
れている。ガスは、反応領域から排気通路を通って反応
領域におけるガスの滞留時間が基板の第1物質上に堆積
する1種以上のターゲット化学種を基板の第2物質上よ
り速い速度で形成することを十分促進させるだけの時間
である速度で除去される。基板が移動メカニズムによっ
て反応領域まで移動するにつれて、その表面は1種以上
のターゲット化学種に所望時間曝露される。
【0008】本発明の実施態様においては、更に、少な
くとも第1物質領域と第2物質領域をもつ基板表面上に
膜を選択的に堆積する方法が提供される。1種以上の反
応ガスが容積をもつ反応領域に第1流速で送られる。こ
れらの反応ガスは、反応して1種以上のターゲット化学
種と1種以上の廃ガスのガス混合物を形成する。ガス混
合物は、反応領域から排気流速で排出される。反応領域
の容積に相対する排出流速と第1流速との割合は、反応
領域における1種以上の反応ガスの滞留時間が1種以上
のガスから1種以上のターゲット化学種を形成すること
を十分促進させるように制御される。1種以上のターゲ
ット化学種は、基板表面の第1物質上に第2物質上より
速い速度で堆積する。基板は、表面を1種以上のターゲ
ット化学種に曝露するための反応領域まで少なくとも1
回移動させる。本発明の実施態様においては、更に、浅
いトレンチの孤立(STI)ウエハ処理プロセスの一部
として基板上に膜を選択的に堆積する方法が提供され
る。本発明の他の目的や利点は、本発明の詳細な説明や
前述の特許請求の範囲を読取ったときに、また、図面を
参照したときに明らかになるであろう。
【0009】
【発明の実施の形態】化学反応は動力学的プロセスであ
るので、タイミングは原料物質から最終生成物を形成す
るために重要である。化学“滞留時間”は、一般的に用
いられている用語であり、化学速度論の作用を幅広く述
べるものである。滞留時間は、化学分子が反応領域内に
残っている時間の量を算出することによって求めること
ができ、通常は、容積流速で割った容積の比率として算
出される。プラグフローリアクタに密接に似ているCV
Dシステムにおいては、一次滞留時間は、反応領域にお
ける一定の断面積を考えることにより反応領域内のガス
フロー速度とガスフロー経路の長さの積として算出する
ことができる。コンピュータ流体力学(CFD)モデル
は、典型的には、正確な滞留時間の計算を得るために用
いられる。本発明者らは、ガスがライン状方式の長さに
沿って送られるリニアインジェクタが化学滞留時間の実
質的な制御の機会を与えることを発見した。十分に設計
されたリニアインジェクタは、米国特許第6,022,414号
に記載されているように、ラインの全長に沿って一様な
ガスフローを促進させる。リニアインジェクタに基づく
CVDシステムは、静的基板又はウエハ上のかなりの長
さに沿って一様なバーム状堆積プリントを与える。基板
を堆積領域まで滑らかな移動運動で移動させることによ
り、基板表面全体にかなり一様な堆積速度をもたらす同
様の化学条件に曝露される基板上のあらゆる点が得られ
る。この特徴によって、リニアインジェクタが、例え
ば、反応性ガスを2次元の面積にかなり一様な速度で送
る“シャワヘッド”インジェクタのような他のCVDガ
ス分配ヘッドから基本的に区別される。シャワヘッド型
CVDインジェクタは、堆積していない空中の生成物や
他の反応副生成物の一様でない除去速度による堆積の不
規則性の損害を受けてしまう。
【0010】著しく有利なことに、本発明は、基板上の
異なる領域に選択的又は優先的な堆積を促進させる化学
滞留時間の制御を提供する。本発明の方法とシステムに
よれば、化学滞留時間は、ガス速度(流速制御によっ
て)と堆積ゾーン又は反応領域の面積(物理的設計によ
って)の一方又は双方によって制御される。滞留時間が
ガス速度のモジュレーションによって制御可能である程
度は、膜堆積の一様性、微粒子の生成、又は他の潜在的
限界のような他の重要なプロセスの問題によって制限さ
れる。堆積プロセスの要求がガスフロー速度を下げるこ
とにより達成され得る時間を超える滞留時間を必要とす
る場合には、滞留時間を延ばすために反応領域の長さを
大きくすることができる。本発明の個々の特徴と利点
は、本発明のCVDシステムが基板12上に膜を堆積
し、1以上のインジェクタと反応領域20とを含んでい
る、図3、図4及び図5に示されている。
【0011】
【実施例】本発明の実施態様は、基板表面12上に膜を
選択的に堆積するための化学気相成長システム10を提
供するものである。基板表面は、少なくとも第1物質領
域と第2物質領域を有する(図示していない)。インジ
ェクタスロット14は、反応性ガスの滞留時間がガスの
反応によって1種以上のターゲット化学種を形成するこ
とを十分促進させるだけの時間であるように、例えば、
1以上のガス供給管16によって供給される1種以上の
ガスを反応領域20に送る部分である。これらの1種以
上のターゲット化学種は、基板表面12の第1物質上に
第2物質より速い速度で堆積する。反応領域20におけ
るガスの滞留時間は、好ましくは反応領域20の容積と
反応領域20からのガス排出速度の関数として制御され
る。ガスは、好ましくは少なくとも1の排気口22によ
って反応領域20から除去される。反応領域は、少なく
とも上壁と基板又はウエハが支持される基板支持体26
によって閉ざされていてもよい。インジェクタスロット
14は、好ましくは、1種以上のガスが上壁24まで送
られるように位置している。コンベヤメカニズム(図示
されていない)、例えば、コンベヤベルト、1以上の可
動式ボート又はトレー、一連のローラ、又は部品を横に
移動するための他の類似システムは、基板12の表面が
1種以上のターゲット化学種に所望の時間曝露されるよ
うに少なくとも1回反応領域20まで基板12と基板支
持体26を移動させるために含まれている。
【0012】図4Aと図4Bは、大きなCVDシステム
の一部として本発明の一方向(図4A)と二方向(図4
B)実施態様を示している概略図である。一方向例にお
いては、2つのインジェクタスロット14が設けられて
いる。しかしながら、基板又はウエハがCVDプロセッ
サ通路をそれぞれ通過する堆積速度を上げるために連続
インジェクタスロットを追加してもよい。各インジェク
タスロット14によって、ガスが排気口22を通って排
出される反応領域20へ送り込まれる。この例において
は、2つの反応領域20までの流れの向きは鏡のように
反映している。各反応領域20を通るガスの流れは、イ
ンジェクタスロット14から単一の排気口22まで単一
の方向である。ガスフローの向きは、図4Aと図4Bに
矢印で示されている。好ましくは、不活性ガスを供給す
る中央のバッファガスインジェクタ30、例えば、窒素
又はアルゴンは、ガスの流れを制御及び抑制するため
に、また、反応領域20を通る滑らかな層流を高めるた
めに各反応領域20の間に設けられている。反応領域2
0の配列順序のそれぞれの終わりに追加の末端バッファ
ガスインジェクタスロット32がある。
【0013】ガスは、1以上のガス供給管16によって
インジェクタスロット14に供給される。図4Aに示さ
れている例においては、2つのガス供給管16がオゾン
を供給し、1つの管16がTEOSのような有機ケイ素
化合物を供給する。しかしながら、ガスとガス源の他の
組合わせやガスをインジェクタ14に供給する他の方法
もこのシステムと適合する。図4Aに示されているCV
Dシステムにおいては、中央のバッファガスインジェク
タ30からの不活性ガスの流速は、2つの末端バッファ
ガスインジェクタ32のほぼ2倍である。システムの両
端に水平な矢印で示されたように反応領域への追加の流
れが供給されてもよい。好適実施態様においては、中央
のバッファガスインジェクタスロット30は、窒素を約
5標準リットル毎分(SLPM)で供給し、2つの末端
バッファガスインジェクタ32は、窒素を約2.5SLP
Mで供給する。システム(図示されていない)のウエハ
荷重又は非荷重領域からCVD領域への追加のガスフロ
ーは、約1SLPMのガス流速で供給されてもよい。
【0014】層又は膜を堆積するために、半導体ウエハ
12のような基板は、基板支持体26上に支持され、一
連の反応領域20まで移動メカニズム(図示されていな
い)によって移動する。好適実施態様においては、各イ
ンジェクタスロット14とその対応する排気口22との
間の距離は、約60〜80 mmの範囲にあり、好ましくは約6
7 mmである。隣接のインジェクタ14との間隔は、約40
〜55 mmの範囲にあり、更に好ましくは約45 mmである。
【0015】図4Bに示された本発明の二方向実施態様
においては、2つのインジェクタスロット14を有する
CVDシステムが示されている。一方向実施態様のよう
に、ガスを2つの異なる反応領域20に供給する2つの
インジェクタスロット14が示されている。この例にお
いてはオゾンとTEOSのような有機ケイ素化合物が1
以上のガス供給管16によって各インジェクタに供給さ
れる。しかしながら、本発明は、単に2つの反応領域を
もつシステムに限定されず、TEOSとオゾンの送りガ
スから生成した膜を反応堆積するためのシステムにも限
定されない。追加の反応領域20に送る追加のインジェ
クタスロット14は、基板をそれぞれ通過する膜堆積速
度を上げるために加えることができ、CVDツールの大
きさの限界に左右される。中央のバッファガスインジェ
クタスロット30は、各反応領域20の間に設けられ、
CVD処理領域の両端に位置する末端バッファガスイン
ジェクタスロット32によって供給された1以上の不活
性ガスの流速のほぼ2倍である流速で窒素又はアルゴン
のような不活性ガス又は他の適切なガスを供給する。
【0016】本発明の二方向実施態様は、一方向システ
ムと異なり、ガスはほぼ中央に位置するインジェクタス
ロット14から1つが反応領域20のそれぞれの端にあ
る2つの排気口22まで各反応領域20に流れ込む。各
反応領域を通るガスフローは、中央のインジェクタスロ
ット14から2つの向きにある。一方向実施態様のよう
に、中央のバッファインジェクタスロット30からのガ
スフローは、好ましくは約5 SLPMであってもよい。
末端バッファガスインジェクタスロット32からのガス
フローは、好ましくは約2.5 SLPMである。ツールの
荷重域又は非荷重領域からの追加のガスインフローは、
この例では好ましくは約1 SLPMである。二方向イン
ジェクタの好適実施態様においては、各インジェクタス
ロット14とその対応する排気口22との間の距離は、
約25〜100 mm、好ましくは約35 mmの範囲にある。隣接
したインジェクタ14との間の間隔は、70〜200 mm、好
ましくは約100 mmである。
【0017】追加の好ましい特徴は、2つの二方向イン
ジェクタシステムを組込んでいるより完全なCVDシス
テムの一部として図5に示されている。図5に示された
システムは、上記一方向実施態様にも適応できる。一方
向実施態様と二方向実施態様共に、インジェクタスロッ
ト14が実質的な長さに沿ってガスの一様な流れを与え
るガス供給面に伸長スロットとして形成されることが好
ましい。同様に、1以上の排気口22と中央30及び末
端32バッファガスインジェクタが、理想的には反応領
域に閉ざされた上壁に伸長スロットとして形成される。
これらの伸長スロットを経る反応領域20へのガスフロ
ーと反応領域20からのガスフローは、好ましくはスロ
ットの実質的な長さに沿って一様であり、反応領域まで
の流れは、すべてほぼ平行に配列された伸長スロットに
ほぼ垂直な軸に沿って送られる。コンベヤベルト、可動
式ボート又はトレーシステム、制御可能なローラのベッ
ド、又は基板を横向きに移動するための他の適切な手段
のようなコンベヤメカニズムが設けられることが好まし
い。このコンベヤシステムは、基板表面が1種以上のタ
ーゲット化学種に所望時間曝露されるように横速度で反
応領域まで基板を移動させることができる。このように
して、基板表面上の第1物質と第2物質のターゲット化
学種への曝露は、反応領域でのガスの両方の滞留時間、
反応領域を通る基板の横速度、及び基板が反応領域を通
過する回数の関数である。
【0018】上記一方向インジェクタシステムと二方向
インジェクタシステムの好適実施態様においては、複数
のインジェクタ部分40のそれぞれが少なくとも2つの
末端面と伸長したガス供給面をもつ単一の伸長部分40
において伸長したインジェクタスロット14から形成さ
れている。伸長したガス供給面には、好ましくは、2つ
の丸みのついた側面領域42と、ガスが出る中央が溝の
領域又はインジェクタ20とが含まれている。ガスは、
1以上のガス供給管16によって各インジェクタ部分4
0の中に供給される。丸みのついた側面領域42と中央
の領域の幅全体は、約50〜200 mmの範囲にある。ガス供
給面は、反応領域20に直接面している伸長部分40の
長さに沿って伸びている。複数のインジェクタ部分40
のそれぞれは、隣接のインジェクタ部分40又はシステ
ムの隣接した基板荷重又は非荷重領域と排気口44によ
って分かれている。それぞれのベント部分44には、1
以上のガス供給管16を経て不活性ガスを供給する中央
30か又は末端32のバッファガスインジェクタスロッ
トが組込まれている。各ベント部分44は、前面、裏
面、上面及び端面と、外部底面50とをもつ単一部分を
含んでいる。
【0019】外部面50は、通常は、平面領域51と少
なくとも1の輪郭に合った側面領域52とが含まれてい
る。輪郭に合った側面領域52は、丸みのついた排気口
22がインジェクタ部分40とベント部分44との間に
形成されるように隣接のインジェクタ部分の丸みのつい
た側面領域42に隣接して配置され、また、離れて隔置
されている。本発明者らは、かかる構造がガスの再循環
を減少させ、反応領域20全体に層流を促進させること
がわかった。複数のインジェクタ部分40の反応領域2
0から排出されたガスは、排気口ライン60を備えた排
気マニホールド58を通ってシステムから除去される。
すべてのバッファガスインジェクタスロット30、32
は、本発明の垂直方式でそれぞれのベント部分44の外
部面50を出るように配置される。排気マニホールド5
8は、分岐“煙突”部分を加えることによって従来技術
のマニホールドに相対して洗練されてきた。更に、本発
明のインジェクタにおける排気口ライン60は、好まし
くは2つの別個の通路に分かれている。これにより、一
方の通路にはエッチング洗浄ガスを導入し、もう一方の
通路には反応性ガスの副生成物を同時に排出することが
可能である。
【0020】本発明の他の実施態様は、基板表面の第1
物質領域上に第2物質領域上より速い速度で膜を選択的
に堆積する方法を提供する。反応ガスは、第1流速で反
応領域に送られる。容積のある反応領域においては、反
応ガスは、基板表面の第1物質上に表面の第2物質と他
の物質に相対して選択的に堆積する化学種を含んでいる
混合物を形成する。また、混合物中には、堆積していな
い酸化ケイ素や他の反応副生成物や未反応試薬のような
他の廃ガスが含まれている。このガス混合物は、反応領
域から排気流速で、好ましくは1以上の排気通路を通っ
て排出される。反応領域の容積に相対する排気流速の割
合を制御することにより、反応領域内の1以上の反応ガ
スの滞留時間を基板表面の第1物質上に第2物質上より
速い速度で堆積するターゲット化学種の生成を促進させ
るように制御し得る。インジェクタによって供給されか
つ排気通路を通って排出されるガスフローが安定化され
るとともにインジェクタと1以上の排気通路との間の距
離の関数として化学種濃度の定常状態プロファイルが決
定されるとすぐに、基板がその表面を1種以上のターゲ
ット化学種に曝露するために1回以上反応領域まで移動
する。1種以上の反応ガスは、好ましくは、有機ケイ素
化合物、例えば、TEOSと酸化化合物、例えば、オゾ
ンを供給する1種以上のガス供給管によって送り込まれ
るリニアインジェクタを経て送られる。リニアインジェ
クタスロットが用いられる場合、排気通路は、上記一方
向又は二方向CVDシステム実施態様に関する伸長部分
の伸長スロットである。
【0021】反応領域の大きさを増大させると、反応領
域が処理すべき基板よりほとんど大きくなり得ないため
に非リニアインジェクタでは基本的に制限される。リニ
アインジェクタシステムにおいては、基板が反応領域ま
で移動されることから基板の大きさから反応領域の大き
さを切り離すことが可能である。選択的堆積に関与する
ゆっくりと形成する化学種の生成は、図6に概念で示さ
れているようにガス入口からの距離でピークでなければ
ならない。変換は、例えば、TEOSとO3反応成分の
SiOx/SiO2への変換は、おそらく一連の中間化学種
によって進行すると思われる。選択的堆積に関与する化
学種は、非選択的化学種より後の時間に生成すると思わ
れる。図6に示されるように従来技術と相対する本発明
のインジェクタシステムと方法の反応領域が広くなる
と、これらの選択的堆積化学種の生成に多くの時間が見
込まれる。選択的堆積特性を有する化学種を生成するの
に必要な長時間の滞留時間が基板の大きさを超える場合
には、所望の化学種は基板の縁を超えて生成するので堆
積しない。従って、必要とされる堆積化学種が基板を超
えて生成しても、実用的価値はない。これは、基板全体
が堆積領域に同時に曝露されるシャワヘッド型反応領域
の限界である。“シャワヘッド”型における反応領域
は、基板よりすでにわずかに大きく、基板は中央にあ
る。そのようなインジェクタシステムにおける反応領域
の大きさが大きくなると、長い滞留時間の化学種が基板
にほとんど曝露しない結果となる。
【0022】リニアインジェクタの堆積領域が“十分な
通過”と相まって、堆積領域を制限する基板の大きさの
解決が得られる。十分な通過コーティングは、基板の大
きさによって制限されないガス流路をもつ堆積領域を可
能にする。十分な通過堆積においては、CVDガスはイ
ンジェクタ、次に反応領域に送られ、熱い基板が堆積領
域の外側で待ちながら経時安定化することが可能であ
る。反応領域を通る距離の関数として定常状態濃度プロ
ファイルの開発によって証明された、ガスの安定化後、
リニア移動システム、例えば、コンベヤベルト、可動式
トレー又はボート、一連の制御可能なローラ、又は基板
を支持移動するための類似のメカニズムが、基板が堆積
ゾーンを完全に通過するまで基板に堆積領域を通過させ
る。堆積領域を完全に出た後、基板の進行方向を逆転す
ることができ、基板は再び堆積領域まで完全に移動させ
ることができる。この“後方と前方”移動は、必要とさ
れる膜の深さを堆積するのに必要とされる回数だけ繰返
し得る。図7Aと図7Bは、従来技術のリニアインジェ
クタによるCVDシステム、例えば、米国特許第6,022,
414号に記載されたインジェクタと本発明の代表的な二
方向インジェクタ実施態様との間のいくつかの違いを示
す図である。
【0023】本発明によれば、堆積領域又は反応領域2
0の幅は、ベント部分44の反対の輪郭に合った側面領
域52の間で“向かい合った”距離が特徴である。その
幅は、かなり大きくすることができるので、堆積領域か
らガスの除去速度を最大にすることを教示している従来
技術と対照的であるガスの滞留時間を長くする。例え
ば、向かい合った距離の幅は、図7Aに示された従来技
術システムでは約30 mmであるが、図7Bに示された本
発明では約70 mm以上である。流れがより長い又はより
短い通路を本発明のシステムに用いることができ、任意
の適用に用いられるガスや基板に左右される。実施態様
においては、反応領域20の幅は、約50〜200 mmの範囲
にある。更に好ましくは、反応領域20の幅は、約65〜
100 mmの範囲にある。本発明のシステムのベント部分4
4の輪郭に合った側面領域52の間隔を支配している重
要なパラメーターは、再循環しない層流が反応領域20
まで維持され得る選択的に堆積する化学種の生成やイン
ジェクタと排出の流速範囲を促進させるのに必要な反応
ゾーンにおける反応性ガスの滞留時間であり、当業者は
そのすべてを本明細書の教示に基づく実験を過度にせず
に求め得る。
【0024】本発明の丸みのついた側面領域42は、本
発明の好適実施態様の重要な開発である。従来技術にお
いては、反応領域は非常に狭く、排気通路の輪郭は本発
明より急に湾曲している。従来技術のインジェクタ装置
における小さな丸みのついた側面領域140の理由は、
図6に示された概念の堆積化学種モデルからのモデリン
グ結果を示すことにより理解することができる。従来技
術のインジェクタシステムは、基板表面全体に一様なバ
ルク堆積を促進させるように設計されている。それだけ
で、化学種を選択的に堆積する前に堆積領域から反応性
ガス混合物を排出することに応用すると、これらの化学
種が基板表面のある領域に基板表面の他の領域より速い
速度で蓄積する傾向があることから高収率が生じるとい
う点で有利である。対照的に、本発明は、表面の他の領
域、例えば、SiNYマスク層に相対して、基板表面のあ
る領域上に堆積を増強するための化学種、例えば、表面
のトレンチ形状の側面と底面に曝露したSiOxの形成を
促進させるために活発に探求したものである。反応領域
20が増大すると、選択的堆積に最後に関与する化学種
の形成が促進される。隣接のインジェクタスロット14
の間が約300 mmまで離れている長い堆積領域は、代替的
インジェクタ本体に用いるのに好ましい。
【0025】TEOSとO3とを化学反応させてSiOx
を形成するメカニズムは、非常に複雑である。例えば、
ASML US社のサーマルシステムズ TEOS-O3
学モデルは、30種の化学反応についてうまく含有してい
る。これらの中間化学薬品の少数だけが選択的堆積に関
与する。従って、その生成を最大にすることは、所望の
選択的挙動の鍵であった。更に、堆積温度又は圧力のよ
うなプロセス条件は別として、堆積領域での化学薬品の
“滞留時間”は、選択的堆積を生じる化学種の形成に重
要であると予想された。化学ガスインジェクタの物理的
構造は、いくつかの方法で滞留時間に影響した。米国特
許第6,022,414号のような狭い堆積領域によって、選択
的でない膜をつくる化学種の量は増加し、全堆積速度は
大きくなる。本発明者らは、広い堆積領域がボトムアッ
プトレンチ充填をもたらす選択的堆積に必要とされる化
学種の形成のための時間増加を可能にすることを発見し
た。
【0026】上記のように、従来のSTIプロセス順序
(従来技術においては“プロセスモジュール”と呼ばれ
る)には、費用がかかり時間を要する“逆活性”マスク
の使用が必要である。本発明は、逆活性マスクの必要を
省略するためにCVD反応の選択的/優先的堆積の特性
を促進させる方法及びCVDシステムを提供する。本発
明のこの方法は、孤立トレンチを“ボトムアップから充
填させる”ものである。ボトムアップ充填により、熱酸
化物ライナのUSG堆積後形成と相まって逆活性マスク
の要求が省略される。この新規な方法は、図8Aと図8
Bに示されるようにSTIプロセスモジュールの複雑さ
を著しく減少させる一方、モジュールコストを50%だけ
低下させる。プロセスステップの数は、本発明のシステ
ムと方法を用いたときに6から4に減っている。半導体
製造の技術においては、これは非常に大幅な改善とみな
される。図8Bに示される本発明のインジェクタによっ
て与えられる本発明のプロセス順序は、図8Aに示され
る従来技術のプロセスと比較したときに50%を超えるコ
ストだけ生産コストを下げることができる。本発明の装
置は、好ましくは、譲受人によって所有されている米国
特許第6,387,764号に記載された方法と共に用いること
もでき、その明細書の開示は本願明細書に含まれるもの
とする。特に、本発明のインジェクタとシステムは、プ
ロセス順序の生産性を向上させる選択的堆積化学種の量
を著しく増加させる。本発明の具体的実施態様の次の2
つの詳細な説明は、本発明の利点と特徴を例示し説明す
るために示される。決して本発明の範囲を限定さもなけ
れば制限するものではない。
【0027】二方向インジェクタシステムは、1種以上
のガス状有機ケイ素化合物、例えば、テトラエチルオル
トシリケートと、1種以上の酸化化合物、例えば、オゾ
ンを基板表面12上の反応領域20に送るために提供さ
れる。インジェクタ部分40は、少なくとも2つの末端
面と伸長した外部ガス供給面が丸みのついた側面領域4
2と中央が溝の領域を含んでいる単一の伸長部分からつ
くられている。丸みのついた側面領域42と中央領域の
全幅は、好ましくは約50〜200 mmの範囲にある。ガス供
給面は、基板表面12に直接面しているインジェクタ部
分40の長さに沿って伸長している。ほぼ一定の幅の少
なくとも伸長した細い第1インジェクタスロット14
は、伸長した単一部分に形成され、ガスを受容するため
の末端面の間に伸長している。伸長しているこのインジ
ェクタスロット14は、塞がれていない連続方式で分配
するガスを反応領域20へ運搬する。ほぼ一定の幅の少
なくとも2つの伸長した排気通路22は、伸長した部分
に形成されている。これらの排気通路22は、丸みのつ
いた側面領域42のそれぞれのエッジ部に沿って直接伸
長し、中央が溝の領域からできるだけ離れて位置してい
る。排気通路によって、廃ガスの副生成物が反応領域2
0から除去される。任意により、二方向システムには、
更に、伸長したインジェクタ部分40に形成され、エッ
チング化学種を受容するための末端面の間に伸長してい
る伸長した第2通路と、インジェクタ部分40に形成さ
れ、排気通路32と第2インジェクタスロット14から
のエッチング化学種を運搬するとともに中央が溝の領域
から離れてインジェクタ部分40の側面に向って送られ
る反応領域へエッチング化学種を分配するためのガス供
給面の丸みのついた側面領域42との間に直接伸びてい
る細い伸長した第2インジェクタスロット14とが含ま
れてもよい。
【0028】二方向インジェクタシステムの任意の実施
態様においては、更に、ガスフローが1以上の排気通路
22では可逆的である。これらの可逆的排気口通路での
ガスフローは、ガスが排気口通路の少なくとも1つにエ
ッチングガスを受容するために典型的な向きで流れるこ
とができるように逆転することができ、排気口通路の少
なくとも1つに内向きのガスフローは反応領域20から
の廃エッチング副生成物を除去するために典型的な向き
と反対の向きで同時に流れる。反応ガス(例えば、Si
とO3)スロット16内部の流れは、標準反応性ガス速
度の5%〜20%の少ない不活性ガス(N2)フローに変え
られる。その実施態様によれば、反応性ガスフローを止
め、約10%N2フローで置き換える。次に、エッチング
反応ガスを不活性ガス注入口32近傍の逆のフロー排出
スロット66へ導入する。次に、エッチングガスが内部
2分離注入口30に最も近い排出スロット22に達す
るまで、一方向のみで堆積領域20まで移動する。未反
応エッチング剤といまガス状の洗浄副生成物が図9に示
される2つの排出スロットと通って排出することにより
堆積領域20から除去される。エッチング洗浄化学反応
の詳細は、国際出願第0103858号に見出すことができ
る。エッチングフローは、好ましくは反応領域20まで
両方の向きでもよく、隣接したフローセルにおいては同
じ向き又は鏡のように反映した向きでもよい。
【0029】代替的実施態様においては、ガス状有機ケ
イ素化合物、例えば、テトラエチルオルトシリケート
と、1種以上の酸化剤、例えば、オゾンを反応領域の基
板表面に送るための一方向インジェクタシステムが提供
される。インジェクタシステムには、少なくとも2つの
末端面42をもつ伸長した単一のインジェクタ部分40
と、1つの丸みのついた側面領域とエッジ部が溝の領域
を含んでいる伸長した外部ガス供給面とが含まれてい
る。丸みのついた側面領域とエッジ部が溝の領域の全幅
は、好ましくは約25〜100 mmの範囲にある。ガス供給面
は、基板に直接面している伸長した部分の長さに沿って
伸長している。少なくとも第1の伸長した通路又はイン
ジェクタスロット14は、前記伸長した部分につくられ
ている。インジェクタスロット14は、ガスを受容する
ための末端面の間に伸長している。伸長した部分には、
伸長した第1通路の間に直接伸びているほぼ一定の幅の
少なくとも第1の伸長した細い分配スロットと、反応領
域20へ塞がれていない連続方式で分配するために伸長
した通路から直接ガスを運搬するためのガス供給面のエ
ッジ部が溝の領域が形成されている。ほぼ一定の幅の少
なくとも1つの伸長した第2排気通路32は、反応領域
20から廃ガス副生成物を除去するために伸長した部分
に形成されている。丸みのついた側面領域42のエッジ
部に沿って直接伸長し、エッジ部が溝の領域からできる
だけ離れて位置している。
【0030】一方向インジェクタシステムの任意の実施
態様においては、システムにはエッチング化学種を受容
するために末端面の間に伸びている伸長したインジェク
タ部分に形成された少なくとも第2の排気通路が含まれ
ている。少なくとも第2の伸長した細いインジェクタス
ロットは、単一の伸長した部分に形成されている。少な
くとも1つの伸長した第2通路と、伸長した第2通路か
らエッチング化学種を運搬するとともにエッジが溝の領
域から離れてかつインジェクタ部分40の側面に向って
送られる伸長した外部ガス供給面に沿ってエッチング化
学種を分配するためのガス供給面の丸みのついた側面領
域との間に直接伸びている。
【0031】実験 性能基準全体を調べるために上で開示された本発明の種
々の実施態様の試験を行った。これらの基準には、微粒
子の生成、膜の収縮、インサイチュインジェクタ洗浄の
容易さ、又は十分に開発された二方向フロープロセスパ
ラメータの拡張を含む所望の選択的堆積を超えた多くの
要因が含まれている。図10Aと図10B及び図11A
と図11Bは、広い堆積領域が堆積の選択性を管理する
ために使用し得ることを意味している実験結果を示す図
である。図10Aのパネルi、ii、及びiiiに示された走
査型電子顕微鏡(SEM)の写真は、図7Aに示された
標準的CVD用に形成された従来技術のインジェクタか
ら左下のケイ素表面と右上のSiNy表面をもつ広いステ
ップのミクロ構造についての静的基板堆積を示す写真で
ある。リニアインジェクタは、水平装置の中心線の両側
の約30 mmに位置している(図10Bのx軸については0
mm)。即ち、ガス噴出口の中心線は、図10Bにおいて
は‐30 mmと+30 mmに位置している。図10AのSEM
写真と図10Bの積算された膜の厚さトレースが証明し
ているように、膜堆積は2つのリニアインジェクタのそ
れぞれのすぐ下の反応領域に局在している。反応性化学
種は排気通路を通って反応領域から効率よく除去される
ので、2つの反応領域の間の領域では堆積がほとんどゼ
ロまで落ちている。3枚の静的プリントサブ領域(図1
0Bのトレース1、2、及び3)は、選択的堆積を示し
ていない。
【0032】図10Aと図10Bは、それぞれ図10A
に示されたものと同じ基板のSEM写真と堆積速度と積
算された膜の厚さのトレースを示している。この実験に
おいては、図12に示されている本発明の一方向インジ
ェクタを用いた。この場合の一方向堆積領域20の物理
的幅は、約75 mmであった。化学堆積幅を示している図
11Bのグラフのゼロでない部分が物理的幅に極めて近
い約78 mmであることに留意されたい。図11Aのパネ
ルi、ii、及びiiiに示されるように、基板のSiOx
域はSiNY領域より堆積した膜が厚い層を蓄積してい
る。図11Bは、静的基板上の位置の関数として堆積速
度が図10Bに示されていないテールがどうしてあるか
を示しているグラフである。更に、積算された厚さのト
レースは、ガス噴出口の中心線を約60 mm〜70 mmも離れ
て膜の厚さの連続する蓄積を示している(図11Bの‐
30 mmでわかる)。図11Bの3つのインジェクタプリ
ント領域すべてが少なくとも選択的挙動を示している。
サブ領域3の場所は、選択性がインジェクタ出口の中心
線から15 mmを幾分超えて始まることを示している。本
発明は、一部には、リニアインジェクタ堆積の幅を伸長
することにより選択的堆積を提供する。図11Bの選択
的堆積は、図10Bのバルク堆積と比べたときにサブ領
域3と関連がある“よじれ”、サブ領域2を画成してい
る中程度に傾斜した“リニア”領域、及びサブ領域1の
浅い傾斜の“テール”に関係している。図10AのSE
M写真は、サブ領域3からサブ領域に移動したときに、
選択性が向上し、堆積速度が低下することを意味してい
る。従って、堆積領域20の幅は、高選択的と高堆積速
度の相互の独占的組合わせを最適にするために調整しな
ければならない。
【0033】上記詳細な説明で述べたように、選択的堆
積領域内の流れは、好ましくは、図4Aに示されたよう
に一方向であってもよく、図4Bに示されたように二方
向であってもよい。図4Aと図4Bいずれにおいても、
“CFDモデリング領域”と標識された点線の囲みは、
次のようにガス再循環のない流路を確実にするためにコ
ンピュータ流体力学(CFD)モデリングによって調べ
られた流路を意味している。本発明のCVDシステムと
インジェクタは、CFDリサーチコーポレーションと反
応デザインによるケムキン製の“CFD-ACE”ソフ
トウエアパッケージを用いてコンピュータ流体力学(C
FD)モデリングによって調べた。ASML US社ス
タッフによって開発された追加の演算手順も用いた。C
FDモデリングの主要な利点は、重要な堆積領域内のガ
ス再循環の予備ハードウエア排除である。再循環によっ
て空中の小さな粒子の生成が生じ得る。そのときに、こ
れらの粒子は、基板表面に落ちることがある。この種類
の微粒子の混入は、一般的には半導体処理工業において
電子回路操作に非常に有害なものとしてみなされてい
る。図13〜15は、それぞれ図4Aと図4Bに示され
た本発明の一方向(図13)の一例と二方向(図14と
図15)の一例のCFDモデリング結果を示す図であ
る。それぞれの場合において、流れ関数とモデル出力に
よって生成された詳細な速度ベクトルプロットは、再循
環が反応性ガス流路に起こらないことを示した。CFD
モデリングパッケージは、当該技術、例えば、CFDリ
サーチ社やフルーエント社において周知であり、通常の
コンピュータシミュレーションにより選択的堆積領域の
大きさと化学蒸着を最適にするために用いることができ
る。
【0034】このようにして、半導体工業に著しい改良
が得られた。具体的な実施態様は、個々の構造について
記載してきた。当業者は、特許請求の範囲の範囲内に包
含しつつ種々の変更や修正がなされ得ることを理解する
であろう。本発明の個々の実施態様と実施例の前述の説
明は、例示と説明のために示したものであり、本発明を
ある前述の例で示してきたが限定するものとして解釈さ
れるべきではない。本発明が開示された正確な形に網羅
され限定されるものでなく、上記教示を考慮して多くの
修正、実施態様、又は変更が可能であることは明らかで
ある。本発明の範囲は、本明細書に開示された包括的領
域を包含し、前述の特許請求の範囲とその均等物による
ものである。
【図面の簡単な説明】
【図1】図1Aは、皿状化の問題を示している概略図で
あり、図1Bは、従来のSiOxを充填した浅いトレンチ
の孤立(STI)プロセス順序において用いられた費用
のかかる“逆活性”マスクステップの要求がどのような
結果となるかを示している概略図である。
【図2】典型的な浅いトレンチの孤立デバイス構造を示
している断面図である。
【図3】本発明の実施態様の排気通路を通って排出され
る前に反応する反応ガスの反応時間が延長された本発明
の実施態様のCVDシステムの概略図である。
【図4】図4Aは、本発明のシステムの一方向インジェ
クタの実施態様の断面図であり、図4Bは、本発明のシ
ステムの二方向インジェクタ実施態様の断面図である。
【図5】2つの出口をもつ本発明のシステムの実施態
様、二方向インジェクタアセンブリの側立断面図であ
る。
【図6】従来技術のCVDシステムとインジェクタと本
発明のCVDシステムとインジェクタのインジェクタ出
口からの距離を関数として化学種の理論濃度示すグラフ
である。
【図7】図7Aは、従来技術の堆積領域の反応性ガス流
路であり、図7Bは、本発明の堆積領域の反応性ガス流
路であり、それらの間の差を示している断面図である。
【図8】図8Aは、従来のプロセスの複雑なSTIプロ
セス順序を示す図であり、図8Bは、対照的に、図5に
示されたシステムを用いて本発明によって得られたプロ
セス順序を示す図である。
【図9】本発明の実施態様に従ってエッチング剤洗浄を
行うために本発明の二方向CVD装置がどのように形成
することができるかを一例として示している概略図であ
る。
【図10】図10Aは、従来技術のインジェクタを用い
た選択的堆積を与える試みの結果を示すSEMの写真で
あり、図10Bは、従来技術のインジェクタを用いた選
択的堆積を与える試みのチャート結果である。
【図11】図11Aは、本発明の実施態様の方法とシス
テムを用いた選択的堆積のSEM写真であり、図11B
は、本発明の実施態様の方法とシステムを用いた選択的
堆積のチャート結果である。
【図12】図11Aと図11Bに示されたデータを与え
るために用いられたインジェクタ構造の概略図である。
【図13】図4Aのシステムのコンピュータ流体力学
(CFD)モデリング結果を示す図である。
【図14】図4BのシステムのCFDモデリング結果を
示す図である。
【図15】二方向インジェクタを用いた本発明のシステ
ムの実施態様の追加のCFDモデリング結果を示す図で
ある。
【符号の説明】
10…CVDシステム、12…基板、14…インジェク
タ、16…ガス供給管、20…反応領域、22…排気
口、24…上壁、26…基板支持体、30…中央のバッ
ファガスインジェクタ、32…末端のバッファガスイン
ジェクタ、40…伸長したインジェクタ部分、42…丸
みのついた側面領域、44…ベント部分、50…外部底
面、51…平面領域、52…輪郭に合った側面領域、5
8…排気マニホールド、60…排気口ライン、66…逆
のフロー排出スロット。
─────────────────────────────────────────────────────
【手続補正書】
【提出日】平成14年12月3日(2002.12.
3)
【手続補正1】
【補正対象書類名】明細書
【補正対象項目名】図面の簡単な説明
【補正方法】変更
【補正内容】
【図面の簡単な説明】
【図1A】皿状化の問題を示している概略図である。
【図1B】従来のSiOxを充填した浅いトレンチの孤立
(STI)プロセス順序において用いられた費用のかか
る“逆活性”マスクステップの要求がどのような結果と
なるかを示している概略図である。
【図2】典型的な浅いトレンチの孤立デバイス構造を示
している断面図である。
【図3】本発明の実施態様の排気通路を通って排出され
る前に反応する反応ガスの反応時間が延長された本発明
の実施態様のCVDシステムの概略図である。
【図4A】本発明のシステムの一方向インジェクタの実
施態様の断面図である。
【図4B】本発明のシステムの二方向インジェクタの実
施態様の断面図である。
【図5】2つの出口をもつ本発明のシステムの実施態
様、二方向インジェクタアセンブリの側立断面図であ
る。
【図6】従来技術のCVDシステムとインジェクタと本
発明のCVDシステムとインジェクタのインジェクタ出
口からの距離を関数として化学種の理論濃度示すグラフ
である。
【図7A】従来技術の堆積領域の反応性ガス流路であ
る。
【図7B】本発明の堆積領域の反応性ガス流路であり、
それらの間の差を示している断面図である。
【図8A】従来のプロセスの複雑なSTIプロセス順序
を示す図である。
【図8B】対照的に、図5に示されたシステムを用いて
本発明によって得られたプロセス順序を示す図である。
【図9】本発明の実施態様に従ってエッチング剤洗浄を
行うために本発明の二方向CVD装置がどのように形成
することができるかを一例として示している概略図であ
る。
【図10A】従来技術のインジェクタを用いた選択的堆
積を与える試みの結果を示すSEMの写真である。
【図10B】従来技術のインジェクタを用いた選択的堆
積を与える試みのチャート結果である。
【図11A】本発明の実施態様の方法とシステムを用い
た選択的堆積のSEM写真である。
【図11B】本発明の実施態様の方法とシステムを用い
た選択的堆積のチャート結果である。
【図12】図11Aと図11Bに示されたデータを与え
るために用いられたインジェクタ構造の概略図である。
【図13】図4Aのシステムのコンピュータ流体力学
(CFD)モデリング結果を示す図である。
【図14】図4BのシステムのCFDモデリング結果を
示す図である。
【図15】二方向インジェクタを用いた本発明のシステ
ムの実施態様の追加のCFDモデリング結果を示す図で
ある。
フロントページの続き (72)発明者 ニティン ケイ イングル アメリカ合衆国 カリフォルニア州 95008 キャンベル ウェスト ハミルト ン アベニュー 999 (72)発明者 ロバート エス マーフィ アメリカ合衆国 カリフォルニア州 95065 サンタ クルーズ エルク スト リート 118 (72)発明者 コルビィ ディ マットソン アメリカ合衆国 カリフォルニア州 95062 サンタ クルーズ オーウェン ストリート 218 (72)発明者 サミュエル エス クリタ アメリカ合衆国 カリフォルニア州 95066 スコッツ ヴァリー バジャ ソ ル ドライヴ 109 Fターム(参考) 4K030 AA01 AA14 BA44 CA04 EA03 FA10 GA04 GA12 JA01 KA49 LA15 5F045 AA03 AB32 AC00 AC09 AE29 AF03 EF01

Claims (13)

    【特許請求の範囲】
  1. 【請求項1】 少なくとも第1物質の領域と少なくとも
    第2物質の領域をもつ基板表面上に膜を堆積するための
    化学気相成長システムであって、 1種以上のガスを反応領域に供給し、1種以上の前記ガ
    スの前記反応領域における滞留時間が1種以上の前記ガ
    スの反応によって1種以上のターゲット化学種を形成す
    ることを十分促進させるだけの時間であり、1種以上の
    前記ターゲット化学種が前記第2物質上より速い速度で
    前記第1物質上に堆積するインジェクタを含む、前記化
    学気相成長システム。
  2. 【請求項2】 前記滞留時間が前記反応領域の容積と前
    記反応領域からのガスの排出速度の関数である、請求項
    1記載の化学気相成長システム。
  3. 【請求項3】 前記反応領域の容積が少なくとも上壁と
    基板支持体によって画成され、前記上壁まで前記インジ
    ェクタによって送られる1種以上の前記ガスが前記反応
    領域の容積に受容される、請求項1記載の化学気相成長
    システム。
  4. 【請求項4】 前記基板表面が1種以上の前記ターゲッ
    ト化学種に前記滞留時間と横速度双方の関数である所望
    時間曝露するように少なくとも1回前記基板支持体を前
    記反応領域まで横速度で移動させるためのコンベヤメカ
    ニズムを更に含んでいる、請求項3記載の化学気相成長
    システム。
  5. 【請求項5】 前記インジェクタが1種以上の前記ガス
    が送られる伸長したガス供給スロットを含んでいる、請
    求項1記載の化学気相成長システム。
  6. 【請求項6】 前記伸長したガス供給スロットとほぼ平
    行に配列したチャネルを含む、前記反応領域の容積から
    の排出ガスを受容するための少なくとも第1排気通路
    と、 前記インジェクタスロットと前記排気通路にほぼ垂直の
    向きに前記基板表面を前記反応チャンバまで少なくとも
    1回移動させるためのコンベヤメカニズムとを更に含ん
    でいる、請求項5記載の化学気相成長システム。
  7. 【請求項7】 前記インジェクタが、 少なくとも2つの末端面と伸長した外部ガス供給面を有
    する伸長した単一部分を更に含んでいる、請求項6記載
    の化学気相成長システム。
  8. 【請求項8】 前記伸長した外部ガス供給面が丸みのつ
    いた側面領域と中央の領域の全幅が約50〜200 mmの範囲
    にある、2つの丸みのついた側面領域と中央が溝の領域
    を更に含み、前記ガス供給面が前記反応領域に直接面し
    ている前記部分の長さに沿って伸びている、請求項7記
    載の化学気相成長システム。
  9. 【請求項9】 1種以上の前記ガスの1種がテトラエチ
    ルオルトシリケートである、請求項1記載の化学気相成
    長システム。
  10. 【請求項10】 1種以上の前記ガスの1種がオゾンで
    ある、請求項1記載の化学気相成長システム。
  11. 【請求項11】 前記基板が半導体ウエハである、請求
    項1記載の化学気相成長システム。
  12. 【請求項12】 少なくとも第1物質の領域と第2物質
    の領域をもつ基板表面上に膜を堆積する方法であって、 1種以上のガスを第1流速で反応領域の容積に送るステ
    ップと、 1種以上の反応成分の前記ガスを反応させて1種以上の
    ターゲット化学種と1種以上の廃ガスのガス混合物を形
    成するステップと、 前記反応領域からの前記ガス混合物を排気流速で排出さ
    せるステップと、 前記反応領域における1種以上の前記ガスの滞留時間が
    1種以上の前記ガスから1種以上のターゲット化学種を
    形成することを十分促進させるだけの時間であり、1種
    以上の前記ターゲット化学種が前記第1物質上に前記第
    2物質上より速い速度で堆積するように前記反応領域の
    容積に相対する前記排気流速と前記第1流速の割合を制
    御するステップと、 前記基板を少なくとも1回前記反応領域まで移動させて
    前記表面を1種以上の前記ターゲット化学種に曝露する
    ステップとを含む、前記方法。
  13. 【請求項13】 前記廃ガスが1種以上の前記反応ガス
    と、未反応の反応ガスと、堆積していないターゲット化
    学種との反応の副生成物を含んでいる、請求項12記載
    の方法。
JP2002320226A 2001-11-01 2002-11-01 選択的化学気相成長システム及び方法 Pending JP2003188160A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US33549401P 2001-11-01 2001-11-01
US60/335494 2001-11-01

Publications (1)

Publication Number Publication Date
JP2003188160A true JP2003188160A (ja) 2003-07-04

Family

ID=23312014

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002320226A Pending JP2003188160A (ja) 2001-11-01 2002-11-01 選択的化学気相成長システム及び方法

Country Status (5)

Country Link
US (2) US20030113451A1 (ja)
EP (1) EP1308537A3 (ja)
JP (1) JP2003188160A (ja)
KR (1) KR20030038396A (ja)
CN (1) CN1424429A (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016511797A (ja) * 2013-02-18 2016-04-21 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 空間分離原子層堆積のための装置およびプロセス閉じ込め

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20030038396A (ko) * 2001-11-01 2003-05-16 에이에스엠엘 유에스, 인코포레이티드 우선적인 화학 기상 증착 장치 및 방법
DE10314574B4 (de) * 2003-03-31 2007-06-28 Infineon Technologies Ag Verfahren zur Herstellung einer Grabenisolationsstruktur
US20070045239A1 (en) * 2005-08-31 2007-03-01 Micron Technology, Inc. Apparatus and method for processing a microfeature workpiece using a plasma
TWI275658B (en) * 2006-09-13 2007-03-11 Ind Tech Res Inst Method of improving surface frame resistance of a substrate
US8398770B2 (en) * 2007-09-26 2013-03-19 Eastman Kodak Company Deposition system for thin film formation
SG153674A1 (en) * 2007-12-11 2009-07-29 Nanyang Polytechnic A method of doping and apparatus for doping
US20100212591A1 (en) * 2008-05-30 2010-08-26 Alta Devices, Inc. Reactor lid assembly for vapor deposition
US20100206229A1 (en) * 2008-05-30 2010-08-19 Alta Devices, Inc. Vapor deposition reactor system
JP5445044B2 (ja) * 2008-11-14 2014-03-19 東京エレクトロン株式会社 成膜装置
JP2012521094A (ja) * 2009-03-16 2012-09-10 アルタ デバイセズ,インコーポレイテッド ウエハキャリアトラック
US8993056B2 (en) * 2009-12-17 2015-03-31 Savi Research, Inc. Method of gas distribution and nozzle design in the improved chemical vapor deposition of polysilicon reactor
US20120321910A1 (en) * 2010-01-12 2012-12-20 Sundew Technologies Llc Methods and apparatus for atomic layer deposition on large area substrates
FI20105905A0 (fi) * 2010-08-30 2010-08-30 Beneq Oy Suutinpää ja laite
FI124113B (fi) 2010-08-30 2014-03-31 Beneq Oy Laitteisto ja menetelmä substraatin pinnan muokkaamiseksi
KR20150078306A (ko) * 2013-12-30 2015-07-08 삼성디스플레이 주식회사 원자층 증착 장치 및 원자층 증착 방법
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10435788B2 (en) * 2017-03-14 2019-10-08 Eastman Kodak Deposition system with repeating motion profile
US10422038B2 (en) * 2017-03-14 2019-09-24 Eastman Kodak Company Dual gas bearing substrate positioning system
US10400332B2 (en) * 2017-03-14 2019-09-03 Eastman Kodak Company Deposition system with interlocking deposition heads
FI129700B (en) * 2017-10-18 2022-07-15 Beneq Oy Nozzle head
KR102595355B1 (ko) * 2017-12-28 2023-10-30 삼성디스플레이 주식회사 증착 장치 및 그것을 이용한 증착 방법
US11174553B2 (en) * 2018-06-18 2021-11-16 Applied Materials, Inc. Gas distribution assembly for improved pump-purge and precursor delivery
FR3084275B1 (fr) * 2018-07-30 2020-07-31 Centre Nat Rech Scient Tete et systeme compacts de depot en phase vapeur

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4834020A (en) * 1987-12-04 1989-05-30 Watkins-Johnson Company Atmospheric pressure chemical vapor deposition apparatus
US5136975A (en) * 1990-06-21 1992-08-11 Watkins-Johnson Company Injector and method for delivering gaseous chemicals to a surface
US5122391A (en) * 1991-03-13 1992-06-16 Watkins-Johnson Company Method for producing highly conductive and transparent films of tin and fluorine doped indium oxide by APCVD
US5393563A (en) * 1991-10-29 1995-02-28 Ellis, Jr.; Frank B. Formation of tin oxide films on glass substrates
TW359943B (en) * 1994-07-18 1999-06-01 Silicon Valley Group Thermal Single body injector and method for delivering gases to a surface
US6200389B1 (en) * 1994-07-18 2001-03-13 Silicon Valley Group Thermal Systems Llc Single body injector and deposition chamber
US6022414A (en) * 1994-07-18 2000-02-08 Semiconductor Equipment Group, Llc Single body injector and method for delivering gases to a surface
JP2758845B2 (ja) * 1995-02-21 1998-05-28 九州日本電気株式会社 プラズマcvd装置
US5518959A (en) * 1995-08-24 1996-05-21 Taiwan Semiconductor Manufacturing Company Method for selectively depositing silicon oxide spacer layers
KR200149908Y1 (ko) * 1996-07-13 1999-06-15 구본준 가스 분사기
US20010012675A1 (en) * 1998-04-20 2001-08-09 Shye-Lin Wu Shallow trench isolation process
US6265289B1 (en) * 1998-06-10 2001-07-24 North Carolina State University Methods of fabricating gallium nitride semiconductor layers by lateral growth from sidewalls into trenches, and gallium nitride semiconductor structures fabricated thereby
KR20000027055A (ko) * 1998-10-26 2000-05-15 윤종용 화학기상증착장비 및 그 장비를 사용한 화학기상증착방법
KR20000038764A (ko) * 1998-12-09 2000-07-05 신현준 반도체 웨이퍼의 박막증착용 가스주입장치
US6143080A (en) * 1999-02-02 2000-11-07 Silicon Valley Group Thermal Systems Llc Wafer processing reactor having a gas flow control system and method
US6610150B1 (en) * 1999-04-02 2003-08-26 Asml Us, Inc. Semiconductor wafer processing system with vertically-stacked process chambers and single-axis dual-wafer transfer system
WO2000060659A1 (en) * 1999-04-02 2000-10-12 Silicon Valley Group, Thermal Systems Llc Improved trench isolation process to deposit a trench fill oxide prior to sidewall liner oxidation growth
US6206973B1 (en) * 1999-04-23 2001-03-27 Silicon Valley Group Thermal System Llc Chemical vapor deposition system and method
AU5144100A (en) * 1999-05-21 2000-12-12 Silicon Valley Group Thermal Systems, Llc Protective gas shield apparatus
US6171948B1 (en) * 1999-11-02 2001-01-09 Micron Technology, Inc. Method for filling structural gaps and intergrated circuitry
KR20030038396A (ko) * 2001-11-01 2003-05-16 에이에스엠엘 유에스, 인코포레이티드 우선적인 화학 기상 증착 장치 및 방법

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016511797A (ja) * 2013-02-18 2016-04-21 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 空間分離原子層堆積のための装置およびプロセス閉じ込め

Also Published As

Publication number Publication date
EP1308537A3 (en) 2004-03-31
US20040231588A1 (en) 2004-11-25
CN1424429A (zh) 2003-06-18
US20030113451A1 (en) 2003-06-19
EP1308537A2 (en) 2003-05-07
KR20030038396A (ko) 2003-05-16

Similar Documents

Publication Publication Date Title
JP2003188160A (ja) 選択的化学気相成長システム及び方法
KR102323167B1 (ko) 프로세싱 챔버 내에서의 퍼징 및 플라스마 억제를 위한 방법 및 장치
KR102385122B1 (ko) 기판 처리 장치 및 기판 처리 방법
KR940009945B1 (ko) 화학기상 성장장치
KR100481441B1 (ko) 반도체 장치의 제조방법 및 반도체 제조장치
EP1687460B1 (en) Method and apparatus for fabricating a conformal thin film on a substrate
US6079353A (en) Chamber for reducing contamination during chemical vapor deposition
US6793733B2 (en) Gas distribution showerhead
US20130269612A1 (en) Gas Treatment Apparatus with Surrounding Spray Curtains
JP2005136408A (ja) 化学気相蒸着反応器
KR20210046839A (ko) 원자 층 증착 챔버들을 위한 덮개들 및 덮개 키트들
KR20110088544A (ko) 반응 챔버
US10381461B2 (en) Method of forming a semiconductor device with an injector having first and second outlets
CN111465714B (zh) 成膜装置
US20180202043A1 (en) Gas supply system, substrate processing apparatus, and method of manufacturing semiconductor device
US20240003010A1 (en) Backside deposition and local stress modulation for wafer bow compensation
US20130220222A1 (en) Gas Distribution Apparatus with Heat Exchanging Channels
TWI502096B (zh) 用於化學氣相沉積的反應裝置及反應製程
KR100795487B1 (ko) 층류유동제어장치 및 이를 구비한 화학기상증착반응기
US20190233968A1 (en) Gas injector for chemical vapor deposition system
JP2943407B2 (ja) 化学気相成長装置
US20230100076A1 (en) Substrate processing apparatus, method of manufacturing semiconductor device and non-transitory computer-readable recording medium
JP2000208425A (ja) 半導体装置の製造方法、半導体装置の製造装置ならびにウェ―ハ支持治具及び出入れ治具
US20230260759A1 (en) Integration of vapor deposition process into plasma etch reactor
JPH1192940A (ja) 半導体や超伝導材料などの材料の形成装置

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20050915

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060104

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20060612