JP2002184683A - Wafer-heating device - Google Patents

Wafer-heating device

Info

Publication number
JP2002184683A
JP2002184683A JP2000385443A JP2000385443A JP2002184683A JP 2002184683 A JP2002184683 A JP 2002184683A JP 2000385443 A JP2000385443 A JP 2000385443A JP 2000385443 A JP2000385443 A JP 2000385443A JP 2002184683 A JP2002184683 A JP 2002184683A
Authority
JP
Japan
Prior art keywords
wafer
heat equalizing
equalizing plate
heating
main surface
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2000385443A
Other languages
Japanese (ja)
Other versions
JP3906026B2 (en
Inventor
Koichi Hayashi
孝一 林
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Kyocera Corp
Original Assignee
Kyocera Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Kyocera Corp filed Critical Kyocera Corp
Priority to JP2000385443A priority Critical patent/JP3906026B2/en
Publication of JP2002184683A publication Critical patent/JP2002184683A/en
Application granted granted Critical
Publication of JP3906026B2 publication Critical patent/JP3906026B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Abstract

PROBLEM TO BE SOLVED: To resolve the problem of damage in uniformity in film formation such as resist, which is caused by a large difference in the temperature distribution of a wafer surface in a transient state of installing a wafer on a soaking plate and heating it, since a space between a wafer and a soaking plate is irregular, due to warpage of a soaking plate in the conventional wafer heating device. SOLUTION: In a wafer-heating device, one main surface of a soaking plate consisting of ceramic is made a mounting surface of a wafer, and heat generation resistor is provided to the other main surface or an inside, and the other main surface is provided with a feed part electrically connected to the heat generation resistor. A fixing metal part is installed in a recessed part provided to a wafer mounting surface, and a guide for carrying out positioning of a wafer is fixed to the fixing metallic part.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、主にウエハを加熱
するのに用いるウエハ加熱装置に関するものであり、例
えば、半導体ウエハや、液晶基板等あるいは回路基板等
のウエハ上に半導体薄膜を生成したり、前記ウエハ上に
塗布されたレジスト液を乾燥焼付けしてレジスト膜を形
成するのに好適なものである。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a wafer heating apparatus mainly used for heating a wafer, for example, a method of forming a semiconductor thin film on a wafer such as a semiconductor wafer, a liquid crystal substrate or a circuit substrate. Alternatively, it is suitable for forming a resist film by drying and baking a resist solution applied on the wafer.

【0002】[0002]

【従来の技術】例えば、半導体製造装置の製造工程にお
ける、半導体薄膜の成膜処理、エッチング処理、レジス
ト膜の焼き付け処理等においては、半導体ウエハ(以
下、ウエハと略す)を加熱するためにウエハ加熱装置が
用いられている。
2. Description of the Related Art For example, in a semiconductor thin film forming process, an etching process, a resist film baking process, etc. in a manufacturing process of a semiconductor manufacturing apparatus, a semiconductor wafer (hereinafter abbreviated as "wafer") is heated to heat a semiconductor wafer. The device is used.

【0003】従来の半導体製造装置は、まとめて複数の
ウエハを成膜処理するバッチ式のものが使用されていた
が、ウエハの大きさが8インチから12インチと大型化
するにつれ、処理精度を高めるために、一枚づつ処理す
る枚葉式と呼ばれる手法が近年実施されている。しかし
ながら、枚葉式にすると1回当たりの処理数が減少する
ため、ウエハの処理時間の短縮が必要とされている。こ
のため、ウエハ支持部材に対して、ウエハの加熱時間の
短縮、ウエハの吸着・脱着の迅速化と同時に加熱温度精
度の向上が要求されていた。
A conventional semiconductor manufacturing apparatus uses a batch-type apparatus for forming a plurality of wafers at a time. However, as the size of a wafer increases from 8 inches to 12 inches, the processing accuracy increases. In order to increase the quality, a technique called a single-wafer processing that processes one sheet at a time has been implemented in recent years. However, in the case of the single-wafer method, the number of processes per one process is reduced, so that the processing time of the wafer is required to be shortened. For this reason, it has been required for the wafer support member to shorten the heating time of the wafer, speed up the suction and desorption of the wafer, and improve the heating temperature accuracy.

【0004】このうち半導体ウエハ上へのレジスト膜の
形成にあたっては、図4に示すような、窒化アルミニウ
ムやアルミナ等のセラミックスからなる均熱板52の一
方の主面を、ウエハWを載せる載置面53とし、他方の
主面には絶縁層54を介して発熱抵抗体55が設置さ
れ、さらに前記発熱抵抗体55に導通端子57がロウ材
層56により固定された構造のウエハ加熱装置51が用
いられていた。そして、前記均熱板52は支持体61に
ネジ65により固定され、さらに均熱板52の内部には
熱電対60が挿入され、これにより均熱板52の温度を
所定の温度に保つように、導通端子57から発熱抵抗体
55に供給される電力を調節するシステムとなってい
た。
In forming a resist film on a semiconductor wafer, one main surface of a heat equalizing plate 52 made of ceramics such as aluminum nitride or alumina as shown in FIG. A wafer heating device 51 having a structure in which a heating resistor 55 is provided on the other main surface via an insulating layer 54 and a conduction terminal 57 is fixed to the heating resistor 55 by a brazing material layer 56. Was used. The heat equalizing plate 52 is fixed to the support 61 by screws 65, and a thermocouple 60 is inserted into the heat equalizing plate 52 so that the temperature of the heat equalizing plate 52 is maintained at a predetermined temperature. In this case, the power supplied from the conduction terminal 57 to the heating resistor 55 is adjusted.

【0005】そして、ウエハ加熱装置51の載置面53
に、レジスト液が塗布されたウエハWをウエハ位置決め
用ガイド64にて位置決めを行い載せたあと、発熱抵抗
体55を発熱させることにより、均熱板52を介して載
置面53上のウエハWを加熱し、レジスト液を乾燥焼付
けしてウエハW上にレジスト膜を形成するようになって
いた。
The mounting surface 53 of the wafer heating device 51
After the wafer W coated with the resist solution is positioned and placed on the wafer positioning guide 64 by the wafer positioning guide 64, the heating resistor 55 is caused to generate heat. Is heated and the resist liquid is dried and baked to form a resist film on the wafer W.

【0006】上記のようなウエハ加熱装置51では、ウ
エハWを均熱板52上に差し替えした際に温度が安定す
るまでの過渡特性、ウエハ面内の温度バラツキが、レジ
ストを乾燥する際に重要である。この乾燥の管理がレジ
ストをエッチングするときのエッチング性に大きく影響
し、均一なパターンを形成できなくなるからである。
In the above-described wafer heating apparatus 51, the transient characteristics until the temperature is stabilized when the wafer W is replaced on the soaking plate 52, and the temperature variation in the wafer surface are important in drying the resist. It is. This is because the management of the drying greatly affects the etching property when etching the resist, and a uniform pattern cannot be formed.

【0007】また、図5に示すように、発熱抵抗体75
が均熱板72に内蔵され、発熱抵抗体75から均熱板7
2の表面に設けたメタライズ部76とその内部にロウ材
を介して設置される導通端子77と、前記均熱板52を
支持する支持体81を有するウエハ加熱装置71も知ら
れている。
[0007] As shown in FIG.
Are built in the heat equalizing plate 72, and the heat generating resistors 75
There is also known a wafer heating device 71 having a metallized portion 76 provided on the surface of the device 2, a conductive terminal 77 provided inside the metalized portion 76 via a brazing material, and a support 81 for supporting the heat equalizing plate 52.

【0008】そして、例えば図4に示すウエハ加熱装置
51には、図6に示すように、均熱板52の載置面53
に位置決め用のガイド64を設置し、これによりウエハ
Wを載置面53に位置決め固定する構造となっていた。
具体的には、均熱板52に穴63を形成し、この穴63
にネジ65を挿入し均熱板52とガイド64を挟み込む
ようにナット66を締めつけることにより固定してい
た。
Then, for example, as shown in FIG. 6, a mounting surface 53 of a heat equalizing plate 52 is provided in a wafer heating device 51 shown in FIG.
A positioning guide 64 is provided on the mounting surface 53 to thereby position and fix the wafer W on the mounting surface 53.
Specifically, a hole 63 is formed in the heat equalizing plate 52 and the hole 63 is formed.
A screw 65 is inserted into the hole, and a nut 66 is fixed so as to sandwich the heat equalizing plate 52 and the guide 64.

【0009】[0009]

【発明が解決しようとする課題】しかしながら、図6に
示す従来のウエハ加熱装置51は、前記均熱板52を挟
み込むようにしてネジ65で位置決め用ガイド64を固
定する構造であるため、ネジ65の締めつけにより均熱
板52に反りが発生し、この反りによりウエハWと均熱
板52の間隔が不均一になるという問題があった。特
に、均熱板52上にウエハWを設置し加熱する過渡状態
において、ウエハW表面の温度分布が大きく異なり、レ
ジスト等の膜形成において均一性が損なわれてしまうと
いう課題があった。
However, the conventional wafer heating apparatus 51 shown in FIG. 6 has a structure in which the positioning guide 64 is fixed with the screw 65 so as to sandwich the heat equalizing plate 52. This causes a problem in that the heat equalizing plate 52 is warped, and the warp causes an uneven spacing between the wafer W and the heat equalizing plate 52. Particularly, in a transient state in which the wafer W is placed on the heat equalizing plate 52 and heated, the temperature distribution on the surface of the wafer W is greatly different, and the uniformity is deteriorated in the formation of a film such as a resist.

【0010】[0010]

【課題を解決するための手段】本発明者等は、上記の課
題について鋭意検討した結果、セラミックからなる均熱
板の一方の主面をウエハの載置面とし、他方の主面もし
くは内部に発熱抵抗体を有するとともに、該発熱抵抗体
と電気的に接続される給電部を前記他方の主面に具備し
てなるウエハ過熱装置において、ウエハ載置面に備えた
凹部に固定金具を設置し、ウエハの位置決めを行うため
のガイドを上記固定金具に固定することにより、上記課
題を解決した。
Means for Solving the Problems As a result of intensive studies on the above-mentioned problems, the present inventors have found that one main surface of a ceramic soaking plate is used as a mounting surface for a wafer, and the other main surface or inside is provided. In a wafer heating apparatus having a heating element and a power supply section electrically connected to the heating element on the other main surface, a fixture is installed in a recess provided on a wafer mounting surface. The problem has been solved by fixing a guide for positioning the wafer to the fixing bracket.

【0011】[0011]

【発明の実施の形態】以下、本発明の実施の形態につい
て説明する。
Embodiments of the present invention will be described below.

【0012】図1は本発明に係るウエハ加熱装置の一例
を示す断面図であり、炭化珪素、アルミナまたは窒化ア
ルミニウムを主成分とするセラミックスからなる均熱板
2の一方の主面を、ウエハWを載せる載置面3とすると
ともに、他方の主面にガラス又は樹脂等からなる絶縁層
4を介して発熱抵抗体5を形成したものである。
FIG. 1 is a sectional view showing an example of a wafer heating apparatus according to the present invention, in which one main surface of a heat equalizing plate 2 made of ceramics containing silicon carbide, alumina or aluminum nitride as a main component is attached to a wafer W. And a heating resistor 5 formed on the other main surface thereof via an insulating layer 4 made of glass, resin or the like.

【0013】又、ウエハWを載せる載置面3には、ウエ
ハ位置決め用のガイド14が取りつけられており、ウエ
ハWを載置面3に載せた時に、このガイド14に倣って
位置決めされるようになっている。
A guide 14 for positioning the wafer is mounted on the mounting surface 3 on which the wafer W is mounted. When the wafer W is mounted on the mounting surface 3, the positioning is performed along the guide 14. It has become.

【0014】さらに、図2を用いてウエハ位置決め用の
ガイド14の取り付け方法を説明する。セラミックから
なる均熱板2には、凹部13が形成されており、この凹
部13に、凹部13の内径より大きな外径を持つ固定金
具17を圧入等により埋め込む。この固定金具17に
は、図3に示すように内周部にネジ部19と、リング部
分に一部スリット20を形成してあり、このスリット2
0を縮めた状態で前記凹部13に挿入し、固定金具17
のスリット20が開こうとする弾性力により前記凹部1
3内に保持されるようになっている。
Further, a method of attaching the guide 14 for positioning the wafer will be described with reference to FIG. A recess 13 is formed in the heat equalizing plate 2 made of ceramic, and a fixing bracket 17 having an outer diameter larger than the inner diameter of the recess 13 is embedded in the recess 13 by press fitting or the like. As shown in FIG. 3, the fixing bracket 17 has a screw portion 19 formed in the inner peripheral portion and a slit 20 partially formed in the ring portion.
0 is inserted into the recess 13 in a state where
Of the recess 1 due to the elastic force of the slit
3.

【0015】そして、さらにその上に、セラミックスか
らなるウエハ位置決め用のガイド14を載せてガイド1
4に形成された貫通孔14aにネジ15を通し、ネジ部
19に締めつけることにより、ガイド14を固定する構
造となっている。
Further, a wafer positioning guide 14 made of ceramics is placed thereon, and
The guide 14 is fixed by passing a screw 15 through a through hole 14 a formed in the screw 4 and tightening the screw 15 into a screw portion 19.

【0016】このような構造にすることにより、ガイド
14を固定するために均熱板2をボルトナットで挟みこ
んで固定する必要がなくなるので、均熱板2の反りを防
止することが可能となる。また、凹部13に固定金具1
7を弾性的に固定することにより固定金具17等を小型
化でき熱容量を小さく出来るので、ウエハWの表面に温
度分布が発生することを抑制することができる。
By adopting such a structure, it is not necessary to sandwich the heat equalizing plate 2 with bolts and nuts to fix the guide 14, so that the heat equalizing plate 2 can be prevented from warping. Become. In addition, the fixing bracket 1 is
By elastically fixing the fixing member 7, the fixing bracket 17 and the like can be reduced in size and the heat capacity can be reduced, so that the occurrence of temperature distribution on the surface of the wafer W can be suppressed.

【0017】又、前記固定金具17には、均熱板2に使
用される窒化物セラミックスや炭化物セラミックスの熱
膨張率に近い材質を用い、その熱膨張率を3.9〜8.
0×10-6/℃(30〜400℃)とすることが好まし
い。これは、その範囲より熱膨張率が小さ過ぎると、均
熱板2が加熱された時の熱膨張の差により、固定金具1
7が均熱板2より抜けてしまうという問題が発生しやす
く、逆に大き過ぎると熱膨張の差により、均熱板2にク
ラックを発生させてしまう可能性があるためである。具
体的には、アンバー、Fe−Co−Ni合金、42アロ
イ、Ni、SUS304等の耐酸化性金属、もしくは耐
酸化表面処理の施されたものを用いることが好ましい。
The fixing member 17 is made of a material having a coefficient of thermal expansion close to that of the nitride ceramic or carbide ceramic used for the heat equalizing plate 2, and has a coefficient of thermal expansion of 3.9 to 8.
It is preferable to 0 × 10 -6 / ℃ (30~400 ℃). This is because if the coefficient of thermal expansion is smaller than that range, the difference in the thermal expansion when the soaking plate 2 is heated causes
This is because the problem that the heat sink 7 comes off from the heat equalizing plate 2 easily occurs. On the contrary, if it is too large, a crack may be generated in the heat equalizing plate 2 due to a difference in thermal expansion. Specifically, it is preferable to use an oxidation-resistant metal such as Invar, Fe-Co-Ni alloy, 42 alloy, Ni, or SUS304, or a material subjected to oxidation-resistant surface treatment.

【0018】さらに、前記固定金具17の外径は、均熱
板2に施された凹部13の直径より0.01〜0.1m
m大きくすることが好ましい。0.01mmより小さく
すると固定金具17の均熱板2への保持力が著しく低下
し、抜けてしまう可能性があり、0.1mmより大きく
すると、固定金具17を均熱板2に圧入する際に、凹部
13より欠けやクラックを発生させてしまう可能性が高
い。また、スリット20を狭めることによりネジ部19
が狭められるため、ガイド14に施されたネジが入らな
いという問題が発生する。スリットの寸法は、固定金具
17の寸法にもよるが0.2〜0.8mm程度あれば良
い。
The outer diameter of the fixture 17 is 0.01 to 0.1 m larger than the diameter of the recess 13 formed in the heat equalizing plate 2.
It is preferable to increase m. If it is smaller than 0.01 mm, the holding force of the fixing member 17 to the heat equalizing plate 2 is significantly reduced, and there is a possibility that the fixing member 17 comes off. In addition, there is a high possibility that chipping or cracking may occur from the recess 13. Further, by narrowing the slit 20, the screw portion 19 is formed.
Is narrowed, so that a problem occurs that the screw applied to the guide 14 does not enter. The size of the slit depends on the size of the fixing bracket 17, but may be about 0.2 to 0.8 mm.

【0019】なお、固定金具17に対するガイド14の
固定方法をネジ締めにより説明してきたが、別にネジ締
めに限定する必要はなく、例えば金属の弾性を用いた別
の手法を用いても構わない。
Although the method of fixing the guide 14 to the fixing bracket 17 has been described by screwing, it is not necessary to limit the method to screwing, and another method using, for example, metal elasticity may be used.

【0020】また、前記固定金具17の均熱板2に設置
する側の底面の角部17aを曲率半径0.05mm以上
の曲面もしくは幅が0.05mm以上のC面とすること
が好ましい。また、固定金具17が圧入される凹部13
の底部の角部13aにも、同様に曲率半径0.05mm
以上の曲面加工を施すことが好ましい。このように、各
角部17aを加工することにより、角部17aへの応力
集中を防止し、クラックの発生を防止することができ
る。
It is preferable that the corner 17a of the bottom surface of the fixture 17 on the side of the heat equalizing plate 2 be a curved surface having a radius of curvature of 0.05 mm or more or a C surface having a width of 0.05 mm or more. The recess 13 into which the fixing bracket 17 is press-fitted.
Similarly, the radius of curvature of the bottom corner 13a is 0.05 mm.
It is preferable to perform the above-mentioned curved surface processing. By processing each corner 17a in this way, stress concentration on the corner 17a can be prevented, and cracks can be prevented from occurring.

【0021】また、固定金具17の圧入に際して、凹部
13の底部と固定金具17の底部は接しないように圧入
することが好ましい。加熱冷却速度を速くする為に均熱
板2の厚みは、2〜7mmに加工されているため凹部1
3の底厚が薄く、圧入する固定金具17が凹部13の底
面に接すると、圧入の応力により凹部13の角部13a
にクラックが発生する場合がある。そこで、固定金具1
7の底部と凹部13の底部との間には、0.05mm以
上の隙間を残すようにすることが好ましい。
Further, when press-fitting the fixing bracket 17, it is preferable to press-fit the bottom of the recess 13 and the bottom of the fixing bracket 17 so as not to be in contact with each other. Since the thickness of the heat equalizing plate 2 is processed to 2 to 7 mm to increase the heating and cooling rate, the concave portion 1 is formed.
3 has a small bottom thickness, and when the fixing fitting 17 to be press-fitted comes into contact with the bottom surface of the recess 13, the corner 13 a of the recess 13 is pressed by the stress of press-fitting.
Cracks may occur. Therefore, fixing bracket 1
It is preferable that a gap of 0.05 mm or more is left between the bottom of the recess 7 and the bottom of the recess 13.

【0022】また、ガイド14の材質としては、ウエハ
Wに対する汚染防止の観点から、高純度のセラミックス
を使用することが好ましい。そして、ウエハWとの摺動
による磨耗を防止するため、表面は鏡面研磨することが
好ましい。具体的には、アルミナ、ムライト、ジルコニ
ア等の材料を使用することができる。
As a material of the guide 14, it is preferable to use a high-purity ceramic from the viewpoint of preventing contamination of the wafer W. The surface is preferably mirror-polished to prevent wear due to sliding with the wafer W. Specifically, materials such as alumina, mullite, and zirconia can be used.

【0023】そして、ガイド14の個数は3個以上とす
れば良い。2個では、位置を固定することが出来ない。
また、ガイド14の数を極端に増やすことは、均熱板2
の載置面の温度分布を悪くするので、8個以下にするこ
とが好ましい。
The number of the guides 14 may be three or more. With two, the position cannot be fixed.
In addition, if the number of guides 14 is extremely increased,
Since the temperature distribution on the mounting surface is worsened, the number is preferably eight or less.

【0024】また、ネジ15の材質としては、SUS3
04、SUS316等の耐熱性を有するステンレス製の
ものを使用することが、経済性の面からも好ましい。
The material of the screw 15 is SUS3
It is preferable to use a heat-resistant stainless steel material such as 04 or SUS316 from the viewpoint of economy.

【0025】さらに、図1を用いて本発明のウエハ加熱
装置1を詳細に説明する。
Further, the wafer heating apparatus 1 of the present invention will be described in detail with reference to FIG.

【0026】発熱抵抗体5のパターン形状としては、円
弧状の電極部と直線状の電極部とからなる略同心円状を
したものや渦巻き状をしたものなど、載置面3を均一に
加熱できるパターン形状であれば良い。均熱性を改善す
るため、発熱抵抗体5を複数のパターンに分割すること
も可能である。また、発熱抵抗体5としては、金や銀、
パラジウム、白金族金属等を使用することができる。
As the pattern shape of the heating resistor 5, the mounting surface 3 can be uniformly heated, such as a substantially concentric or spiral-shaped one having an arc-shaped electrode portion and a linear electrode portion. Any pattern shape is acceptable. In order to improve heat uniformity, the heating resistor 5 can be divided into a plurality of patterns. Further, as the heating resistor 5, gold, silver,
Palladium, platinum group metals and the like can be used.

【0027】さらに、発熱抵抗体5には、金や銀、パラ
ジウム、白金等の材質からなる給電部6が形成され、導
通端子7を弾性体8によって該給電部6に押圧して接触
させることにより、導通が確保されている。
Further, a power supply portion 6 made of a material such as gold, silver, palladium, or platinum is formed on the heating resistor 5, and the conductive terminal 7 is pressed against the power supply portion 6 by an elastic body 8 to make contact therewith. Thus, conduction is ensured.

【0028】このように、均熱板2に形成された給電部
6と導通端子7間の接続を、押圧による接触とすること
により、均熱板2と支持体11の温度差による両者の膨
張の差を接触部分の滑りで緩和できるので、使用中の熱
サイクルに対し、耐久性良好なウエハ加熱装置1を提供
することができる。
As described above, the connection between the power supply section 6 and the conduction terminal 7 formed on the heat equalizing plate 2 is made to be a contact by pressing, so that the expansion of the heat equalizing plate 2 and the support 11 due to the temperature difference therebetween. Can be mitigated by the sliding of the contact portion, so that it is possible to provide the wafer heating apparatus 1 having good durability against a thermal cycle during use.

【0029】なお、均熱板2は金属製の支持体11に、
その開口部を覆うように設置してある。金属製の支持体
11は一層もしくは多層の板状構造部13を有してい
る。また該板状構造部12には、均熱板2の発熱抵抗体
5に給電するための給電部6と導通するための導通端子
7が絶縁材9を介して設置され、弾性体8により均熱板
2の表面の給電部6に押圧されている。また、熱電対1
0は、均熱板2の中央部のウエハ載置面3の直近に設置
され、熱電対10の温度を基に均熱板2の温度を調整す
る。発熱抵抗体5が複数のブロックに別れており、個別
に温度制御する場合は、それぞれの発熱抵抗体5のブロ
ックに測温用の熱電対10を設置する。
The heat equalizing plate 2 is provided on a metal support 11.
It is installed so as to cover the opening. The metal support 11 has a single-layer or multilayer plate-like structure 13. In the plate-like structure portion 12, a conduction terminal 7 for conducting electricity to a power supply portion 6 for supplying power to the heating resistor 5 of the heat equalizing plate 2 is provided via an insulating material 9. The hot plate 2 is pressed against the power supply section 6 on the surface. In addition, thermocouple 1
Numeral 0 is installed near the wafer mounting surface 3 at the center of the heat equalizing plate 2 and adjusts the temperature of the heat equalizing plate 2 based on the temperature of the thermocouple 10. When the heating resistor 5 is divided into a plurality of blocks and the temperature is individually controlled, a thermocouple 10 for temperature measurement is installed in each block of the heating resistor 5.

【0030】また、熱電対10としては、その応答性と
保持の作業性の観点から、外径1.0mm以下のシース
型の熱電対10を使用することが好ましい。また、均熱
板2に埋め込まれた先端部に力が掛からないように熱電
対10の途中が支持部11の板状構造部13に保持され
ている。この熱電対10の先端部は、均熱板2に孔が形
成され、この中に設置された円筒状の金属体の内壁面に
バネ材により押圧固定することが測温の信頼性を向上さ
せるために好ましいまた、支持体11内に昇降自在に設
置された不図示のリフトピンにより、ウエハWを載置面
3上に載せたり載置面3より持ち上げたりといった作業
がなされる。不図示の搬送アームにて載置面3の上方ま
で運ばれたウエハWを不図示のリフトピンで下降させる
時に前記ガイドに倣ってウエハWが位置決めされるよう
になっている。均熱板2上の位置決めガイドは、等間隔
に3箇所以上設置することが好ましい。
As the thermocouple 10, it is preferable to use a sheath-type thermocouple 10 having an outer diameter of 1.0 mm or less from the viewpoint of its responsiveness and workability of holding. The middle of the thermocouple 10 is held by the plate-like structure 13 of the support portion 11 so that no force is applied to the tip embedded in the heat equalizing plate 2. The distal end of the thermocouple 10 has a hole formed in the heat equalizing plate 2 and is fixed to the inner wall surface of the cylindrical metal body provided therein by a spring material to improve the reliability of temperature measurement. In addition, operations such as mounting the wafer W on the mounting surface 3 and lifting the wafer W from the mounting surface 3 are performed by lift pins (not shown) installed in the support 11 so as to be able to move up and down. When the wafer W carried above the mounting surface 3 by the transfer arm (not shown) is lowered by the lift pins (not shown), the wafer W is positioned following the guide. Preferably, three or more positioning guides on the heat equalizing plate 2 are installed at equal intervals.

【0031】そして、ウエハWは、不図示のウエハ支持
ピンにより載置面3から浮かした状態で保持され、片当
たり等による温度バラツキを防止するようにしている。
The wafer W is held in a state of being floated from the mounting surface 3 by wafer support pins (not shown) so as to prevent a temperature variation due to a one-sided contact or the like.

【0032】次に、給電部6に通電して発熱抵抗体5を
発熱させ、絶縁層4及び均熱板2を介して載置面3上の
ウエハWを加熱する。均熱板2を炭化珪素質焼結体又は
窒化アルミニウム質焼結体により形成すると、熱を加え
ても変形が小さく、板厚を薄くできるため、所定の処理
温度に加熱するまでの昇温時間及び所定の処理温度から
室温付近に冷却するまでの冷却時間を短くすることがで
き、生産性を高めることができるとともに、50W/m
・K以上の熱伝導率を有することから、薄い板厚でも発
熱抵抗体5のジュール熱を素早く伝達し、載置面3の温
度ばらつきを極めて小さくすることができる。
Next, the power supply unit 6 is energized to cause the heating resistor 5 to generate heat, and the wafer W on the mounting surface 3 is heated via the insulating layer 4 and the soaking plate 2. When the heat equalizing plate 2 is formed of a silicon carbide-based sintered body or an aluminum nitride-based sintered body, the deformation is small even if heat is applied, and the plate thickness can be reduced, so that the heating time until heating to a predetermined processing temperature is performed. In addition, the cooling time required for cooling from a predetermined processing temperature to around room temperature can be shortened, and productivity can be increased, and at the same time, 50 W / m
-Since it has a thermal conductivity of K or more, the Joule heat of the heating resistor 5 can be quickly transmitted even with a small plate thickness, and the temperature variation of the mounting surface 3 can be extremely reduced.

【0033】なお、均熱板2を形成するセラミックスと
しては、炭化珪素、炭化硼素、窒化硼素、窒化珪素また
は窒化アルミニウムを主成分とする焼結体を用いる。
As the ceramic forming the heat equalizing plate 2, a sintered body containing silicon carbide, boron carbide, boron nitride, silicon nitride or aluminum nitride as a main component is used.

【0034】均熱板2を形成する炭化珪素質焼結体は、
主成分の炭化珪素に対し、焼結助剤として硼素(B)と
炭素(C)を添加したり、もしくはアルミナ(Al
23)、イットリア(Y23)のような金属酸化物を添
加して十分混合し、平板状に加工したのち、1900〜
2100℃で焼成することにより得られる。炭化珪素は
α型を主体とするものあるいはβ型を主体とするものの
いずれであっても構わない。
The silicon carbide sintered body forming the heat equalizing plate 2 is
Boron (B) and carbon (C) are added as sintering aids to silicon carbide as a main component, or alumina (Al
2 O 3 ) and a metal oxide such as yttria (Y 2 O 3 ) are added and mixed well, processed into a plate shape,
It is obtained by firing at 2100 ° C. Silicon carbide may be any of those mainly composed of α-type and those mainly composed of β-type.

【0035】また、均熱板2を形成する窒化アルミニウ
ム質焼結体は、主成分の窒化アルミニウムに対し、焼結
助剤としてY23やYb23等の希土類元素酸化物と必
要に応じてCaO等のアルカリ土類金属酸化物を添加し
て十分混合し、平板状に加工した後、窒素ガス中190
0〜2100℃で焼成することにより得られる。
Further, the aluminum nitride sintered body forming the heat equalizing plate 2 requires a rare earth element oxide such as Y 2 O 3 or Yb 2 O 3 as a sintering aid with respect to aluminum nitride as a main component. According to the above, an alkaline earth metal oxide such as CaO is added, mixed well, processed into a plate shape,
It is obtained by firing at 0 to 2100 ° C.

【0036】また、炭化硼素質焼結体としては、主成分
の炭化硼素に対し、焼結助剤として炭素を3〜10重量
%混合し、2100〜2200℃でホットプレス焼成す
ることにより焼結体を得ることができる。
The boron carbide sintered body is obtained by mixing 3 to 10% by weight of carbon as a sintering aid with boron carbide as a main component and sintering the mixture by hot pressing at 2100 to 2200 ° C. You can get the body.

【0037】そして、均熱板2を形成する窒化硼素質焼
結体としては、主成分の窒化硼素に対し、焼結助剤とし
て30〜45重量%の窒化アルミニウムと5〜10重量
%の希土類元素酸化物を混合し、1900〜2100℃
でホットプレス焼成することにより焼結体を得ることが
できる。窒化硼素の焼結体を得る方法としては、他に硼
珪酸ガラスを混合して焼結させる方法があるが、この場
合熱伝導率が著しく低下するので好ましくない。
As the boron nitride sintered body forming the heat equalizing plate 2, 30 to 45% by weight of aluminum nitride and 5 to 10% by weight of rare earth are used as sintering aids with respect to boron nitride as a main component. Mix elemental oxides, 1900-2100 ° C
And sintered by hot pressing. As another method for obtaining a sintered body of boron nitride, there is a method in which borosilicate glass is mixed and sintered, but this method is not preferable because the thermal conductivity is significantly reduced.

【0038】また、均熱板2を形成する窒化珪素質焼結
体としては、主成分の窒化珪素に対し、焼結助剤として
3〜12重量%の希土類元素酸化物と0.5〜3重量%
のAl23、さらに焼結体に含まれるSiO2量として
1.5〜5重量%となるようにSiO2を混合し、16
50〜1750℃でホットプレス焼成することにより焼
結体を得ることができる。ここで示すSiO2量とは、
窒化珪素原料中に含まれる不純物酸素から生成するSi
2と、他の添加物に含まれる不純物としてのSiO
2と、意図的に添加したSiO2の総和である。
As the silicon nitride sintered body forming the heat equalizing plate 2, 3 to 12% by weight of a rare earth element oxide and 0.5 to 3 weight%
Of Al 2 O 3, further mixing SiO 2 so that 1.5 to 5 wt% as SiO 2 content in the sintered body, 16
A sintered body can be obtained by performing hot press firing at 50 to 1750 ° C. The amount of SiO 2 shown here is
Si generated from impurity oxygen contained in silicon nitride raw material
O 2 and SiO as impurities contained in other additives
2 and the sum of intentionally added SiO 2 .

【0039】さらに、これらのウエハ加熱装置1をレジ
スト膜形成用として使用する場合は、均熱板2として窒
化物を主成分とする材料を使用すると、大気中の水分等
と反応してアンモニアガスを発生させレジスト膜を劣化
させるため、この場合均熱板2として、炭化珪素や炭化
硼素等の炭化物からなるものを使用することが好まし
い。また、この際、焼結助剤に水と反応してアンモニア
やアミンを形成する可能性のある窒化物を含まないよう
にすることが必要である。これにより、ウエハW上に微
細な配線を高密度に形成することが可能となる。
Further, when the wafer heating apparatus 1 is used for forming a resist film, if a material containing nitride as a main component is used for the heat equalizing plate 2, it reacts with moisture in the atmosphere and ammonia gas is used. In this case, it is preferable to use a heat equalizing plate 2 made of a carbide such as silicon carbide or boron carbide. At this time, it is necessary to prevent the sintering aid from containing a nitride which may react with water to form ammonia or an amine. Thus, fine wiring can be formed on the wafer W at a high density.

【0040】さらに、均熱板2の載置面3と反対側の主
面は、ガラスや樹脂からなる絶縁層4との密着性を高め
る観点から、平面度20μm以下、面粗さを中心線平均
粗さ(Ra)で0.1μm〜0.5μmに研磨しておく
ことが好ましい。
Further, the main surface of the heat equalizing plate 2 on the side opposite to the mounting surface 3 has a flatness of 20 μm or less and a surface roughness of the center line from the viewpoint of enhancing the adhesion to the insulating layer 4 made of glass or resin. It is preferable to polish to an average roughness (Ra) of 0.1 μm to 0.5 μm.

【0041】一方、炭化珪素質焼結体を均熱板2として
使用する場合、半導電性を有する均熱板2と発熱抵抗体
5との間の絶縁を保つ絶縁層4としては、ガラス又は樹
脂を用いることが可能である。ここで、ガラスを用いる
場合、その厚みが100μm未満では耐電圧が1.5k
Vを下回り絶縁性が保てず、逆に厚みが600μmを越
えると、均熱板2を形成する炭化珪素質焼結体との熱膨
張差が大きくなり過ぎるために、クラックが発生して絶
縁層4として機能しなくなる。その為、絶縁層4として
ガラスを用いる場合、絶縁層4の厚みは100μm〜6
00μmの範囲で形成することが好ましく、望ましくは
200μm〜400μmの範囲で形成することが良い。
On the other hand, when a silicon carbide sintered body is used as the heat equalizing plate 2, the insulating layer 4 for maintaining insulation between the heat equalizing plate 2 having semiconductivity and the heat generating resistor 5 is made of glass or glass. It is possible to use a resin. Here, when using glass, if the thickness is less than 100 μm, the withstand voltage is 1.5 k
When the thickness is less than V and the insulating property cannot be maintained, and when the thickness exceeds 600 μm, the thermal expansion difference with the silicon carbide sintered body forming the heat equalizing plate 2 becomes too large, so that cracks are generated and insulation occurs. It no longer functions as layer 4. Therefore, when glass is used as the insulating layer 4, the thickness of the insulating layer 4 is 100 μm to 6 μm.
It is preferably formed in the range of 00 μm, and more preferably in the range of 200 μm to 400 μm.

【0042】また、均熱板2を窒化アルミニウムを主成
分とするセラミック焼結体で形成する場合は、均熱板2
に対する発熱抵抗体5の密着性を向上させるために、ガ
ラスからなる絶縁層4を形成する。ただし、発熱抵抗体
5の中に十分なガラスを添加し、これにより十分な密着
強度が得られる場合は、省略することが可能である。
When the soaking plate 2 is formed of a ceramic sintered body containing aluminum nitride as a main component, the soaking plate 2
The insulating layer 4 made of glass is formed in order to improve the adhesion of the heating resistor 5 to the insulating layer 4. However, when sufficient glass is added to the heat generating resistor 5 and a sufficient adhesion strength can be obtained by this, it can be omitted.

【0043】この絶縁層4を形成するガラスの特性とし
ては、結晶質又は非晶質のいずれでも良く、耐熱温度が
200℃以上でかつ0℃〜200℃の温度域における熱
膨張係数が均熱板2を構成するセラミックスの熱膨張係
数に対し−5〜+5×10-7/℃の範囲にあるものを適
宜選択して用いることが好ましい。即ち、熱膨張係数が
前記範囲を外れたガラスを用いると、均熱板2を形成す
るセラミックスとの熱膨張差が大きくなりすぎるため、
ガラスの焼付け後の冷却時においてクラックや剥離等の
欠陥が生じ易いからである。
The properties of the glass forming the insulating layer 4 may be either crystalline or amorphous. The glass has a heat resistant temperature of 200 ° C. or higher and a thermal expansion coefficient in a temperature range of 0 ° C. to 200 ° C. It is preferable to appropriately select and use a ceramic having a coefficient of thermal expansion in the range of -5 to + 5 × 10 −7 / ° C. with respect to the thermal expansion coefficient of the ceramic constituting the plate 2. In other words, when a glass having a coefficient of thermal expansion outside the above range is used, the difference in thermal expansion between the ceramic forming the soaking plate 2 and the ceramic becomes too large.
This is because defects such as cracks and peeling easily occur during cooling after baking of the glass.

【0044】次に、絶縁層4に樹脂を用いる場合、その
厚みが30μm未満では、耐電圧が1.5kVを下回
り、絶縁性が保てなくなるとともに、発熱抵抗体5に例
えばレーザ加工等によってトリミングを施した際に絶縁
層4を傷付け、絶縁層4として機能しなくなる。逆に厚
みが150μmを越えると、樹脂の焼付け時に発生する
溶剤や水分の蒸発量が多くなり、均熱板2との間にフク
レと呼ばれる泡状の剥離部ができ、この剥離部の存在に
より熱伝達が悪くなるため、載置面3の均熱化が阻害さ
れる。その為、絶縁層4として樹脂を用いる場合、絶縁
層4の厚みは30μm〜150μmの範囲で形成するこ
とが好ましく、望ましくは60μm〜150μmの範囲
で形成することが良い。
Next, when a resin is used for the insulating layer 4, if the thickness is less than 30 μm, the withstand voltage falls below 1.5 kV, the insulation cannot be maintained, and the heating resistor 5 is trimmed by, for example, laser processing. In this case, the insulating layer 4 is damaged and does not function as the insulating layer 4. Conversely, when the thickness exceeds 150 μm, the amount of evaporation of the solvent and water generated during baking of the resin increases, and a foam-like peeling portion called blister is formed between the heat equalizing plate 2 and the presence of this peeling portion. Since the heat transfer becomes worse, the soaking of the mounting surface 3 is hindered. Therefore, when a resin is used as the insulating layer 4, the thickness of the insulating layer 4 is preferably in the range of 30 μm to 150 μm, and more preferably in the range of 60 μm to 150 μm.

【0045】また、絶縁層4を樹脂により形成する場
合、200℃以上の耐熱性と発熱抵抗体5との密着性を
考慮すると、ポリイミド樹脂、ポリイミドアミド樹脂、
ポリアミド樹脂等を用いることが好ましい。
When the insulating layer 4 is formed of a resin, considering the heat resistance of 200 ° C. or more and the adhesion to the heating resistor 5, polyimide resin, polyimide amide resin,
It is preferable to use a polyamide resin or the like.

【0046】なお、ガラスや樹脂からなる絶縁層4を均
熱板2上に被着する手段としては、前記ガラスペースト
又は樹脂ペーストを均熱板2の中心部に適量落とし、ス
ピンコーティング法にて伸ばして均一に塗布するか、あ
るいはスクリーン印刷法、ディッピング法、スプレーコ
ーティング法等にて均一に塗布したあと、ガラスペース
トの場合は600℃の温度で、樹脂ペーストの場合は3
00℃以上の温度で焼き付けすれば良い。また、絶縁層
4としてガラスを用いる場合、予め炭化珪素質焼結体又
は窒化アルミニウム質焼結体からなる均熱板2を120
0℃程度の温度に加熱し、絶縁層4を被着する表面を酸
化処理しておくことで、ガラスからなる絶縁層4との密
着性を高めることができる。
As a means for applying the insulating layer 4 made of glass or resin on the heat equalizing plate 2, an appropriate amount of the glass paste or resin paste is dropped on the center of the heat equalizing plate 2 and spin coating is performed. Stretch and apply uniformly, or apply evenly by screen printing, dipping, spray coating, etc., then at a temperature of 600 ° C. for glass paste and 3 for resin paste.
What is necessary is just to bake at the temperature of 00 degreeC or more. When glass is used as the insulating layer 4, the heat equalizing plate 2 made of a silicon carbide sintered body or an aluminum nitride sintered body is previously
By heating to a temperature of about 0 ° C. and oxidizing the surface on which the insulating layer 4 is to be adhered, adhesion to the insulating layer 4 made of glass can be increased.

【0047】さらに、絶縁層4上に被着する発熱抵抗体
5としては、金(Au)、銀(Ag)、銅(Cu)、パ
ラジウム(Pd)等の金属単体を、蒸着法やメッキ法に
て直接被着するか、あるいは前記金属単体や酸化レニウ
ム(Re23)、ランタンマンガネート(LaMn
3)等の導電性の金属酸化物や上記金属材料を樹脂ペ
ーストやガラスペーストに分散させたペーストを用意
し、所定のパターン形状にスクリーン印刷法等にて印刷
したあと焼付けして、前記導電材を樹脂やガラスから成
るマトリックスで結合すれば良い。マトリックスとして
ガラスを用いる場合、結晶化ガラス、非晶質ガラスのい
ずれでも良いが、熱サイクルによる抵抗値の変化を抑え
るために結晶化ガラスを用いることが好ましい。
Further, the heating resistor 5 to be deposited on the insulating layer 4 is made of a simple metal such as gold (Au), silver (Ag), copper (Cu), palladium (Pd), formed by vapor deposition or plating. Directly, or the above-mentioned metal simple substance, rhenium oxide (Re 2 O 3 ), lanthanum manganate (LaMn)
A conductive metal oxide such as O 3 ) or a paste obtained by dispersing the above metal material in a resin paste or a glass paste is prepared, printed in a predetermined pattern shape by a screen printing method or the like, and then baked. The materials may be joined by a matrix made of resin or glass. When glass is used as the matrix, either crystallized glass or amorphous glass may be used, but it is preferable to use crystallized glass in order to suppress a change in resistance due to thermal cycling.

【0048】ただし、発熱抵抗体5に銀又は銅を用いる
場合、マイグレーションが発生する恐れがあるため、こ
のような場合には、発熱抵抗体5を覆うように絶縁層4
と同一の材質からなる保護膜を30μm程度の厚みで被
覆しておけば良い。
However, when silver or copper is used for the heating resistor 5, migration may occur. In such a case, the insulating layer 4 covers the heating resistor 5.
A protective film made of the same material as described above may be coated with a thickness of about 30 μm.

【0049】発熱抵抗体5は、例えば窒化アルミニウム
を主成分とし焼結助剤を適宜含有する原料を十分混合し
たのち円盤状に成形し、その表面にWもしくはWCから
なるペーストを発熱抵抗体5のパターン形状にプリント
し、その上に別の窒化アルミニウム成形体を重ねて密着
した後、窒素ガス中1900〜2100℃の温度で焼成
することにより得ることが出来る。また、発熱抵抗体5
からの導通は、窒化アルミニウム質基材にスルーホール
19を形成し、WもしくはWCからなるペーストを埋め
込んだ後焼成するようにして表面に電極を引き出すよう
にすれば良い。また、給電部6は、ウエハWの加熱温度
が高い場合、Au、Ag等の貴金属を主成分とするペー
ストを前記スルーホール19の上に塗布し900〜10
00℃で焼き付けることにより、内部の発熱抵抗体5の
酸化を防止することができる。
The heating resistor 5 is formed into a disk shape after sufficiently mixing, for example, a raw material containing aluminum nitride as a main component and a sintering aid as appropriate, and pastes W or WC on the surface thereof. , And another aluminum nitride molded body is overlaid thereon and adhered thereto, followed by firing in nitrogen gas at a temperature of 1900 to 2100 ° C. In addition, the heating resistor 5
For conduction from, an electrode may be drawn out to the surface by forming a through hole 19 in an aluminum nitride base material, embedding a paste made of W or WC, and then firing. When the heating temperature of the wafer W is high, the power supply unit 6 applies a paste containing a noble metal as a main component such as Au, Ag, etc.
By baking at 00 ° C., oxidation of the internal heating resistor 5 can be prevented.

【0050】[0050]

【実施例】以下、本発明の実施例を説明する。Embodiments of the present invention will be described below.

【0051】実施例 1 熱伝導率が80W/m・Kの炭化珪素質焼結体に研削加
工を施し、板厚4mm、外径230mmの円盤状とした
のち、ウエハ位置決め用のガイド14取り付けのため
に、本発明実施例として図2に示すように直径4mm深
さ2mmのザグリ加工した穴部13を形成した均熱板2
と、比較例として図6に示すように直径2.5mmの貫
通穴を施した均熱板52を各々複数枚製作し、各均熱板
2、52の一方の主面に絶縁層4を被着するため、ガラ
ス粉末に対してバインダーとしてのエチルセルロースと
有機溶剤としてのテルピネオールを混練して作製したガ
ラスペーストを、スクリーン印刷法を用いて形成し、1
50℃に加熱して有機溶剤を乾燥させたあと、550℃
で30分間脱脂処理を施し、さらに700から900℃
の温度で焼き付けを行うことにより、ガラスからなる厚
み200μmの絶縁層4、54を形成した。
Example 1 A silicon carbide sintered body having a thermal conductivity of 80 W / m · K was subjected to grinding to form a disk having a thickness of 4 mm and an outer diameter of 230 mm. For this purpose, as shown in FIG. 2, the heat equalizing plate 2 having a counterbored hole 13 having a diameter of 4 mm and a depth of 2 mm as shown in FIG.
As a comparative example, as shown in FIG. 6, a plurality of heat equalizing plates 52 each having a through hole having a diameter of 2.5 mm were manufactured, and the insulating layer 4 was coated on one main surface of each of the heat equalizing plates 2 and 52. To adhere, a glass paste prepared by kneading glass powder with ethyl cellulose as a binder and terpineol as an organic solvent is formed using a screen printing method.
After heating to 50 ° C to dry the organic solvent, 550 ° C
Degreasing treatment for 30 minutes at 700 to 900 ° C
The insulating layers 4 and 54 made of glass and having a thickness of 200 μm were formed by baking at a temperature of.

【0052】次いで絶縁層4、54上に発熱抵抗体5、
55を被着するため、導電材としてAu粉末とPd粉末
を添加したガラスペーストを、スクリーン印刷法にて所
定のパターン形状に印刷したあと、150℃に加熱して
有機溶剤を乾燥させ、さらに550℃で30分間脱脂処
理を施したあと,700〜900℃の温度で焼き付けを
行うことにより、厚みが50μmの発熱抵抗体5、55
を形成した。発熱抵抗体5、55は中心部と外周部を周
方向に4分割した5パターン構成とした。
Next, on the insulating layers 4 and 54,
In order to adhere 55, a glass paste to which Au powder and Pd powder are added as a conductive material is printed in a predetermined pattern shape by a screen printing method, and then heated to 150 ° C. to dry the organic solvent. After performing degreasing at 30 ° C. for 30 minutes, baking is performed at a temperature of 700 to 900 ° C., so that the heating resistors 5 and 55 having a thickness of 50 μm are formed.
Was formed. The heating resistors 5 and 55 have a five-pattern configuration in which a central portion and an outer peripheral portion are divided into four in the circumferential direction.

【0053】しかるのち発熱抵抗体5、55に給電部
6、56を導電性接着剤にて固着させたのち、図2のタ
イプの本発明実施例の均熱板2には、外径4.05m
m、厚み1.8mm、M2のネジ部19を備えた固定金
具17を凹部13に圧入してガイド14をM2のネジ1
5にて固定した表1に示すNo.4〜6の均熱板2を作
製した。また、比較例として、ガイド64と貫通穴63
にM2のネジ65を通し、ナット66で固定した表1に
示すNo.1〜3の均熱板52を作製した。
After fixing the power supply portions 6 and 56 to the heating resistors 5 and 55 with a conductive adhesive, the heat equalizing plate 2 of the embodiment of the present invention of the type shown in FIG. 05m
m, a thickness of 1.8 mm, and a fitting 17 having a threaded portion 19 of M2 is press-fitted into the concave portion 13 to guide the guide 14 to the threaded portion of the M2 screw 1.
No. 5 fixed in Table 1 4 to 6 heat equalizing plates 2 were produced. As a comparative example, a guide 64 and a through hole 63 are provided.
No. 2 shown in Table 1, in which an M2 screw 65 was passed through 1 to 3 were prepared.

【0054】これらの均熱板2、52に、支持体11、
板状構造体23、熱電対10、導通端子7を組付けるこ
とによりウエハ加熱装置1、51とした。
The heat equalizing plates 2 and 52 are provided with a support 11 and
The wafer heating devices 1 and 51 were obtained by assembling the plate-like structure 23, the thermocouple 10, and the conduction terminal 7.

【0055】そして、このようにして得られた2種類の
ウエハ加熱装置1、51の導通端子7、97に通電して
250℃で保持し、載置面3、53の上に載せたウエハ
Wの表面温度分布を、中心とウエハ半径の1/2の円周
上の6分割点6点の合計7点の温度が1℃以内となるこ
とを確認した後、150℃に30分保持したのち、ウエ
ハWを載せてウエハWが150℃に保持されるまでのウ
エハ面内の温度バラツキの過渡特性を各サンプル5サイ
クル調査しその最大値を測定置とした。
Then, the conduction terminals 7 and 97 of the two types of wafer heating devices 1 and 51 thus obtained are energized and maintained at 250 ° C., and the wafer W placed on the mounting surfaces 3 and 53 After confirming that the temperature at a total of 7 points, that is, 6 points on the circumference of the circumference of the center and 1/2 of the wafer radius, is within 1 ° C., the surface temperature distribution is kept at 150 ° C. for 30 minutes. The transient characteristics of the temperature variation in the wafer surface until the wafer W was held at 150 ° C. with the wafer W placed thereon were examined for five cycles of each sample, and the maximum value was measured.

【0056】評価基準としては、ウエハ面の温度上昇時
の温度バラツキが10℃以内であるものをOKとし、そ
れ以上となるものはNGとした。また、温度保持時の温
度バラツキについては、1℃以内をOKとし、これを超
えるものは、NGとした。それぞれの結果は表1に示す
通りであった。
As evaluation criteria, those having a temperature variation of not more than 10 ° C. when the temperature of the wafer surface rises were determined to be OK, and those having a temperature variation of not less than NG were determined to be NG. Regarding the temperature variation during the temperature holding, OK was set within 1 ° C., and NG was set when it exceeded this. Each result was as shown in Table 1.

【0057】[0057]

【表1】 [Table 1]

【0058】表1から判るように、比較例であるNo.
1〜3はウエハ面内の温度バラツキが12〜13℃と大
きくなり、また、150℃温度キープ時の温度バラツキ
も1℃を越えてしまい好ましくなかった。
As can be seen from Table 1, the comparative example No.
In Nos. 1 to 3, the temperature variation in the wafer surface was as large as 12 to 13 ° C., and the temperature variation in keeping the temperature at 150 ° C. exceeded 1 ° C., which was not preferable.

【0059】これに対し、本発明実施例であるNo.4
〜6は、ウエハ面内の昇温時の温度バラツキが6〜7℃
と小さく、またウエハの温度を150℃に保持した時の
温度バラツキも0.6〜0.8℃と小さくすることがで
きた。
On the other hand, in the embodiment of the present invention, No. 4
6 to 6 have a temperature variation of 6 to 7 ° C. when the temperature in the wafer surface is raised.
The temperature variation when the temperature of the wafer was maintained at 150 ° C. was also reduced to 0.6 to 0.8 ° C.

【0060】実施例 2 ここでは、前記固定金具17の圧入作業における適正寸
法の調査、及び固定金具17とセラミックからなる均熱
板2の熱膨張率の差がウエハ位置決め用のガイド14と
の保持力に与える影響を調査した。
Embodiment 2 Here, investigation was made on the proper dimensions in the press-fitting operation of the fixture 17 and the difference in the coefficient of thermal expansion between the fixture 17 and the heat equalizing plate 2 made of ceramic was held by the guide 14 for positioning the wafer. The effect on power was investigated.

【0061】熱膨張率が3.9×10-6/℃の炭化珪素
質焼結体に、42アロイ(熱膨張率8.0×10-6
℃)、Fe−Ni−Co合金(熱膨張率5.9×10-6
/℃)、W(熱膨張率4.3×10-6/℃)、Ni(熱
膨張率15×10-6/℃)、SUS304(熱膨張率1
8.7×10-6/℃)、アンバー(熱膨張率0.8×1
-6/℃)を素材とした図3に示す固定金具17を外径
4.005mm、4.01mm、4.03mm、4.0
6mm、4.09mm、4.12mm、4.15mm、
厚み1.8mmにて製作し、前記均熱板2の凹部13
(穴径4.00mm、深さ2.0mm)に圧入にて埋め
込み、さらに幅0.3mmのスリット20を形成したガ
イド14をネジ部19に設置してサンプルを作製した。
スリット20を形成しないものは、固定金具17を挿入
できないか、もしくは均熱板2の凹部13の周囲にクラ
ックが発生した。
A 42 alloy (8.0 × 10 −6 / coefficient of thermal expansion) was added to a silicon carbide sintered body having a coefficient of thermal expansion of 3.9 × 10 −6 / ° C.
° C), Fe-Ni-Co alloy (thermal expansion coefficient 5.9 × 10 -6)
/ ° C), W (thermal expansion coefficient 4.3 × 10 −6 / ° C), Ni (thermal expansion coefficient 15 × 10 −6 / ° C), SUS304 (thermal expansion coefficient 1)
8.7 × 10 −6 / ° C.), amber (coefficient of thermal expansion 0.8 × 1)
0 -6 / ° C.) to a fixing bracket 17 outer diameter 4.005mm shown in Fig. 3 that the material, 4.01mm, 4.03mm, 4.0
6mm, 4.09mm, 4.12mm, 4.15mm,
It is manufactured with a thickness of 1.8 mm.
(A hole diameter of 4.00 mm and a depth of 2.0 mm) was embedded by press-fitting, and a guide 14 having a slit 20 having a width of 0.3 mm was set on the screw portion 19 to prepare a sample.
In the case where the slit 20 was not formed, the fixture 17 could not be inserted, or cracks occurred around the concave portion 13 of the heat equalizing plate 2.

【0062】これらのサンプルを30〜250℃間でO
N、OFF通電しながら、固定金具17に引っ張り荷重
をかけ、その抜け強度を調査した。評価基準としては、
抜け強度が100N以上のものをOKとし、それ未満の
ものをNGとした。
These samples were treated at 30-250 ° C. with O
N, while applying a current to OFF, a tensile load was applied to the fixing bracket 17, and the pull-out strength was examined. As evaluation criteria,
Those with a pull-out strength of 100 N or more were rated OK, and those with less than 100 N were rated NG.

【0063】それぞれの結果は表2に示す通りである。The results are as shown in Table 2.

【0064】[0064]

【表2】 [Table 2]

【0065】表2から判るように、全てのサンプルにお
いて固定金具17の外径が均熱板2の凹部13の内径よ
り、0.1mmを越えて大きくなったところでネジが入
らなくなったり、均熱板2にクラックが生じる等の問題
が発生し、歩留まり低下が顕著となった。また、前記固
定金具17の外径と前記凹部13の内径との差が0.0
1mmより小さいものは、全て引抜強度が100N以下
と低い値になった。
As can be seen from Table 2, in all the samples, when the outer diameter of the fixing bracket 17 became larger than the inner diameter of the concave portion 13 of the heat equalizing plate 2 by more than 0.1 mm, the screws could not be inserted, Problems such as the occurrence of cracks in the plate 2 occurred, and the yield was significantly reduced. Further, the difference between the outer diameter of the fixing bracket 17 and the inner diameter of the recess 13 is 0.0
All of those smaller than 1 mm had a low pull-out strength of 100 N or less.

【0066】これに対し、固定金具17の外径が前記凹
部13の内径より0.01〜0.1mm大きなものは、
100N以上と良好な引抜強度を示した。
On the other hand, when the outer diameter of the fixing bracket 17 is larger than the inner diameter of the recess 13 by 0.01 to 0.1 mm,
A good pull-out strength of 100 N or more was exhibited.

【0067】また、熱膨張率が8.0×10-6/℃より
高いNi、SUS304は、ON、OFF通電における
均熱板2との熱膨張率の差により、クラックが生じ圧入
可能な範囲が狭くあまり好ましくないと判断した。ま
た、熱膨張率が3.8×10-6/℃以下の低いアンバー
においては、ON、OFF通電にて固定金具17が極め
て低い荷重で抜けてしまうため、均熱板2の熱膨張率よ
り小さい素材を固定金具17として選定することは、好
ましくないと判断した。
Ni and SUS304 having a coefficient of thermal expansion higher than 8.0 × 10 −6 / ° C. have cracks due to the difference in the coefficient of thermal expansion with the soaking plate 2 during ON and OFF energization, and are capable of being press-fitted. Was narrow and not very desirable. On the other hand, when the amber has a coefficient of thermal expansion of 3.8 × 10 −6 / ° C. or less, the fixture 17 comes off with an extremely low load when the power is turned ON and OFF. It was judged that it was not preferable to select a small material as the fixing bracket 17.

【0068】これに対し、熱膨張率が4.3〜8.0×
10-6/℃であるW、Fe−Ni−Co合金、42アロ
イは、固定金具17の外径が前記凹部13の内径より
0.01〜0.1mm大きいものを用いた際に、クラッ
クが発生せず良好であることが判った。
On the other hand, the coefficient of thermal expansion is 4.3 to 8.0 ×
As for W, Fe-Ni-Co alloy, and 42 alloy at 10 -6 / ° C, when the outer diameter of the fixing bracket 17 is larger than the inner diameter of the recess 13 by 0.01 to 0.1 mm, cracks are generated. It was found to be good without generation.

【0069】実施例 3 ここでは、前記固定金具17の底部の角部17aの曲率
半径に関して、その好ましい範囲を調査した。厚み3m
mの炭化珪素質焼結体に穴径4mm、深さ2mmの凹部
13を加工した均熱板2を30枚作製した。そして、前
記均熱板2に、外径4.06mm厚み1.8mmの固定
金具17の前記角部17aの曲率半径を0.03mm、
0.05mm、0.1mm、0.2mm、0.3mmと
なるように加工した後、圧入して、均熱板2に影響がな
いか調査を行った。
Embodiment 3 Here, a preferable range of the radius of curvature of the corner 17a at the bottom of the fixing bracket 17 was investigated. 3m thick
A 30 mm heat equalizing plate 2 was prepared by processing a concave portion 13 having a hole diameter of 4 mm and a depth of 2 mm in a silicon carbide sintered body of m. Then, the radius of curvature of the corner portion 17a of the fixture 17 having an outer diameter of 4.06 mm and a thickness of 1.8 mm is set to 0.03 mm on the heat equalizing plate 2.
After processing so as to be 0.05 mm, 0.1 mm, 0.2 mm, and 0.3 mm, press-fitting was performed to investigate whether or not the heat equalizing plate 2 was affected.

【0070】評価基準としては、均熱板2の外観にクラ
ック、割れなどが生じた場合をNGとし、外観に異常が
見受けられないものをOKとした。
The evaluation criteria were NG when the appearance of the heat equalizing plate 2 was cracked or cracked, and OK when there was no abnormality in the appearance.

【0071】それぞれの結果は表3に示す通りである。The results are as shown in Table 3.

【0072】[0072]

【表3】 [Table 3]

【0073】表3に示すように、固定金具17の前記角
部17aの曲率半径を0.03mmとしたNo.1は、
均熱板2の凹部13付近にクラックや割れなどの異常が
見受けられた。これは、固定金具17の底面と均熱板2
の穴部13の底面との距離が近くなることにより最も弱
い凹部13の底面の外周部に応力がかかりクラックや割
れが生じると推測される。これに対し、前記曲率半径を
0.05mm以上としたNo.2〜6には、クラックや
割れは発生せず良好であった。
As shown in Table 3, the radius of curvature of the corner 17a of the fixture 17 was 0.03 mm. 1 is
Abnormalities such as cracks and cracks were found near the concave portion 13 of the heat equalizing plate 2. This is because the bottom of the fixture 17 and the heat equalizing plate 2
It is presumed that when the distance from the bottom surface of the hole 13 becomes short, stress is applied to the outer peripheral portion of the bottom surface of the weakest concave portion 13 to cause cracks and cracks. On the other hand, in the case of No. 3 where the radius of curvature was 0.05 mm or more. In Nos. 2 to 6, cracks and cracks did not occur and were good.

【0074】[0074]

【発明の効果】以上のように、本発明によれば、セラミ
ックからなる均熱板の一方の主面をウエハの載置面と
し、他方の主面もしくは内部に発熱抵抗体を有するとと
もに、該発熱抵抗体と電気的に接続される給電部を前記
他方の主面に具備してなるウエハ過熱装置において、前
記ウエハ載置面に備えた凹部に固定金具を設置し、ウエ
ハの位置決めを行うためのガイドを上記固定治具に固定
することにより、均熱板の反りを防止し、良好な温度分
布でウエハを加熱できるようになった。
As described above, according to the present invention, one of the main surfaces of the heat equalizing plate made of ceramic is used as the mounting surface of the wafer, and the other main surface or inside thereof has the heating resistor. In a wafer heating device having a power supply portion electrically connected to a heating resistor on the other main surface, a fixture is installed in a concave portion provided on the wafer mounting surface to position the wafer. By fixing the guide to the fixing jig, the warping of the heat equalizing plate was prevented, and the wafer could be heated with a good temperature distribution.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明のウエハ加熱装置を示す断面図である。FIG. 1 is a sectional view showing a wafer heating apparatus according to the present invention.

【図2】(a)は本発明のウエハ加熱装置の斜視図であ
り、(b)はそのX−X断面図である。
FIG. 2A is a perspective view of a wafer heating apparatus according to the present invention, and FIG. 2B is a sectional view taken along line XX.

【図3】本発明のウエハ加熱装置に用いる固定金具の斜
視図である。
FIG. 3 is a perspective view of a fixture used in the wafer heating device of the present invention.

【図4】従来のウエハ加熱装置を示す断面図である。FIG. 4 is a sectional view showing a conventional wafer heating apparatus.

【図5】従来のウエハ加熱装置を示す断面図である。FIG. 5 is a sectional view showing a conventional wafer heating apparatus.

【図6】(a)は従来のウエハ加熱装置を示す斜視図で
あり、(b)は、そのY−Y断面図である。
FIG. 6A is a perspective view showing a conventional wafer heating apparatus, and FIG. 6B is a sectional view taken along line YY of FIG.

【符号の説明】[Explanation of symbols]

1:ウエハ加熱装置 2:均熱板 3:載置面 4:絶縁層 5:発熱抵抗体 6:給電部 7:導通端子 8:弾性体 9:絶縁体 10:熱電対 11:支持体 12:板状構造部 13:凹部 14:ガイド 15:ボルト 16:ナット 17:固定金具 18:隙間 19:ネジ部 20:スリット 1: Wafer heating device 2: Heat equalizing plate 3: Placement surface 4: Insulating layer 5: Heating resistor 6: Power supply unit 7: Conductive terminal 8: Elastic body 9: Insulator 10: Thermocouple 11: Support body 12: Plate-like structure portion 13: concave portion 14: guide 15: bolt 16: nut 17: fixing bracket 18: gap 19: screw portion 20: slit

Claims (5)

【特許請求の範囲】[Claims] 【請求項1】セラミックスからなる均熱板の一方の主面
をウエハの載置面とし、他方の主面もしくは内部に発熱
抵抗体を有するとともに、該発熱抵抗体と電気的に接続
される給電部を前記他方の主面に具備してなるウエハ過
熱装置において、前記ウエハ載置面に備えた凹部に固定
金具を設置し、ウエハの位置決めを行うためのガイドを
上記固定金具に固定したことを特徴とするウエハ加熱装
置。
A power supply electrically connected to one of the main surface of a heat equalizing plate made of ceramics, the main surface being a mounting surface of a wafer, the other main surface or an internal heating resistor and being electrically connected to the heating resistor. In the wafer heating device having a portion on the other main surface, a fixing bracket is installed in a concave portion provided on the wafer mounting surface, and a guide for positioning the wafer is fixed to the fixing bracket. Characteristic wafer heating device.
【請求項2】前記固定金具が弾性力により前記均熱板の
凹部に固定されていることを特徴とする請求項1のウエ
ハ加熱装置。
2. The wafer heating apparatus according to claim 1, wherein said fixture is fixed to a recess of said heat equalizing plate by elastic force.
【請求項3】前記固定金具は、前記凹部より0.01〜
0.1mm大きい直径を有し、且つスリット部を設けた
ことを特徴とする請求項2記載のウエハ加熱装置。
3. The fixing device according to claim 1, wherein the fixing bracket is located at a distance of 0.01 to 0.01 from the recess.
3. The wafer heating apparatus according to claim 2, wherein the wafer heating apparatus has a diameter larger by 0.1 mm and a slit portion is provided.
【請求項4】前記固定金具の熱膨張係数が3.9〜8.
0×10-6/℃の範囲であることを特徴とする請求項1
記載のウエハ加熱装置。
4. A fixture having a thermal expansion coefficient of 3.9 to 8.
2. The temperature range of 0 * 10 < -6 > / [deg.] C.
A wafer heating apparatus as described in the above.
【請求項5】前記固定金具の底面の角部を、曲面もしく
はC面としたことを特徴とする請求項1記載のウエハ加
熱装置。
5. The wafer heating apparatus according to claim 1, wherein the corner of the bottom surface of the fixing bracket is a curved surface or a C surface.
JP2000385443A 2000-12-19 2000-12-19 Wafer heating device Expired - Fee Related JP3906026B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2000385443A JP3906026B2 (en) 2000-12-19 2000-12-19 Wafer heating device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2000385443A JP3906026B2 (en) 2000-12-19 2000-12-19 Wafer heating device

Publications (2)

Publication Number Publication Date
JP2002184683A true JP2002184683A (en) 2002-06-28
JP3906026B2 JP3906026B2 (en) 2007-04-18

Family

ID=18852704

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000385443A Expired - Fee Related JP3906026B2 (en) 2000-12-19 2000-12-19 Wafer heating device

Country Status (1)

Country Link
JP (1) JP3906026B2 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6942000B2 (en) * 1996-05-28 2005-09-13 Rite-Hite Holding Corporation Coupling mechanism and panel for sectional door
US7417206B2 (en) 2004-10-28 2008-08-26 Kyocera Corporation Heater, wafer heating apparatus and method for manufacturing heater
WO2009090816A1 (en) * 2008-01-18 2009-07-23 Komatsu Ltd. Stage for substrate temperature control apparatus
CN115536254A (en) * 2022-09-21 2022-12-30 华能新能源股份有限公司 Heating annealing device

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6942000B2 (en) * 1996-05-28 2005-09-13 Rite-Hite Holding Corporation Coupling mechanism and panel for sectional door
US7417206B2 (en) 2004-10-28 2008-08-26 Kyocera Corporation Heater, wafer heating apparatus and method for manufacturing heater
WO2009090816A1 (en) * 2008-01-18 2009-07-23 Komatsu Ltd. Stage for substrate temperature control apparatus
JP2009170739A (en) * 2008-01-18 2009-07-30 Komatsu Ltd Stage for substrate temperature control device
CN115536254A (en) * 2022-09-21 2022-12-30 华能新能源股份有限公司 Heating annealing device

Also Published As

Publication number Publication date
JP3906026B2 (en) 2007-04-18

Similar Documents

Publication Publication Date Title
US7011874B2 (en) Ceramic substrate for semiconductor production and inspection devices
JP2001244059A (en) Ceramic heating resistor and its applied wafer heating device
JP3981300B2 (en) Wafer support member
JP4146707B2 (en) Wafer heating device
JP2004031630A (en) Wafer supporting member
JP2002141288A (en) Wafer heating system
JP2002198297A (en) Wafer heating equipment
JP3906026B2 (en) Wafer heating device
JP3805318B2 (en) Wafer heating device
JP3872256B2 (en) Wafer heating device
JP4480354B2 (en) Wafer heating device
JP2002110524A (en) Wafer-heating device
JP3771795B2 (en) Wafer heating device
JP4002409B2 (en) Wafer heating device
JP2001189276A (en) Wafer heating apparatus
JP3563728B2 (en) Wafer heating device
JP3909266B2 (en) Wafer support member
JP3559548B2 (en) Wafer heating device
JP2001210450A (en) Wafer heating equipment
JP3921433B2 (en) Wafer heating device
JP2001313243A (en) Wafer heater
JP4189243B2 (en) Wafer support member
JP3860732B2 (en) Wafer heating device
JP3924509B2 (en) Wafer heating device
JP2001237166A (en) Wafer heating device

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20041215

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060818

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20061013

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20070109

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20070115

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 3906026

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110119

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110119

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120119

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120119

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130119

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140119

Year of fee payment: 7

LAPS Cancellation because of no payment of annual fees