JP2002149727A - テーブル形式パラダイムを使用するインターフェース・ベースの設計 - Google Patents

テーブル形式パラダイムを使用するインターフェース・ベースの設計

Info

Publication number
JP2002149727A
JP2002149727A JP2001274714A JP2001274714A JP2002149727A JP 2002149727 A JP2002149727 A JP 2002149727A JP 2001274714 A JP2001274714 A JP 2001274714A JP 2001274714 A JP2001274714 A JP 2001274714A JP 2002149727 A JP2002149727 A JP 2002149727A
Authority
JP
Japan
Prior art keywords
instance
interface
additional
electronic design
notation
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2001274714A
Other languages
English (en)
Inventor
Mark W P Dane
マーク・ダブリュ・ピイ・デーン
Avtar Singh Bahra
アブター・シンフ・バーラ
Stephen L Bold
スティーブン・エル・ボールド
Najimu Shaaramu
シャーラム・ナジム
Suruchi Nichin
ニチン・スルチ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Mentor Graphics Corp
Original Assignee
Mentor Graphics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Mentor Graphics Corp filed Critical Mentor Graphics Corp
Publication of JP2002149727A publication Critical patent/JP2002149727A/ja
Pending legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

(57)【要約】 【課題】 電子設計のインターフェース・ベースの表現
を作成する方法を提供すること。 【解決手段】 行と列をテーブルに追加する。これらの
行および列は、記述によって表現される電子設計の中に
ある信号およびインスタンスを表す。行と列からもたら
されるテーブルによって作成されるセルが、相互接続情
報を提供する。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、電子設計自動化の
分野に関する。より詳細には、本発明は、電子設計シス
テムでの電子設計記述の入力に関する。
【0002】
【従来の技術】先端の集積回路設計は、そのサイズおよ
び複雑さの点で、ますます巨大になってきている。複雑
さのこの増大によってもたらされる問題は、競争圧力に
負けないように、より迅速に製品を市場に出す必要性に
より、さらにひどくなる。カスタム・ユーザ設計スペー
スも、この現象に関して例外ではなく、特定用途向け集
積回路(ASIC)とフィールド・プログラマブル・ゲ
ート・アレイ(FPGA)は、両方とも、多数の設計者
による事業となっている。この結果、設計のアーキテク
トは、どのようにそのプロジェクトを複数の設計者の間
に分割して、効率的な設計プロセスを円滑にするかを決
定しなければならない。複雑さを最小限に抑える従来の
手法は、設計を階層的な垂直方式でブロックに分割する
ことである。アーキテクトにとっての課題には、どのよ
うに機能性をブロックの間に分割して2つのタスクを達
成し、ブロック間の相互接続を最小限に抑え、既存の知
的財産ブロックの使用を可能にするかが含まれる。
【0003】設計をブロックに分割することは、設計プ
ロセスに対するいくつかの利点を提供する。第1に、設
計ブロックをより低レベルのブロックに送ることによっ
て、階層の各レベルの複雑さがより小さくなる。より大
きな回路図およびハードウェア記述言語(HDL)表現
は、開発エラーがより起こりやすい。これらの開発エラ
ーは、回路図またはHDL記述のサイズのために、検出
することがより困難である。したがって、複雑さの低下
は、設計チームが、回路図またはHDL記述を開発し
て、それをデバッグするのをより容易にする。第2に、
設計を階層式に分割することによって、設計チームの間
で設計作業を分割することが可能になる。第3に、設計
の固有部門が、相互接続の複雑さの管理を容易にする。
【0004】ただし、設計を管理するのに階層を使用す
ることには問題がある。第1に、階層は、前述した問題
のすべてを必ずしも解決しない。例えば、階層のレベル
を導入することは、HDLおよび回路図の開発でのエラ
ーの起こりやすさを抑えることができるが、それでも、
その階層のためにHDLモデルまたは回路図を作成する
問題が残る。ブロック・レベル回路図およびテキスト記
述は、取るに足らないほど小さいものの場合を除き、作
成するにはあまりにも厄介である。第2に、追加の階層
の導入は、2つの望ましくない副次効果を有する。例え
ば、追加されるインターフェースのために、シミュレー
ション時間がより多くかかる。さらに、追加の階層は、
境界を横断して最適化を行うことの複雑さのために、統
合および最適化の効率を減じることになる。
【0005】設計が適切に階層に分割されたと想定して
も、設計を入力するのに時間がかかることには変わりな
い。例えば、回路図入力は、各ゲートを配置し、かつ構
成要素間の相互接続情報を与えることを設計者が行う必
要がある。このプロセスは非常に時間がかかる。同様
に、設計としてハードウェア記述言語モデルをユーザに
入力させることによる設計の入力は、設計者が、構成要
素のテキスト記述を入力することを必要とする。そうし
た記述は、やはり、設計者が、テキスト・ファイル内で
出力を入力にマッチングすることによって構成要素間の
接続性を管理することを必要とする。
【0006】下記の説明で、本発明の様々な態様を説明
する。ただし、当分野の技術者には、本発明のいくつか
の態様だけで、またはすべての態様で、本発明を実行で
きることが明白となろう。説明の目的で、本発明の十分
な理解を提供するために、特定の番号、材料、および構
成を提示する。ただし、当分野の技術者には、そうした
特定の詳細なしに、本発明を実行できることも明白とな
ろう。他の場合では、本発明が不明瞭にならないよう
に、よく知られている特徴は省略または単純化してい
る。
【0007】説明のいくつかの部分は、プロセッサ・ベ
ースのデバイスによって実行されるオペレーションの点
で説明することになり、これには、データ、テーブル、
要求する、判定する、リトリーブする、表示するなどの
用語を当分野の技術者による一般的な用法に合せて当分
野の技術者にその働きの本質を伝えるように使用する。
当分野の技術者にはよく理解されるとおり、数量は、プ
ロセッサ・ベースのデバイスの機械的構成要素および電
気的構成要素を介して記憶、転送、合成、および別の仕
方で操作され得る電気信号、磁気信号、または光信号の
形式をとり、またプロセッサという用語には、独立型、
従属型、または組込み型のマイクロプロセッサ、マイク
・ロコントローラ、デジタル信号プロセッサなどが含ま
れる。
【0008】様々な動作は、本発明を理解する上で最も
助けとなるように、複数の離散ステップとして説明する
が、ただし、説明の順序は、これらの動作が、必ずしも
順序に依存するものとして解釈してはならない。詳細に
は、これらの動作は、提示の順序で実行する必要はな
い。さらに、説明は、「一実施形態では」という語句を
繰り返し使用し、これは、通常、同一の実施形態を指す
ものではないが、そうであることも可能である。最後
に、「電子設計の部分」は、電子設計のサブセクション
だけでなく、それに加えて、電子設計の完成表現も指す
ことが可能である。
【0009】省略語 このセクションは、本文書をとおして使用する省略語の
定義を含む。そのいくつかは、当分野の技術者には、よ
く知られたものである。他のものは、本明細書内で定義
する。 ASIC 特定用途向け集積回路 FPGA フィールド・プログラム可能ゲート・アレイ HDL ハードウェア記述言語 ICT 相互接続テーブル IC 集積回路 IBD インターフェース・ベースの設計
【0010】
【発明が解決しようとする課題】所望されるのは、階層
管理および時間のかかる設計入力と関連する問題を解決
する方式である。
【0011】
【課題を解決するための手段】本発明は、有利には、コ
ンパクトな形式を使用して、複雑な設計の迅速な入力を
円滑にする一方、同時に、不適切または非最適のインタ
ーフェース記述と関連する問題を抑制する。さらに、本
発明は、非相互排除的なサブ相互接続テーブルを迅速に
作成する能力と設計者入力データの削減を組み合せるこ
とによって、設計に関する明瞭で簡明なドキュメンテー
ションの提供を容易にする。
【0012】階層の任意のレベルで設計を表現する従来
の方法は、略図またはブロック図を作図することか、あ
るいはネットリスト表現またはHDL表現を介してテキ
スト記述を書くことのいずれかに関与している。本発明
は、階層の任意のレベルで設計を表現する新しい方法を
記述する。詳細には、相互接続テーブル(ICT)と呼
ばれる極めてコンパクトなテーブル形式で設計の従来方
法を強化するインターフェース・ベースの設計パラダイ
ムを開示する。ICT、つまり本明細書での単純なテー
ブルは、コンパクトな方式で、構成要素間の接続性情
報、および設計記述プロセスに重要な他の情報を入力す
るためのスプレッドシート様のインターフェースであ
る。テーブル形式の表現は、とてもコンパクトであるの
で、非常に複雑なネットリストを非常に小さなスペース
の中で迅速に記述することができる。
【0013】電子設計の一部を表すテーブルの使用を介
して、設計の階層のレベルをモジュール方式で記述し
て、その後、それらをシームレスに合成することができ
る。これは、設計努力の統合を非常に単純化しながら、
チームによる設計作業の分割を容易にする。このテーブ
ル形式パラダイムは、IC設計と同様に、広義の設計に
関しても機能する。
【0014】
【発明の実施の形態】テーブル原理図1は、本発明のた
めの基本テーブルの一実施形態を示している。このテー
ブルは、設計のビューを表す。トップのこのビューは、
2つのインスタンス、すなわちモジュール1(120)
およびモジュール2(130)を含む。インスタンス
は、設計の構成要素のインスタンス化、外部知的財産構
成要素のインスタンス化、ブロック、または電子設計の
構成要素である任意の他のオブジェクトであることが可
能である。
【0015】本発明のこの実施形態では、信号名の列の
後に来る第2列110は、設計およびその設計のための
インターフェースを定義するインターフェース列と呼ば
れる特別列である。そのインターフェースは、sigN
ame1(140)およびsigName2(180)
によって定義される。sigName1(140)は、
このビューに対する唯一の入力であり、sigName
2(180)は、その唯一の出力である。図2は、図1
に示したテーブルに対する対応するブロック図を示して
いる。
【0016】本発明のこの実施形態では、信号名は、テ
ーブルの行の中に示され、インスタンスは、その列の中
に示されている。ただし、信号を列の中で示し、インス
タンスを行の中で示すことも可能である。行と列の交差
には、シナプスと呼ばれるセルがある。空のシナプス
は、交差する行に対応する信号と交差する列に関連する
インスタンスの間で接続性が存在しないことを示す。
【0017】本実施形態では、ヘッダ列は、階層の現行
レベルに対して存在する信号の名前を含む。この実施形
態に関して見ることができるとおり、4つの信号、si
gName1、sigName2、sigA、sigB
が存在する。同様に、この実施形態では、特に、階層の
現行レベル内に現れるインスタンスに関する情報を含ん
だヘッダ行を含む。
【0018】本実施形態では、入力および出力は、テー
ブルのインターフェース列110によって見ることがで
きる。インターフェース列110と信号sigName
1(140)に対応する行の交差で、シナプス内に
「I」が含まれることによって示されるとおり、Sig
Name1は、ビュー・トップに対する入力である。同
様に、インターフェース列110と信号SigName
2(180)に対応する行の交差で、シナプス内に
「O」が含まれることにより、SigName2は、ト
ップの出力である。
【0019】テーブル内のポートのディレクション(dir
ection)の一般的指示の使用により(例えば、前述のと
おり、入力として「I」、また出力として「O」)、モ
ジュール1に関する形式的ポート宣言は、このビューで
の信号名と同じ名前を使用することになる。例えば、示
したこのテーブルに対してVHDLモデルが書かれる場
合、モジュール1に関する構成要素宣言は、入力ポート
および2つの出力ポートに対するポート名は、それらの
ポートに接続された信号、すなわち、それぞれ、Sig
Name1、SigA、SigBに関して定義されたも
のと同じであることを示す。
【0020】第3列120は、その識別子がU0である
モジュール1と呼ばれる構成要素のインスタンスを示し
ている。この列120とテーブル内の行の交差を見る
と、このインスタンスが、入力信号、SigName1
(140)および2つの出力信号、sigA 160お
よびsigB 170を有することが分かる。プロトコ
ルとも呼ばれるバンドルを含んだ行をサブ行に分割する
ことを提供している本発明のこの実施形態の特徴に留意
されたい。バンドルは、2つまたはそれより多くの信号
の集合である。各対応するサブ行は、構成要素信号の名
前、または、多分、構成要素信号のサブ合成の名前を含
む。例えば、インスタンスU0は、出力信号「sig
A」160と「sigB」170の合成として、その名
前が「バンドル1」150であるバンドルを有する。
【0021】この実施形態の最終列130は、その識別
子がU1である「モジュール2」と呼ばれる構成要素の
インスタンスを示している。このインスタンスは、単一
の入力および単一の出力を有する。形式的構成要素記述
に対応するポートが、信号に関して有するディレクショ
ンの単純な指示、例えば、「I」または「O」の代り
に、U1に対する入力は、モジュール、モジュール2に
対する対応する形式的ポート名の名前を有することに留
意されたい。さらに、U0は、現行のブロック、Sig
Name2(180)に対する唯一の出力を送出するこ
とが分かる。
【0022】図3は、本発明の別の実施形態を示してい
る。図3のテーブルからもたらされることになる回路図
を図4に示している。この実施形態は、構成要素の複数
のインスタンス化を含んだ実装形態を示す。モジュール
2(310)は、図4に示すとおり、2回、インスタン
ス化される。モジュール2のインスタンスは、U1(3
20)およびU2(330)である。
【0023】設計記述出力 下記に説明するとおり、本発明のコンピュータ実装形態
も存在し得る。これらのコンピュータ・システム上で本
発明を使用して作成した設計記述のダウンストリーム・
ツールによる後の使用を円滑にするため、設計の記述を
出力する能力を有することが望ましい。本発明の一実施
形態では、テーブル形式は、HDL表現に書き表すこと
ができる。例として、図3を再び参照する。図3は、設
計のICT表現を示していることを思い起こされたい。
図5Aおよび5Bは、このテーブルに対するハードウェ
ア記述言語VHDLでの対応する記述を示している。
【0024】図6Aおよび6Bは、図3のテーブルから
図5Aおよび5BのVHDLモデルを書くことの一実施
形態に対する流れ図を示している。VHDLモデルを書
くことの第1ステップ610は、どのパッケージが使用
中であり、その結果、どのライブラリおよび使用節(use
clause)620が必要とされるかの判定である。この例
では、この判定は、使用する信号タイプに基づいて行
う。信号タイプの判定は、下記に説明する。ライン50
3および540は、この例で使用するstd_logi
c信号タイプに関する定義を含んだIEEEライブラリ
に関する宣言を示している。次630に、ライン506
〜510は、インターフェース列340に記載されるイ
ンターフェースおよびそのポートの名前に基づいて書き
出されたVHDLエンティティ・ステートメントを示し
ている。この列からのインターフェース名は、エンティ
ティ名506に変換される。エンティティ上のポート
は、インターフェース列内の適切な指示(この実施形態
では、入力として「I」、また出力として「O」)を含
んだシナプスから導出する。このことから、信号1(5
07)が、エンティティ・トップに対する唯一の入力で
あり、また信号2(508)および信号3(509)が
その出力であることが分かる。次に、アーキテクチャの
ボディ・アウトライン512、532、554を書く6
40。アーキテクチャのボディ・アウトラインを書いた
後、そのボディに関する信号宣言を判定する必要がある
650。これらの信号は、単に、エンティティ宣言でま
だ宣言されていないテーブル内に現れる信号である。そ
うした信号は、アーキテクチャ・ボディの宣言部内に書
くことになる。この例では、これらの信号は、バンド
ル、バンドル1の2つの構成信号、すなわち、sigA
514およびsigB 515である。宣言セクショ
ン内には、信号宣言を書いた後、構成要素宣言を書く。
この実施形態では、これらは、インターフェース列の右
側の、テーブル列によって示される。この例では3つの
列が存在するが、図3では、2つの構成要素だけを示し
ている。その3つの列は、インスタンスU0、U1、U
2、ならびに構成要素、モジュール1(350)および
モジュール2(310)を含む。まだ処理すべきインス
タンスが存在するかどうかを判定した後660、まず、
インターフェース列670の右側の第1列を処理するこ
とから始める。この場合、このインスタンスと関連する
構成要素が、既に書かれているかを尋ねる。U0を有す
るケースでは、答えは否であり、モジュール1の構成要
素を書く517〜523。このループを巡る後続の反復
中、U1の処理が、モジュール2の構成要素を書かせる
ことになる525〜530。ただし、U2を処理すべき
とき、モジュール2は、既に書かれており、したがっ
て、ステップ670では、モジュール2は、再び書かれ
ることにはならない。構成要素を処理する上で、第1ス
テップは、構成要素ボディ宣言部分を書き出すことであ
る675。この後、各ポートを構成要素上で処理する6
80。シナプスの内容を見ることにより、ポートが特定
のポート名を有するかを判定することができる685。
それが特定のポート名を有さない場合には、構成要素内
の形式的ポート宣言を信号ヘッダからの名前として書く
687。それ以外では、ポート名は、シナプス名からと
る689。示すとおり527〜528、モジュール2に
関する形式的ポート宣言の値は、「in1」360およ
び「z」370である。
【0025】この実施形態では、モジュール2構成要素
に関するポートのディレクションは、そのポートに接続
された信号上の任意のドライバの存在によって判定す
る。「in1」(インスタンスU1またはU2のどちら
かに対する)の場合、このポートを駆動している信号
は、モジュール1の出力に接続されている。これは、こ
の信号上にドライバが存在し、したがって、モジュール
2のポートは、入力であることになる。同様の推論によ
り(この場合も、モジュール2のU1インスタンスまた
はU2インスタンスに対して)、ポート「z」は、出力
であることになる。これは、「z」ポートがそこに接続
された信号に接続されている他のドライバが何も存在し
ないからである。
【0026】本発明のこの実施形態では、ユーザは、ポ
ートのシナプスにおける形式名が存在する場合でもポー
トのディレクションをシナプスで指定する能力を有する
ことになる。本発明の一実施形態では、構成要素宣言を
書き、宣言セクションが完成した後、構成要素インスタ
ンス化をアーキテクチャのステートメント・セクション
に対して行う。この実施形態では、テーブル内の各イン
スタンス、U0(534〜539)、U1(541〜5
45)、U2(546〜550)ごとに構成要素インス
タンス化ステートメントが存在することが分かる。イン
スタンス化に関する相互接続は、テーブルから直接に変
換する。図5に示した実施形態では、インスタンス化
は、名前付き関連を使用する。例えば、モジュール2の
U1インスタンスの場合、「in1」ポートが、トップ
542内の「sigA」信号に接続される。この接続
は、sigA行とU0列360が交差しているシナプス
内での「in1」の出現によって示される。最後に、構
成要素インスタンス化をアーキテクチャのステートメン
ト・セクションに書いた後、ライン551および552
が、バンドル、バンドル1からの個々の信号、sigA
およびsigBのブレークアウトを示す。
【0027】VHDLで使用するためには、信号タイプ
を提供して、ツールが、記述を書くことができるように
しなければならない。この実施形態では、デフォルト値
を提供し、これは、「std_logic」タイプのも
のである。本発明の一実施形態では、「ビット」のデフ
ォルト値を使用する。別の実施形態では、デフォルト値
は想定せず、ユーザが、追加の列の中でタイプ情報を指
定することになる。さらに別の実施形態では、デフォル
ト値は想定せず、VHDLを書く要求が行われたとき、
信号として使用するタイプを求めるプロンプト指示をユ
ーザが受けることになる。
【0028】ダウンストリーム・ツールに対する出力設
計記述に対する他の方法も使用することが可能である。
例えば、本発明の一実施形態では、設計は、Veril
ogHDLで書き出すことができる。本発明の別の実施
形態では、設計は、所有権データベース形式で書き出す
ことができる。
【0029】追加のテーブル特徴 信号アレイ、モジュール・アレイ、および信号ベクトル
データの短縮を助けるため、本発明の一実施形態では、
設計内での信号およびモジュールを記述するアレイの使
用をサポートする。図7Aは、信号アレイおよびモジュ
ール・アレイを使用する一実施形態を示している。この
例では、その名前が、コントローラである設計を示して
いる。この実施形態では、示している最終列は、インタ
ーフェース列702である。この列から、コントローラ
は、2つの入力、inSig1およびinSig2を有
することが分かる。さらに、コントローラは、実際には
2つの信号、outSigAおよびoutSigBであ
る出力、outSigを有する。図7Bは、図7Aのイ
ンターフェース・ベースの設計記述の対応する概略表現
を示している。
【0030】本発明のこの実施形態では、モジュールま
たは信号の名前アレイを示すために中括弧を使用してい
る。この方法は、モジュールに対して第2次元を作成
し、また信号ベクトルに対して第2次元を作成するのに
使用することができる。例えば、図7Aを参照すると、
「F」モジュールのアレイに関する定義を列ヘッダ70
5の中で示すことができる。この場合に示すとおり、F
{A:B}のシンタックスは、図7Bで示す2つのモジ
ュールFA710およびFB715を生成することの省
略表現として使用することができる。変換を指名するこ
のアレイは、英数字に関してうまく機能し、また、これ
は、反復する構造を有する設計関してスライスを複写す
る単純な方式である。
【0031】図7Aに示す本発明の実施形態もまた、信
号に関してベクトルを有する機能を提示している。信号
「run」は、範囲標識[6:5]725によって表さ
れる2ビット幅のバスである。「run」バスは、モジ
ュール「state_machine」720によって
駆動される。この実施形態では、「run」バスに関す
る6:5の位置表記は、モジュールFの形式的入力ポー
トwinに接続されているものとして示している。Fモ
ジュール・アレイに関するA:B範囲標識は、FAが、
そのwinポートを駆動する「run」信号の6ビット
を有し、またFBが、そのwinポートを駆動する「r
un」信号の5ビットを有すべきことを示している。追
加の構造は、信号アレイ上の信号ベクトルを使用して構
成することができる。図8から11でのテーブルは、ど
のように本発明の実施形態が、シナプス内での使用のた
めに、アレイおよびベクトル、ならびにそのサブセット
を扱うことができるかを提示している。
【0032】信号属性およびコメント テーブル内に存在することが可能な他のタイプの列の例
は、信号属性、インスタンス属性、およびコメントであ
る。図12は、そうした入力を有するテーブルを含んだ
本発明の実施形態を示している。
【0033】図12に示す実施形態では、信号属性列の
存在が、この設計に関してHDLモデルを書き出したと
き、生成されるすべての信号が、名前付き属性を含むこ
とを示している。この例では、書かれるすべての信号
は、属性「遅延」を含むことになる。これは、テーブル
内での「遅延」列ヘッダの存在によって示されている。
属性の値は、信号と属性が交差するシナプス内に含まれ
る。例えば、信号in1は、その値が20ナノ秒である
信号属性を有することになる。この実施形態では、属性
「遅延」の存在は、設計が出力されるときに書かれる各
信号には、シナプス内での値の有無に関わらず、この属
性が書かれることを意味している。他の実施形態では、
これは、フラグまたは他の条件に依存して、オプション
である。
【0034】図12に示す実施形態は、また、コメント
・フィールドも示している。この実施形態では、コメン
トは、HDLファイル内のエンド・ライン・コメントと
して書き出される。他の実施形態は、これを単にテーブ
ルのコメント・フィールドとして使用して、HDLファ
イルを書くとき、コメントを書かない、あるいはHDL
がブロック・コメントをサポートする場合、ブロック・
コメント形式でコメントを書き出すことが可能である。
【0035】図13Aおよび13Bは、図12のテーブ
ルからの出力のHDLリストを示している。ライン13
10および1320での、エンティティ宣言内のポート
宣言ステートメントは、図12のテーブルからのコメン
トの出力を示す。このエンティティ宣言は、また、ライ
ン1330および1340で、インターフェースに対す
る入力ポートおよび出力ポートに関する信号属性も示し
ている。図12のテーブルから書かれたHDLに関す
る、アーキテクチャの宣言部分は、テーブル内で示され
る内部信号に関して、ライン1350および1360
で、属性ステートメントを示している。
【0036】非インスタンス列を有する機能をサポート
する実施形態の場合、列がインスタンスに対応しないこ
とを示す何らかの方式が存在する必要がある。図12に
示した実施形態では、各列と結び付けられた隠れた列タ
イプ・フィールドが存在する。このフィールドは、列
が、モジュールのインスタンス、コメント、または信号
属性のいずれを記述しているかについての情報を提供す
る。
【0037】インスタンス属性 信号属性と同様の方式で、本発明の実施形態は、インス
タンス属性をサポートすることができる。図12に示
し、図13Aおよび13Bでの対応するHDLで示すと
おり、cellNameと呼ばれるインスタンス属性が
存在する。ライン1370上で示すとおり、モジュール
1のU0インスタンスに関するこの属性の値は、「Re
v3」である。この実施形態では、インスタンス属性の
振舞いは、信号属性とはわずかに異なることに留意され
たい。インスタンス属性のフィールド内に何も入力が存
在しない場合、属性は書き出されない。このため、図1
2に示すとおり、モジュール2のcellNameに対
する入力は全く存在せず、したがって、ファイルに書き
込まれる属性は何も存在しない。
【0038】属性出力オプション 前述したとおり、本発明の一実施形態では、属性情報
は、テーブルによって表される設計のHDL記述内に出
力することができる。例えば、ユーザが、テーブルの列
の中での後続の合成処理に関係する属性情報を入力す
る。ユーザは、次に、内部スクリプトを書き、これは、
インラインHDL/組込み制約を作成して、それらを生
成済みHDLファイルに挿入するために、HDL生成器
によって使用されることになる。
【0039】本発明の一実施形態では、属性情報は、タ
ブ分離値(TSV)形式での出力である。ユーザは、属
性/特性値を含んだTSV形式ファイルにアクセスする
外部スクリプトを書いて、別の設計ツールまたは検証ツ
ールが自動的に読み取ることのできる独立型制約ファイ
ルを作成することができる。
【0040】さらに別の実施形態では、本発明は、ユー
ザ・スクリプトを必要とせず、直接に合成制約ファイル
を生成することになる。制約ファイルは、ユーザが指定
する目標合成ツールのための適切な形式になり、これ
が、次に、HDL設計記述ファイルを処理することにな
る。
【0041】複数のテーブル操作 テーブル・マージ 前述したとおり、本発明の利点の1つは、複数の設計者
またはチームに設計の異なる部分に対して作業を行わ
せ、他方、同時に、単一の設計表現に結合する努力を容
易にすることである。これは、異なったテーブルで設計
し、それからそれらのテーブルを一緒にマージすること
によって達成され得る。
【0042】図16は、図14および15のテーブルを
マージする本発明の一実施形態の結果を示している。図
14は、3つのブロック、2つのfunction_o
neブロックおよびコントロール・ブロックを含んだ
「例」と呼ばれる設計の部分を示している。図15は、
2つのブロック、other_functionブロッ
クおよびモニタ・ブロックを含んだ同一設計の別の部分
を示している。本発明のこの実施形態では、マージに先
立って、2つのテーブルに対する検査を実行する。この
検査は、相違するデータでの対立を探す。例えば、イン
スタンスに対する各接続を検査して、そのディレクショ
ン、範囲、およびタイプがマッチする(またはタイプ変
換器によって処理される)ことを確実にする。さらに、
本発明のこの実施形態では、信号属性値は、異なるテー
ブル内での同一の信号に関して正確に一致しなければな
らない。本発明の他の実施形態は、データのマージに関
して、任意のセットの規則を確立することができる。
【0043】本発明によって生成される他のテーブルの
場合と同様に、本発明の実施形態によってテーブルをエ
クスポートさせることが望ましいことがあり得る。この
エクスポートは、前述したとおり、HDLの形式である
ことが可能である。Verilogハードウェア記述モ
デルの生成を伴う本発明の一実施形態では、テーブルを
マージしたとき、複数の出力、無出力、または無入力が
報告される。この情報は、保持されて、マージしたテー
ブルからのHDLモデルの生成中にフラグで示される。
これは、ツールが、他のツールによって使用され得るモ
デルを書く時点で、この情報がユーザに伝えられること
を確実にする。
【0044】テーブル抽出 設計記述の構成要素テーブルを作成することができる。
本発明の一実施形態では、信号の行がユーザによって選
択される。信号のこれらの行に基づいて、選択された行
の中の信号を駆動する、またはそれらによって駆動され
るモジュールもまた、選択される。ユーザ選択された行
の信号および対応する選択されたモジュールは、テーブ
ルから抽出されて、オリジナルの記述の構成要素テーブ
ルを作成するのに使用される。
【0045】ユーザ・デバイス実施形態 ハードウェア 図17は、本発明のユーティリティ・アプリケーション
を使用してプログラムするのに適したユーザ装置の一実
施形態を示している。示すとおり、図示する実施形態の
場合、ユーザ・デバイス1700は、プロセッサ170
2、プロセッサ・バス1706、高性能I/Oバス17
10、および標準I/Oバス1720を含む。プロセッ
サ・バス1706と高性能I/Oバス1710は、ホス
ト・ブリッジ1708によってブリッジされ、他方、I
/Oバス1710と1720は、I/Oバス・ブリッジ
1712によってブリッジされている。プロセッサ・バ
ス1706には、キャッシュ1704が結合されてい
る。高性能I/Oバス1710には、システム・メモリ
1714およびビデオ・メモリ1716が結合され、こ
のビデオ・メモリに対して、ビデオ・ディスプレイ17
18が結合されている。標準I/Oバス1720には、
ディスク・ドライブ1722、キーボード1724、ポ
インティング・デバイス1728、および通信インター
フェース1726が結合されている。
【0046】これらの要素は、当分野で知られているそ
の従来の機能を実行する。詳細には、ディスク・ドライ
ブ1722およびシステム・メモリ1714を使用し
て、機械的設計システムの永久コピーおよび作業コピー
を記憶する。この永久コピーは、工場内でディスク・ド
ライブ1722内に事前ロードする、配布媒体1732
からロードする、または遠隔配布ソース(図示せず)か
らダウンロードすることが可能である。配布媒体173
2は、テープ、CD、DVD、または同様の他の記憶媒
体であることが可能である。これらの要素の構成は、知
られている。当分野で知られるこれらの要素のいくつか
の実装形態のどれを使用してでも、コンピュータ・シス
テム1700を形成することができる。
【0047】いくつかの実施形態は、追加の構成要素を
含む、前記構成要素のすべては必要としない、または1
つまたは複数の構成要素を組み合せることが可能であ
る。当分野の技術者は、様々な代替の実装形態に精通し
ているであろう。
【0048】ソフトウェア・ユーザ・インターフェース コンピュータ・システムで実現された本発明は、独立型
アプリケーションである、または設計入力アプリケーシ
ョンの一部であることが可能である。図18は、設計入
力アプリケーションのスイートでの本設計の実施形態を
示している。ICTエディタ1810が、ブロック図エ
ディタ1820、HDLファイルの直接入力のためのテ
キスト・エディタ1830、および状態マシン・エディ
タ1840とともに示されている。この実施形態では、
すべての設計入力アプリケーションは、ユーザ・インタ
ーフェース1850に対する共通部分を有する。さら
に、ユーザが、異なる設計を組み合せて、それらをハー
ドウェア記述言語形式で書くことを可能にする共通「バ
ックエンド」1860が存在する。前記説明で述べたと
おり、本発明での情報の入力はHDL非依存の方式のも
のでもよい。
【0049】本発明でソフトウェア・インターフェース
を使用することの1つの特徴は、信号バンドルおよびバ
ス、ならびに信号アレイおよびインスタンス・アレイを
縮小および拡張することが可能なことである。図19
は、本発明の一実施形態からのテーブルの一部を示して
いる。この実施形態では、バンドル、mybundle
1910が存在し、これは、2つの信号、データ[3
2] 1920およびR/W 1930を含む。この実
施形態では、バンドルを縮小して、テーブルを単純化す
ることが可能となる。例えば、図19では、バンドル1
910、mybundle上でダブル・クリックするこ
とによって、図20に示すとおり、このバンドルを縮小
することができる。そうした場合、複雑な接続が作成さ
れる。この複雑な接続は、テーブル内の「*」によって
示されている。本発明のこのコンピュータ実施形態で
は、ユーザは、バス、およびインスタンスと信号のアレ
イに対して、同一のオペレーションを実行することがで
きる。
【0050】本発明の一実施形態では、ソフトウェア・
ユーザ・インターフェースが、テーブル記述からブロッ
ク図をユーザが閲覧する機能をサポートすることにな
る。例として、図3および4を再び参照する。図4は、
図3のテーブルから生成されるブロック図の例となる。
本発明の一実施形態では、ユーザは、テーブルを選択し
て、プルダウン・メニューから「ブロック図を生成す
る」オプションを選択することができる。プルダウン・
メニューは、当分野ではよく知られており、さらには説
明しない。多くの設計者が、ブロック図形式での設計の
表現に慣れているので、テーブルのブロック図を閲覧す
る機能を有することが望ましい。これは、ユーザが、テ
ーブル表現を自分が慣れているもの、つまりブロック図
と迅速に比較することを可能にする。
【0051】本発明の一実施形態では、信号属性および
インスタンス属性の使用は、ユーザ・インターフェース
内のポップアップ・メニューを使用して円滑にすること
ができる。ポップアップ・メニューは、当分野では知ら
れており、実装のための詳細は提供しない。前記説明か
ら、本発明の実施形態は、インスタンス属性および信号
属性を使用することを思い起こされたい。この実施形態
では、設計プロセスで使用するVHDLパッケージにつ
いての情報が、インターフェース・ベースの設計ツール
に提供される。これは、セットアップ時に、設計パッケ
ージ情報に関して、ユーザに照会を行うことによって実
現することができる。設計パッケージ情報が提供される
と、そのパッケージ情報を走査して、どのタイプが信号
およびインスタンスとして定義されているかを判定する
ことができる。この情報から、本発明の現行の実施形態
は、信号およびインスタンスとして利用可能な属性のリ
ストを構成することができる。このリストは、例えば、
ユーザが、ポインティング・デバイスをテーブルの上に
もってきたときに、ポップアップ・メニューを介して、
そのユーザに提示することができる。
【0052】結論 したがって、前記説明から、設計の階層の任意のレベル
に関する相互接続のコンパクトな記述を提供する新しい
方法が提供される。そうした記述は、そうした設計の表
現に対するコンパクトな形式を使用して、複雑な設計の
より迅速な入力を可能にする。
【図面の簡単な説明】
【図1】インターフェース・ベースの設計テーブルの実
施形態を示す図である。
【図2】図1のインターフェース・ベースの設計テーブ
ルの等価表現を示す回路図である。
【図3】複数のモジュール・インスタンシエーションを
有するインターフェース・ベースの設計テーブルの実施
形態を示す図である。
【図4】図3のインターフェース・ベースの設計テーブ
ルの等価物となるものを示す回路図である。
【図5A】図5Bと一体となって図3に示した本発明の
実施形態に関するVHDLモデルを示す図である。
【図5B】図5Aと一体となって図3に示した本発明の
実施形態に関するVHDLモデルを示す図である。
【図6A】本発明の実施形態からHDLモデルを作成す
る方法を示す流れ図である。
【図6B】本発明の実施形態からHDLモデルを作成す
る方法を示す流れ図である。
【図7A】モジュールおよび信号の配列を示すインター
フェース・ベースの設計テーブルの実施形態を示す図で
ある。
【図7B】図7Aのインターフェース・ベースの設計テ
ーブルと同等の回路図である。
【図8】本発明の一実施形態での信号の使用の詳細を示
す図である。
【図9】本発明の一実施形態での信号ベクトルの使用の
詳細を示す図である。
【図10】本発明の一実施形態での信号配列の使用の詳
細を示す図である。
【図11】本発明の一実施形態での信号ベクトル配列の
使用の詳細を示す図である。
【図12】信号属性、モジュール属性、およびコメント
を示す本発明の実施形態を示す図である。
【図13A】図13Bと一体となって図12に示したI
CT実施形態に関するVHDLモジュールを示す図であ
る。
【図13B】図13Aと一体となって図12に示したI
CT実施形態に関するVHDLモジュールを示す図であ
る。
【図14】設計の第1部分に関する相互接続テーブルで
ある。
【図15】設計の第2部分に関する相互接続テーブルで
ある。
【図16】図14および15の相互接続テーブルをマー
ジすることによって形成した設計の完成した表現に関す
る相互接続テーブルである。
【図17】本発明の実施形態を実行するハードウェアを
示す図である。
【図18】本発明および他の設計入力ツールを実現する
ソフトウェア解決法を示すブロック図である。
【図19】拡張バンドル構成要素を有するバンドルを含
んだ相互接続テーブルの例を示す図である。
【図20】拡張バンドルを縮小することの効果を示す図
19の相互接続テーブルである。
【符号の説明】
1700 ユーザ・デバイス 1702 プロセッサ 1704 キャッシュ 1706 プロセッサ・バス 1708 ホスト・ブリッジ 1710 高性能I/Oバス 1712 I/Oバス・ブリッジ 1714 システム・メモリ 1716 ビデオ・メモリ 1718 ビデオ・ディスプレイ 1720 標準I/Oバス 1722 ディスク・ドライブ 1724 キーボード 1726 通信インターフェース 1728 ポインティング・デバイス 1732 配布媒体
───────────────────────────────────────────────────── フロントページの続き (72)発明者 アブター・シンフ・バーラ イギリス国・アールジイ41 3エイゼッ ト・ウォーキンハム・ウエストモーランド クロース・2 (72)発明者 スティーブン・エル・ボールド イギリス国・アールジイ14 2ピイユー・ バークシャー・ニューベリー・カーリング ウェイ・147 (72)発明者 シャーラム・ナジム イギリス国・アールジイ6 4ビイワイ・ バークシャー・レディング・ロウワー ア ーリー・フリートハム ガーデンズ・6 (72)発明者 ニチン・スルチ イギリス国・アールジイ19 3ワイエヌ・ バークシャー・サッチャム・ボウズ ロー ド・7 Fターム(参考) 5B046 AA08 BA02 5F064 AA02 AA08 BB09 HH06 HH08

Claims (33)

    【特許請求の範囲】
  1. 【請求項1】 入力を受け取って、電子設計の一部のイ
    ンターフェース・ベースの表現を作成するステップと、 前記入力に基づいて、前記電子設計の前記部分内の信号
    に対応する行と、前記電子設計の前記部分内の第1イン
    スタンスに対応する第1列と、前記電子設計の前記部分
    内の第2インスタンスに対応する第2列とを含むテーブ
    ルを生成するステップと、 前記入力に基づいて、前記行と前記第1列の交差で前記
    テーブル内に第1表記を追加するステップと、 前記入力に基づいて、前記行と前記第2列の交差で前記
    テーブル内に第2表記を追加するステップとを含み、 前記第1表記および前記第2表記が、前記電子設計の前
    記部分内での前記第1インスタンスと前記第2インスタ
    ンスの間の相互接続を表している方法。
  2. 【請求項2】 第1インスタンスと第2インスタンスの
    間の前記相互接続が、前記第1インスタンスの複数のポ
    ートと前記第2インスタンスの複数のポートの間の接続
    を含む請求項1に記載の方法。
  3. 【請求項3】 1つまたは複数の前記第1表記と前記第
    2表記が、第1インスタンスと第2インスタンスの間の
    相互接続のディレクションを示す情報を含む請求項1に
    記載の方法。
  4. 【請求項4】 追加の入力を受け取って、電子設計のイ
    ンターフェース・ベースの表現をさらに定義するステッ
    プと、 前記追加の入力に基づいて、テーブルに複数の追加の行
    を追加するステップであって、前記追加の行の各々が、
    前記電子設計の一部の中にある複数の追加信号のうち1
    つに対応するステップと、 前記追加の入力に基づいて、テーブルに複数の追加の列
    を追加するステップであって、前記追加の列の各々が、
    前記電子設計の一部の中にある複数の追加インスタンス
    のうち1つに対応するステップと、 行と列の各々の交差で前記テーブル内に表記を追加し
    て、前記電子設計の前記一部の中にある1つまたは複数
    の第1インスタンスと、第2インスタンスと、複数の追
    加インスタンスのうち1つまたは複数との間の相互接続
    を表すステップとをさらに含む請求項1に記載の方法。
  5. 【請求項5】 行が、対応する信号の信号名を含む少な
    くとも1つのセルを含む請求項1に記載の方法。
  6. 【請求項6】 ディレクションを示す情報が、「O」、
    「I」、「B」、または「U」のうち1つを含む請求項
    3に記載の方法。
  7. 【請求項7】 複数の追加列のうち少なくとも2つが、
    構成要素の別々のインスタンスを表す請求項4に記載の
    方法。
  8. 【請求項8】 少なくとも1つのさらなる列を追加する
    ステップであって、前記追加するさらなる列の各々が、
    設計表現の一部の中にある選択された追加信号に関する
    1つまたは複数の信号属性のうち1つに対応するステッ
    プをさらに含む請求項4に記載の方法。
  9. 【請求項9】 電子設計の第1部分の第1インターフェ
    ース・ベースの表現を受け取るステップと、 電子設計の第2部分の第2インターフェース・ベースの
    表現を受け取るステップと、 前記電子設計の前記第1部分の前記第1インターフェー
    ス・ベースの表現および前記第2部分の前記第2インタ
    ーフェース・ベースの表現に基づいてテーブルを生成す
    るステップであって、各行が、前記第1インターフェー
    ス・ベースの表現および前記第2インターフェース・ベ
    ースの表現のうち1つまたは複数からの信号に対応し、
    かつ各列が、前記第1インターフェース・ベースの表現
    および前記第2インターフェース・ベースの表現のうち
    1つまたは複数からのインスタンスに対応するステップ
    とを含む方法。
  10. 【請求項10】 入力を受け取って、電子設計の1つま
    たは複数のインスタンス間の相互接続を示す表記をテー
    ブル内に追加するステップであって、各インスタンス
    が、複数の列のうち1つによって表されるステップをさ
    らに含む請求項9に記載の方法。
  11. 【請求項11】 入力を受け取って、電子設計の一部の
    インターフェース・ベースの表現を作成するステップ
    と、 前記入力に基づいてテーブルを生成するステップであっ
    て、前記テーブルが、1つまたは複数の行と1つまたは
    複数の列とを含み、前記行の各々が、前記電子設計の前
    記一部内のインスタンスに対応し、かつ前記列の各々
    が、前記電子設計の前記一部内の信号に対応するステッ
    プと、 前記テーブルに表記を追加するステップであって、前記
    表記が、前記1つまたは複数の行によって表されるイン
    スタンス間の接続性を表すステップとを含む方法。
  12. 【請求項12】 複数の実行可能な命令が記憶されてい
    る記憶媒体を含む装置であって、実行されたとき、前記
    命令が装置に、 入力を受け取って、電子設計の一部のインターフェース
    ・ベースの表現を作成するステップと、 前記入力に基づいて、前記電子設計の前記部分内の信号
    に対応する行と、前記電子設計の前記部分内の第1イン
    スタンスに対応する第1列と、前記電子設計の前記部分
    内の第2インスタンスに対応する第2列とを含むテーブ
    ルを生成するステップと、 前記入力に基づいて、前記行と前記第1列の交差で前記
    テーブル内に第1表記を追加するステップと、 前記入力に基づいて、前記行と前記第2列の交差で前記
    テーブル内に第2表記を追加するステップであって、前
    記第1表記および前記第2表記が、前記電子設計の前記
    部分内での前記第1インスタンスと前記第2インスタン
    スの間の相互接続を表すステップとを実行させ、かつ前
    記記憶媒体に結合され、前記命令を実行する少なくとも
    1つのプロセッサをさらに含む装置。
  13. 【請求項13】 第1インスタンスと第2インスタンス
    の間の前記相互接続が、前記第1インスタンスの複数の
    ポートと前記第2インスタンスの複数のポートの間の接
    続を含む請求項12に記載の装置。
  14. 【請求項14】 1つまたは複数の前記第1表記と前記
    第2表記が、第1インスタンスと第2インスタンスの間
    の相互接続のディレクションを示す情報を含む請求項1
    2に記載の装置。
  15. 【請求項15】 命令が、 追加の入力を受け取って、電子設計のインターフェース
    ・ベースの表現をさらに定義するステップと、 前記追加の入力に基づいて、テーブルに複数の追加の行
    を追加するステップであって、前記追加の行の各々が、
    前記電子設計の一部の中にある複数の追加信号のうち1
    つに対応するステップと、 前記追加の入力に基づいて、テーブルに複数の追加の列
    を追加するステップであって、前記追加の列の各々が、
    前記電子設計の一部の中にある複数の追加インスタンス
    のうち1つに対応するステップと、 行と列の各々の交差で前記テーブル内に表記を追加し
    て、前記電子設計の前記一部の中にある1つまたは複数
    の第1インスタンスと、第2インスタンスと、複数の追
    加インスタンスのうち1つまたは複数との間の相互接続
    を表すステップとをさらに実行する請求項12に記載の
    装置。
  16. 【請求項16】 行が、対応する信号の信号名を含む少
    なくとも1つのセルを含む請求項12に記載の装置。
  17. 【請求項17】 ディレクションを示す情報が、
    「O」、「I」、「B」、または「U」のうち1つを含
    む請求項14に記載の装置。
  18. 【請求項18】 複数の追加列のうち少なくとも2つ
    が、構成要素の別々のインスタンスを表す請求項15に
    記載の装置。
  19. 【請求項19】 命令が、少なくとも1つのさらなる列
    を追加するステップであって、前記追加するさらなる列
    の各々が、設計表現の一部の中にある選択された追加信
    号に関する1つまたは複数の信号属性のうち1つに対応
    するステップをさらに実行する請求項15に記載の装
    置。
  20. 【請求項20】 複数の実行可能な命令が記憶されてい
    る記憶媒体を含む装置であって、実行されたとき、前記
    命令が装置に、 電子設計の第1部分の第1インターフェース・ベースの
    表現を受け取るステップと、 電子設計の第2部分の第2インターフェース・ベースの
    表現を受け取るステップと、 前記電子設計の前記第1部分の前記第1インターフェー
    ス・ベースの表現および前記第2部分の前記第2インタ
    ーフェース・ベースの表現に基づいてテーブルを生成す
    るステップであって、各行が、前記第1インターフェー
    ス・ベースの表現および前記第2インターフェース・ベ
    ースの表現のうち1つまたは複数からの信号に対応し、
    かつ各列が、前記第1インターフェース・ベースの表現
    および前記第2インターフェース・ベースの表現のうち
    1つまたは複数からのインスタンスに対応するステップ
    とを実行させ、かつ前記記憶媒体に結合され、前記命令
    を実行する少なくとも1つのプロセッサをさらに含む装
    置。
  21. 【請求項21】 命令が、入力を受け取って、電子設計
    の1つまたは複数のインスタンス間の相互接続を示す表
    記をテーブル内に追加するステップであって、各インス
    タンスが、複数の列のうち1つによって表されるステッ
    プをさらに実行する請求項20に記載の装置。
  22. 【請求項22】 複数の実行可能な命令が記憶されてい
    る記憶媒体を含む装置であって、実行されたとき、前記
    命令が装置に、 入力を受け取って、電子設計の一部のインターフェース
    ・ベースの表現を作成するステップと、 前記入力に基づいてテーブルを生成するステップであっ
    て、前記テーブルが、1つまたは複数の行と1つまたは
    複数の列とを含み、前記行の各々が、前記電子設計の前
    記一部内のインスタンスに対応し、かつ前記列の各々
    が、前記電子設計の前記一部内の信号に対応するステッ
    プと、 前記テーブルに表記を追加するステップであって、前記
    表記が、前記1つまたは複数の行によって表されるイン
    スタンス間の接続性を表すステップとを実行させ、かつ
    前記記憶媒体に結合され、前記命令を実行する少なくと
    も1つのプロセッサをさらに含む装置。
  23. 【請求項23】 複数の実行可能な命令が記憶されてい
    るマシン・アクセス可能な記憶媒体であって、実行され
    たとき、前記命令が、 入力を受け取って、電子設計の一部のインターフェース
    ・ベースの表現を作成するステップと、 前記入力に基づいて前記電子設計の前記部分内の信号に
    対応する行と、前記電子設計の前記部分内の第1インス
    タンスに対応する第1列と、前記電子設計の前記部分内
    の第2インスタンスに対応する第2列とを含むテーブル
    を生成するステップと、 前記入力に基づいて、前記行と前記第1列の交差で前記
    テーブル内に第1表記を追加するステップと、 前記入力に基づいて、前記行と前記第2列の交差で前記
    テーブル内に第2表記を追加するステップであって、前
    記第1表記および前記第2表記が、前記電子設計の前記
    部分内での前記第1インスタンスと前記第2インスタン
    スの間の相互接続を表すステップとを含む方法を実装す
    る媒体。
  24. 【請求項24】 第1インスタンスと第2インスタンス
    の間の前記相互接続が、前記第1インスタンスの複数の
    ポートと前記第2インスタンスの複数のポートの間の接
    続を含む請求項23に記載のマシン・アクセス可能な記
    憶媒体。
  25. 【請求項25】 1つまたは複数の前記第1表記と前記
    第2表記が、第1インスタンスと第2インスタンスの間
    の相互接続のディレクションを示す情報を含む請求項2
    3に記載のマシン・アクセス可能な記憶媒体。
  26. 【請求項26】 命令が、 追加の入力を受け取って、電子設計のインターフェース
    ・ベースの表現をさらに定義するステップと、 前記追加の入力に基づいて、テーブルに複数の追加の行
    を追加するステップであって、前記追加の行の各々が、
    前記電子設計の一部の中にある複数の追加信号のうち1
    つに対応するステップと、 前記追加の入力に基づいて、テーブルに複数の追加の列
    を追加するステップであって、前記追加の列の各々が、
    前記電子設計の一部の中にある複数の追加インスタンス
    のうち1つに対応するステップと、 行と列の各々の交差で前記テーブル内に表記を追加し
    て、前記電子設計の前記一部の中にある1つまたは複数
    の第1インスタンスと、第2インスタンスと、複数の追
    加インスタンスのうち1つまたは複数との間の相互接続
    を表すステップとをさらに実装する請求項23に記載の
    マシン・アクセス可能な記憶媒体。
  27. 【請求項27】 行が、対応する信号の信号名を含む少
    なくとも1つのセルを含む請求項23に記載のマシン・
    アクセス可能な記憶媒体。
  28. 【請求項28】 ディレクションを示す情報が、
    「O」、「I」、「B」、または「U」のうち1つを含
    む請求項25に記載のマシン・アクセス可能な記憶媒
    体。
  29. 【請求項29】 複数の追加列のうち少なくとも2つ
    が、構成要素の別々のインスタンスを表す請求項25に
    記載のマシン・アクセス可能な記憶媒体。
  30. 【請求項30】 命令が、少なくとも1つのさらなる列
    を追加するステップであって、前記追加するさらなる列
    の各々が、設計表現の一部の中にある選択された追加信
    号に関する1つまたは複数の信号属性のうち1つに対応
    するステップをさらに実装する請求項25に記載のマシ
    ン・アクセス可能な記憶媒体。
  31. 【請求項31】 複数の実行可能な命令が記憶されてい
    るマシン・アクセス可能な記憶媒体であって、実行され
    たとき、前記命令が、 電子設計の第1部分の第1インターフェース・ベースの
    表現を受け取るステップと、 電子設計の第2部分の第2インターフェース・ベースの
    表現を受け取るステップと、 前記電子設計の前記第1部分の前記第1インターフェー
    ス・ベースの表現および前記第2部分の前記第2インタ
    ーフェース・ベースの表現に基づいてテーブルを生成す
    るステップであって、各行が、前記第1インターフェー
    ス・ベースの表現および前記第2インターフェース・ベ
    ースの表現のうち1つまたは複数からの信号に対応し、
    かつ各列が、前記第1インターフェース・ベースの表現
    および前記第2インターフェース・ベースの表現のうち
    1つまたは複数からのインスタンスに対応するステップ
    とを実装し、かつ前記記憶媒体に結合され、前記命令を
    実行する少なくとも1つのプロセッサをさらに含む媒
    体。
  32. 【請求項32】 命令が、入力を受け取って、電子設計
    の1つまたは複数のインスタンス間の相互接続を示す表
    記をテーブル内に追加するステップであって、各インス
    タンスが、複数の列のうち1つによって表されるステッ
    プをさらに実装する請求項31に記載のマシン・アクセ
    ス可能な記憶媒体。
  33. 【請求項33】 複数の実行可能な命令が記憶されてい
    るマシン・アクセス可能な記憶媒体であって、実行され
    たとき、前記命令が、 入力を受け取って、電子設計の一部のインターフェース
    ・ベースの表現を作成するステップと、 前記入力に基づいてテーブルを生成するステップであっ
    て、前記テーブルが、1つまたは複数の行と1つまたは
    複数の列とを含み、前記行の各々が、前記電子設計の前
    記一部内のインスタンスに対応し、かつ前記列の各々
    が、前記電子設計の前記一部内の信号に対応するステッ
    プと、 前記テーブルに表記を追加するステップであって、前記
    表記が、前記1つまたは複数の行によって表されるイン
    スタンス間の接続性を表すステップとを含む方法を実装
    する媒体。
JP2001274714A 2000-10-13 2001-09-11 テーブル形式パラダイムを使用するインターフェース・ベースの設計 Pending JP2002149727A (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US24054700P 2000-10-13 2000-10-13
US09/879828 2001-06-11
US60/240547 2001-06-11
US09/879,828 US20020129334A1 (en) 2000-10-13 2001-06-11 Interface based design using a tabular paradigm

Publications (1)

Publication Number Publication Date
JP2002149727A true JP2002149727A (ja) 2002-05-24

Family

ID=26933488

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001274714A Pending JP2002149727A (ja) 2000-10-13 2001-09-11 テーブル形式パラダイムを使用するインターフェース・ベースの設計

Country Status (2)

Country Link
US (1) US20020129334A1 (ja)
JP (1) JP2002149727A (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2010100871A1 (ja) * 2009-03-03 2010-09-10 日本電気株式会社 遅延ライブラリ生成システム

Families Citing this family (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8103496B1 (en) 2000-10-26 2012-01-24 Cypress Semicondutor Corporation Breakpoint control in an in-circuit emulation system
US8176296B2 (en) 2000-10-26 2012-05-08 Cypress Semiconductor Corporation Programmable microcontroller architecture
US8149048B1 (en) 2000-10-26 2012-04-03 Cypress Semiconductor Corporation Apparatus and method for programmable power management in a programmable analog circuit block
US8160864B1 (en) 2000-10-26 2012-04-17 Cypress Semiconductor Corporation In-circuit emulator and pod synchronized boot
US6724220B1 (en) 2000-10-26 2004-04-20 Cyress Semiconductor Corporation Programmable microcontroller architecture (mixed analog/digital)
US7765095B1 (en) 2000-10-26 2010-07-27 Cypress Semiconductor Corporation Conditional branching in an in-circuit emulation system
US7406674B1 (en) 2001-10-24 2008-07-29 Cypress Semiconductor Corporation Method and apparatus for generating microcontroller configuration information
US8078970B1 (en) 2001-11-09 2011-12-13 Cypress Semiconductor Corporation Graphical user interface with user-selectable list-box
US8042093B1 (en) 2001-11-15 2011-10-18 Cypress Semiconductor Corporation System providing automatic source code generation for personalization and parameterization of user modules
US7774190B1 (en) 2001-11-19 2010-08-10 Cypress Semiconductor Corporation Sleep and stall in an in-circuit emulation system
US8069405B1 (en) 2001-11-19 2011-11-29 Cypress Semiconductor Corporation User interface for efficiently browsing an electronic document using data-driven tabs
US7770113B1 (en) 2001-11-19 2010-08-03 Cypress Semiconductor Corporation System and method for dynamically generating a configuration datasheet
US6971004B1 (en) 2001-11-19 2005-11-29 Cypress Semiconductor Corp. System and method of dynamically reconfiguring a programmable integrated circuit
US7844437B1 (en) 2001-11-19 2010-11-30 Cypress Semiconductor Corporation System and method for performing next placements and pruning of disallowed placements for programming an integrated circuit
US7062427B2 (en) * 2001-12-27 2006-06-13 John Stephen Walther Batch editor for netlists described in a hardware description language
US8103497B1 (en) 2002-03-28 2012-01-24 Cypress Semiconductor Corporation External interface for event architecture
US7308608B1 (en) 2002-05-01 2007-12-11 Cypress Semiconductor Corporation Reconfigurable testing system and method
US7168041B1 (en) * 2002-06-10 2007-01-23 Cadence Design Systems, Inc. Method and apparatus for table and HDL based design entry
US7761845B1 (en) * 2002-09-09 2010-07-20 Cypress Semiconductor Corporation Method for parameterizing a user module
US20050086042A1 (en) * 2003-10-15 2005-04-21 Gupta Shiv K. Parallel instances of a plurality of systems on chip in hardware emulator verification
US20050188339A1 (en) * 2004-02-25 2005-08-25 Anderson David M. System and method for navigating design information associated with an IC design
US7295049B1 (en) 2004-03-25 2007-11-13 Cypress Semiconductor Corporation Method and circuit for rapid alignment of signals
US8286125B2 (en) 2004-08-13 2012-10-09 Cypress Semiconductor Corporation Model for a hardware device-independent method of defining embedded firmware for programmable systems
US8069436B2 (en) 2004-08-13 2011-11-29 Cypress Semiconductor Corporation Providing hardware independence to automate code generation of processing device firmware
US7332976B1 (en) 2005-02-04 2008-02-19 Cypress Semiconductor Corporation Poly-phase frequency synthesis oscillator
US7400183B1 (en) 2005-05-05 2008-07-15 Cypress Semiconductor Corporation Voltage controlled oscillator delay cell and method
US8089461B2 (en) 2005-06-23 2012-01-03 Cypress Semiconductor Corporation Touch wake for electronic devices
US8085067B1 (en) 2005-12-21 2011-12-27 Cypress Semiconductor Corporation Differential-to-single ended signal converter circuit and method
US8067948B2 (en) 2006-03-27 2011-11-29 Cypress Semiconductor Corporation Input/output multiplexer bus
US8516025B2 (en) 2007-04-17 2013-08-20 Cypress Semiconductor Corporation Clock driven dynamic datapath chaining
US8130025B2 (en) 2007-04-17 2012-03-06 Cypress Semiconductor Corporation Numerical band gap
US8040266B2 (en) 2007-04-17 2011-10-18 Cypress Semiconductor Corporation Programmable sigma-delta analog-to-digital converter
US8092083B2 (en) 2007-04-17 2012-01-10 Cypress Semiconductor Corporation Temperature sensor with digital bandgap
US7737724B2 (en) 2007-04-17 2010-06-15 Cypress Semiconductor Corporation Universal digital block interconnection and channel routing
US8026739B2 (en) 2007-04-17 2011-09-27 Cypress Semiconductor Corporation System level interconnect with programmable switching
US9564902B2 (en) 2007-04-17 2017-02-07 Cypress Semiconductor Corporation Dynamically configurable and re-configurable data path
US8266575B1 (en) 2007-04-25 2012-09-11 Cypress Semiconductor Corporation Systems and methods for dynamically reconfiguring a programmable system on a chip
US8065653B1 (en) 2007-04-25 2011-11-22 Cypress Semiconductor Corporation Configuration of programmable IC design elements
US9720805B1 (en) 2007-04-25 2017-08-01 Cypress Semiconductor Corporation System and method for controlling a target device
US8049569B1 (en) 2007-09-05 2011-11-01 Cypress Semiconductor Corporation Circuit and method for improving the accuracy of a crystal-less oscillator having dual-frequency modes
US9448964B2 (en) 2009-05-04 2016-09-20 Cypress Semiconductor Corporation Autonomous control in a programmable system
CN105468797B (zh) * 2014-08-22 2019-10-22 深圳市中兴微电子技术有限公司 一种信息处理方法及装置

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5301318A (en) * 1988-05-13 1994-04-05 Silicon Systems, Inc. Hierarchical netlist extraction tool
JP2701513B2 (ja) * 1990-03-29 1998-01-21 日本電気株式会社 回線切替制御方式
US5197015A (en) * 1990-12-20 1993-03-23 Vlsi Technology, Inc. System and method for setting capacitive constraints on synthesized logic circuits
US5581473A (en) * 1993-06-30 1996-12-03 Sun Microsystems, Inc. Method and apparatus for managing timing requirement specifications and confirmations and generating timing models and constraints for a VLSI circuit
US6044211A (en) * 1994-03-14 2000-03-28 C.A.E. Plus, Inc. Method for graphically representing a digital device as a behavioral description with data and control flow elements, and for converting the behavioral description to a structural description
US5841663A (en) * 1995-09-14 1998-11-24 Vlsi Technology, Inc. Apparatus and method for synthesizing integrated circuits using parameterized HDL modules
JPH1092938A (ja) * 1996-09-10 1998-04-10 Fujitsu Ltd レイアウト方法、レイアウト装置、及び、データベース
US5910895A (en) * 1997-06-13 1999-06-08 Teradyne, Inc. Low cost, easy to use automatic test system software
US6360353B1 (en) * 1998-02-21 2002-03-19 Adaptec, Inc. Automated alternating current characterization testing
US6966045B2 (en) * 1999-12-27 2005-11-15 Kabushiki Kaisha Toshiba Method and computer program product for estimating wire loads
JP2002230061A (ja) * 2001-01-30 2002-08-16 Matsushita Electric Ind Co Ltd 半導体回路接続データベース及びこれを用いた半導体回路設計方法
US6671868B1 (en) * 2001-12-03 2003-12-30 Cypress Semiconductor Corp. Method of creating MCM pinouts
US7240316B2 (en) * 2002-04-16 2007-07-03 Micron Technology, Inc. Apparatus and method to facilitate hierarchical netlist checking
US6868531B1 (en) * 2002-12-27 2005-03-15 Unisys Corporation Generation of ordered interconnect output from an HDL representation of a circuit

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2010100871A1 (ja) * 2009-03-03 2010-09-10 日本電気株式会社 遅延ライブラリ生成システム
US8499267B2 (en) 2009-03-03 2013-07-30 Nec Corporation Delay library generation apparatus and method based on wiring arrangements
JP5495336B2 (ja) * 2009-03-03 2014-05-21 日本電気株式会社 遅延ライブラリ生成装置、遅延ライブラリ生成装置の制御方法、コンピュータプログラム、及び記録媒体

Also Published As

Publication number Publication date
US20020129334A1 (en) 2002-09-12

Similar Documents

Publication Publication Date Title
JP2002149727A (ja) テーブル形式パラダイムを使用するインターフェース・ベースの設計
US6321369B1 (en) Interface for compiling project variations in electronic design environments
US6366874B1 (en) System and method for browsing graphically an electronic design based on a hardware description language specification
US6675310B1 (en) Combined waveform and data entry apparatus and method for facilitating fast behavorial verification of digital hardware designs
US6505328B1 (en) Method for storing multiple levels of design data in a common database
US6470482B1 (en) Method and system for creating, deriving and validating structural description of electronic system from higher level, behavior-oriented description, including interactive schematic design and simulation
Bhatnagar Advanced ASIC chip synthesis
US5623418A (en) System and method for creating and validating structural description of electronic system
JP4482563B2 (ja) ダイアグラミング環境言語のための単純化データ信号サポート
US9069920B2 (en) Automated circuit design
WO1990011569A1 (en) Computer-aided engineering
US7350180B1 (en) Search algorithm for inheriting clock contexts in hardware description language translation tools
US8407645B1 (en) Graphical block-based design exploration tool
US7958473B2 (en) Method and computer program for configuring an integrated circuit design for static timing analysis
O'Donnell Hardware description with recursion equations
JPH10171848A (ja) アーキテクチャシステムを設計する方法
US7761829B1 (en) Graphical specification of relative placement of circuit cells for repetitive circuit structures
Dutt et al. A user interface for VHDL behavioral modeling
Skouson SpyDrNet-An Open-Source Python Netlist Representation for Analysis and Transformation
Singh A parametrized CAD tool for VHDL model development with X Windows
US20020170037A1 (en) Apparatus and method for controlling event ordering in a mixed- language simulator
EP1271363A2 (en) Interface based design using a tabular paradigm
Barth et al. Parameterized schematics
US11960380B2 (en) Debugging SQL statements
US20220327272A1 (en) Real time view swapping (rtvs) in a mixed signal simulation

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20050531

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20050831

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20050905

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20060411