JP2001521219A - マルチスレッド式プロセッサでのスレッド優先順位の変更 - Google Patents

マルチスレッド式プロセッサでのスレッド優先順位の変更

Info

Publication number
JP2001521219A
JP2001521219A JP2000517342A JP2000517342A JP2001521219A JP 2001521219 A JP2001521219 A JP 2001521219A JP 2000517342 A JP2000517342 A JP 2000517342A JP 2000517342 A JP2000517342 A JP 2000517342A JP 2001521219 A JP2001521219 A JP 2001521219A
Authority
JP
Japan
Prior art keywords
thread
priority
threads
switching
switch
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2000517342A
Other languages
English (en)
Other versions
JP3714598B2 (ja
Inventor
ボルケンハーゲン、ジョン、マイケル
フリン、ウィリアム、・トーマス
ウォットレング、アンドリュー、ヘンリー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2001521219A publication Critical patent/JP2001521219A/ja
Application granted granted Critical
Publication of JP3714598B2 publication Critical patent/JP3714598B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/46Multiprogramming arrangements
    • G06F9/48Program initiating; Program switching, e.g. by interrupt
    • G06F9/4806Task transfer initiation or dispatching
    • G06F9/4843Task transfer initiation or dispatching by program, e.g. task dispatcher, supervisor, operating system
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30003Arrangements for executing specific machine instructions
    • G06F9/30076Arrangements for executing specific machine instructions to perform miscellaneous control operations, e.g. NOP
    • G06F9/3009Thread control instructions
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline or look ahead
    • G06F9/3836Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution
    • G06F9/3851Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution from multiple instruction streams, e.g. multistreaming

Landscapes

  • Engineering & Computer Science (AREA)
  • Software Systems (AREA)
  • Theoretical Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Multimedia (AREA)
  • Advance Control (AREA)
  • Memory System Of A Hierarchy Structure (AREA)

Abstract

(57)【要約】 【課題】 マルチスレッド式プロセッサ(100)とスレッド切替え論理(400)とを含むデータ処理システムで、コンピュータ処理動作を実行するためのシステムおよび方法。 【解決手段】 マルチスレッド式プロセッサは、独立に実行することのできる命令の複数のスレッドの間で切り替える能力を有する。各スレッドは、その実行状態に依存する状態を、スレッド状態レジスタ(440)内に有する。スレッド切替え論理には、スレッド切替えが発生する条件を格納するためのスレッド切替え制御レジスタ(410)が含まれる。スレッド切替え論理は、タイムアウト・レジスタ(430)を有し、これによって、マルチスレッド式プロセッサ内のアクティブ・スレッドの実行がプログラム可能な時間期間を超える時にスレッド切替えが強制される。スレッド切替え論理は、マルチスレッド式プロセッサ内のスレッドの間のスレッド切替えの非生産的な反復を防ぐために、フォワード・プログレス・カウンタ・レジスタ(420)も有する。スレッド切替え論理は、異なるスレッドの優先順位を変更でき、したがって、スレッド切替えイベントを取り替えることができるソフトウェア・マネージャ(460)にも応答する。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】
関連特許出願データ 本発明は、下記の米国特許出願に関連し、その要旨は参照によって本明細書に
組み込まれる:(1)米国特許出願第08/958716号明細書、(2)米国
特許出願第08/957002号明細書、(3)米国特許出願第08/9568
75号明細書、(4)米国特許出願第08/956577号明細書、(5)米国
特許出願第773572号明細書、(6)米国特許出願第761378号明細書
、(7)米国特許出願第761380号明細書、(8)米国特許出願第7613
79号明細書、(9)米国特許出願第473692号明細書、(10)米国特許
第5778243号明細書。
【0002】
【従来の技術】
本発明は、全般的にはコンピュータ・データ処理システムのための改良された
方法およびその装置に関し、具体的には、改良された高性能マルチスレッド式コ
ンピュータ・データ処理システムと、そのプロセッサのハードウェア内で実施さ
れる方法に関する。
【0003】 現代のコンピュータの基本構造には、外部の世界との間で情報を通信するため
の周辺装置が含まれ、そのような周辺装置は、キーボード、モニタ、テープ駆動
装置、ネットワークに接続された通信回線などとすることができる。やはりコン
ピュータの基本構造に含まれるのが、外部の世界との間でこの情報を受け取り、
処理し、配送するのに必要なハードウェアであり、これには、バス、メモリ・ユ
ニット、入出力コントローラ、記憶装置、少なくとも1つの中央処理装置(CP
U)などが含まれる。CPUは、システムの頭脳である。CPUは、コンピュー
タ・プログラムを含む命令を実行し、他のシステム構成要素の動作を指示する。
【0004】 コンピュータのハードウェアの観点から、ほとんどのシステムは、基本的に同
一の形で動作する。プロセッサは、実際には、算術演算、論理比較、ある位置か
ら別の位置へのデータの移動など、非常に単純な動作をすばやく実行する。これ
らの単純な動作を大量に実行するようにコンピュータに指示するプログラムが、
コンピュータが洗練されたことを行っているという錯覚をもたらす。しかし、コ
ンピュータの新機能または改良された機能としてユーザに知覚されるものは、実
際には、同一の単純な動作をはるかに高速に実行する計算機である場合がある。
したがって、コンピュータ・システムに対する改良を継続するためには、これら
のシステムをさらに高速にする必要がある。
【0005】 コンピュータ・システムの総合速度の尺度の1つをスループットとも称するが
、これは、単位時間あたりに実行される動作の数として測定される。概念上、シ
ステム速度に対するすべての可能な改良のうちで最も単純なものは、さまざまな
構成要素のクロック速度、特にプロセッサのクロック速度を高めることである。
したがって、すべてが2倍の速度で走行するが、それ以外は正確に同一の形で機
能する場合、そのシステムは、所与のタスクを半分の時間で実行することになる
。以前に別個の構成要素から構成されていたコンピュータ・プロセッサは、構成
要素のサイズの縮小と個数の減少とによって大幅に高速に動作するようになり、
最終的には、プロセッサ全体が、単一チップ上の集積回路としてパッケージされ
るようになった。サイズの縮小によって、プロセッサのクロック速度を高めるこ
とが可能になり、したがって、システム速度を高めることが可能になった。
【0006】 集積回路から得られる速度の大幅な向上にもかかわらず、さらに高速のコンピ
ュータ・システムに対する需要がいまだに存在する。ハードウェア設計者は、よ
り大規模の集積、回路のサイズのさらなる縮小および他の技法によって、さらな
る速度の向上を得ることができた。しかし、設計者は、物理的なサイズの縮小を
際限なく継続することは不可能であり、継続的にプロセッサ・クロック速度を高
めることに限界があると考えている。したがって、コンピュータ・システムの総
合速度をさらに改善するために、他の手法に注意が向けられた。
【0007】 クロック速度を変更しなくても、複数のプロセッサを使用することによってシ
ステム速度を改善することが可能である。集積回路チップにパッケージされた個
々のプロセッサのコストが適度であるので、これが実用的になった。スレーブ・
プロセッサを使用すると、作業をCPUからスレーブ・プロセッサにオフロード
することによって、システム速度がかなり改善される。たとえば、スレーブ・プ
ロセッサは、通常は、入出力装置の通信および制御など、反復的で単純な専用プ
ログラムを実行する。複数のCPUを単一のコンピュータ・システム、通常は複
数のユーザを同時にサービスするホストベース・システム内に配置することも可
能である。異なるCPUのそれぞれが、異なるユーザのために異なるタスクを別
々に実行することができ、したがって、複数のタスクを同時に実行するシステム
の総合速度が高まる。しかし、アプリケーション・プログラムなどの単独のタス
クが実行される速度を改善することは、はるかに困難である。複数のCPUの間
でさまざまな機能の実行および結果の配布を調整することは、注意を要する作業
である。スレーブ入出力プロセッサの場合、機能が事前に定義され、制限されて
いるので、これはそれほど困難ではないが、汎用アプリケーション・プログラム
を実行する複数のCPUの場合、システム設計者がプログラムの詳細を事前に知
らないことが一因となって、機能を調整することがより困難になる。ほとんどの
アプリケーション・プログラムは、プロセッサによって実行されるステップの単
一の経路または流れに従う。この単一の経路を複数の並列経路に分割することが
可能である場合もあるが、それを行うための万能のアプリケーションは、まだ研
究中である。一般に、複数のプロセッサによる並列処理のために長いタスクを小
さいタスクに分割することは、コードを記述するソフトウェア・エンジニアによ
って、ケースバイケースで行われる。この、その場限りの手法は、必ずしも反復
的でなく、予測可能でもない商業トランザクションを実行する場合に特に問題が
ある。
【0008】 したがって、複数のプロセッサによって総合的なシステム性能は改善されるが
、個々のCPUの速度を改善する理由はまだ多数存在する。CPUクロック速度
が与えられた場合、1クロック・サイクルあたりに実行される命令の「平均」数
を増やすことによって、CPUの速度すなわち1秒あたりに実行される命令の数
をさらに増やすことが可能である。高性能単一チップ・マイクロプロセッサのた
めの一般的なアーキテクチャが、高速実行のために頻繁に使用される命令の小さ
い簡略されたセットを特徴とする縮小命令セット・コンピュータ(RISC)ア
ーキテクチャであり、これらの単純な動作は、前に述べたものより高速に実行さ
れる。半導体技術が進歩するにつれて、RISCアーキテクチャの目標は、計算
機の各クロック・サイクルに1つまたは複数の命令を実行できるプロセッサの開
発になってきた。1クロック・サイクルあたりに実行される命令の「平均」数を
増やすためのもう1つの手法が、CPU内のハードウェアを変更することである
。この命令あたりのクロック数というスループット尺度は、高性能プロセッサの
アーキテクチャの特徴を表すのに一般的に使用されている。命令パイプライン化
とキャッシュ・メモリが、これを達成することを可能にしたコンピュータ・アー
キテクチャの特徴である。パイプライン命令実行を用いると、前に発行された命
令が完了する前に、後続の命令の実行を開始できるようになる。キャッシュ・メ
モリは、頻繁に使用されるデータおよび他のデータをプロセッサの近くに格納し
、これによって、ほとんどの場合に主記憶のフル・アクセス・タイムを待つこと
なしに、命令実行を継続できるようにするものである。並列に実行する命令を見
つけるためのルック・アヘッド・ハードウェアを有する複数の実行ユニットを用
いるいくつかの改良も示されてきた。
【0009】 通常のRISCプロセッサの性能は、スーパースカラ・コンピュータおよびV
LIW(Very Long Instruction Word)コンピュータでさらに高めることができ
、これらのコンピュータの両方が、1プロセッサ・サイクルあたりに複数の命令
を並列に実行する。これらのアーキテクチャでは、複数の機能ユニットまたは実
行ユニットを設けて、複数のパイプラインを並列に走行させる。スーパースカラ
・アーキテクチャでは、命令は、インオーダー(in-order)またはアウトオブオ
ーダー(out-of-order)で完了できる。インオーダー完了とは、ある命令の前に
ディスパッチされたすべての命令が完了するまでは、その命令を完了できないこ
とを意味する。アウトオブオーダー完了とは、事前に定義された規則が満たされ
る限り、ある命令の前のすべての命令が完了する前に、その命令が完了すること
を許可されることを意味する。
【0010】 スーパースカラ・システムでの命令のインオーダー完了とアウトオブオーダー
完了の両方について、パイプラインは、ある状況の下でストールする。前にディ
スパッチされ、まだ完了していない命令の結果に依存する命令が、パイプライン
のストールを引き起こす可能性がある。たとえば、必要なデータがキャッシュ内
にない、すなわち、キャッシュ・ミスを引き起こすロード/ストア命令に依存す
る命令は、そのデータがキャッシュ内で使用可能になるまでは実行できない。継
続実行のため、また、高いヒット率すなわちデータがキャッシュ内ですぐに使用
可能である回数と比較したデータに対する要求の回数を維持するために必要な、
キャッシュ内の必要不可欠なデータを維持することは、特に大きいデータ構造を
伴う計算の場合には簡単ではない。キャッシュ・ミスは、数サイクルにわたるパ
イプラインのストールを引き起こす可能性があり、データがほとんどの時間に使
用可能でない場合には、メモリ待ち時間の総量が厳しくなる。主記憶に使用され
る記憶装置は、高速になりつつあるが、そのようなメモリ・チップとハイエンド
・プロセッサの間の速度ギャップは、ますます大きくなりつつある。したがって
、現在のハイエンド・プロセッサ設計での実行時間のかなりの量が、キャッシュ
・ミスの解決を待つのに費やされ、これらのメモリ・アクセスの遅れが、プロセ
ッサ実行時間のうちで占める比率がますます大きくなっている。
【0011】 CPU内のハードウェアの効率を改善するためのもう1つの技法が、処理タス
クを、スレッドと称する独立に実行可能な命令のシーケンスに分割することであ
る。この技法は、スレッドが同一のプロセッサによって実行される点を除いて、
異なるプロセッサによる独立実行のために大きいタスクを小さいタスクに分割す
ることに関連する。CPUが、複数の理由のいずれかのために、これらのスレッ
ドのうちの1つの処理または実行を継続できない時には、CPUは、別のスレッ
ドに切り替え、そのスレッドを実行する。コンピュータ・アーキテクチャ界で定
義される用語「マルチスレッディング」は、複数の関連するスレッドに分割され
た1つのタスクを意味するソフトウェアでのこの用語の使用と同一ではない。ア
ーキテクチャ的定義では、スレッドは、独立とすることができる。したがって、
この2つの用語の使用を区別するために、「ハードウェア・マルチスレッディン
グ」が使用されることがしばしばである。本発明の文脈では、用語「マルチスレ
ッディング」は、メモリ待ち時間を許容するためのハードウェア・マルチスレッ
ディングを含む。
【0012】 マルチスレッディングを用いると、プロセッサのパイプラインが、現在のスレ
ッドに関してパイプライン・ストール状態が検出された時に、異なるスレッド上
の有用な作業を行えるようになる。マルチスレッディングを用いると、非パイプ
ライン・アーキテクチャを実施するプロセッサが、現在のスレッドに関してスト
ール状態が検出された時に、別のスレッドに関して有用な作業を行えるようにも
なる。マルチスレッディングには、2つの基本形態がある。従来の形態では、プ
ロセッサ内でN個のスレッドまたは状態を保ち、サイクル単位でスレッドをイン
ターリーブする。これによって、単一のスレッド内の命令が分離されるので、す
べてのパイプライン依存性が除去される。本発明によって考慮される、マルチス
レッディングのもう1つの形態では、いくつかの長い待ち時間のイベントの際に
スレッドをインターリーブする。
【0013】 マルチスレッディングの従来の形態では、スレッドごとのプロセッサ・レジス
タの複製が用いられる。たとえば、商品名PowerPC(商標)の下で販売されるア ーキテクチャを実施するプロセッサがマルチスレッディングを実行するためには
、プロセッサは、N個のスレッドを走行させるためにN個の状態を維持しなけれ
ばならない。したがって、汎用レジスタ、浮動小数点レジスタ、条件レジスタ、
浮動小数点状態および制御レジスタ、カウント・レジスタ、リンク・レジスタ、
例外レジスタ、セーブ/リストア・レジスタおよび特殊目的レジスタが、N回複
製される。さらに、セグメント・ルックアサイド・バッファなどの特殊バッファ
を複製することができ、また、各項目にスレッド番号のタグを付けることができ
、タグを付けない場合にはスレッド切り替えのたびにフラッシュしなければなら
ない。また、一部の分岐予測機構、たとえば相関レジスタとリターン・スタック
なども、複製しなければならない。幸い、レベル1命令キャッシュ(L1 I−
キャッシュ)、レベル1データ・キャッシュ(L1 D−キャッシュ)、命令バ
ッファ、ストア待ち行列、命令ディスパッチャ、機能ユニットまたは実行ユニッ
ト、パイプライン、変換ルックアサイド・バッファ(TLB)および分岐履歴テ
ーブルなどのプロセッサのより大きい機能のうちのいくつかは、複製する必要が
ない。あるスレッドが遅延に遭遇した時に、プロセッサは、すばやく別のスレッ
ドに切り替える。このスレッドの実行は、第1のスレッドでのメモリ遅延とオー
バーラップする。
【0014】 既存のマルチスレッディング技法では、キャッシュ・ミスまたはメモリ参照の
際のスレッド切り替えが説明される。この技法の主な例は、アガーワル(Agarwa
l)他著、「Sparcle: An Evolutionary Design for Large-Scale Multiprocesso
rs」、IEEE Micro Volume 13、No.3、pp.48-60、1993年6月に記載されている。R
ISCアーキテクチャで適用される際には、通常は関数呼出しのサポートに使用
される複数のレジスタ・セットが、複数のスレッドを維持するように変更される
。8つのオーバーラップするレジスタ・ウィンドウが、4つのオーバーラップし
ないレジスタ・セットになるように変更され、各レジスタ・セットは、トラップ
およびメッセージ処理のために予約される。このシステムでは、リモート・メモ
リ要求をもたらす第1レベルのキャッシュ・ミスのそれぞれで発生するスレッド
切替えが開示される。このシステムは、当技術分野での進歩を表すが、現代のプ
ロセッサ設計では、プロセッサに付加される複数のレベルのキャッシュ・メモリ
または高速メモリが使用されることがしばしばである。プロセッサ・システムは
、周知のアルゴリズムを使用して、その主メモリ記憶域のどの部分がキャッシュ
の各レベルにロードされるかを決定し、したがって、第1レベルのキャッシュ内
に存在しないメモリ参照が発生するたびに、プロセッサは、第2レベルまたはさ
らに上位のレベルのキャッシュからのそのメモリ参照の取得を試みなければなら
ない。
【0015】
【発明が解決しようとする課題】
したがって、本発明の目的は、マルチスレッド式データ処理システムで実施さ
れるハードウェア論理およびレジスタを使用する、マルチレベル・キャッシュ・
システムでのメモリ待ち時間に起因する遅延を減らすことのできる、改良された
データ処理システムを提供することである。
【0016】
【課題を解決するための手段】
本発明は、命令の2つのスレッドの間で実行を切り替える能力を有するマルチ
スレッド式プロセッサと、任意選択のスレッド切替え条件のソフトウェア・オー
バーライドを有するハードウェア・レジスタで実施されるスレッド切替え論理と
を提供することによって、この目的に対処する。命令のさまざまなスレッドを処
理することによって、スレッドの間でのプロセッサの使用を最適化できるように
なる。プロセッサが命令の第2のスレッドを実行できるようにすることによって
、キャッシュ、メモリ、外部入出力、直接アクセス記憶装置などのさまざまな記
憶要素から第1スレッドのために必要なデータまたは命令を取り出している時に
、そうでなければ遊休状態になるプロセッサ利用度が高まる。スレッド切替えの
条件は、スレッドごとに異なるものとすることができ、また、ソフトウェア・ス
レッド制御マネージャの使用によって処理中に変更することができる。
【0017】 第1スレッドが、キャッシュ・ミスなどの完了に多数のサイクルを必要とする
待ち時間イベントを有する時に、第2スレッドを処理することができるが、この
待ち時間イベント中に、第2スレッドが、はるかに短い時間で完了できるキャッ
シュ・ミスを、同一のキャッシュ・レベルまたは異なるキャッシュ・レベルで経
験する可能性がある。
【0018】 命令実行なしでスレッドを切り替えるサイクルの反復で各スレッドがロックす
るスラッシングは、本発明によって、フォワード・プログレス・カウント・レジ
スタを実施し、プログラム可能な最大回数までのスレッド切替えを許容し、それ
を超えた時点であるスレッドが実行可能になるまでプロセッサがスレッドの切替
えを停止するようにする方法を実施することによって解決される。フォワード・
プログレス・カウント・レジスタとその閾値によって、命令が実行されずに発生
したスレッド切替えの回数が監視され、その回数が閾値と等しくなった時には、
命令が実行されない限りスレッド切替えがそれ以上発生しなくなる。フォワード
・プログレス・カウント・レジスタの追加の長所は、外部コンピュータ・ネット
ワークへのアクセスなどの非常に長い待ち時間イベント用の閾値と、キャッシュ
・ミスなどの短い待ち時間イベント用の別のフォワード・プログレス閾値など、
待ち時間イベントに合わせてレジスタと閾値をカストマイズできることである。
【0019】 スレッド切替えタイムアウト・レジスタで指定されたサイクル数を待った後に
スレッド切替えを強制することによって、あるスレッドに対するコンピュータ処
理が、長すぎる時間にわたって非アクティブになることが防止される。コンピュ
ータ処理システムは、共用資源の競合から生じるハングを経験しなくなる。スレ
ッド間のプロセッサ・サイクル割当の公平さが達成され、外部割込みおよび他の
プロセッサ外部のイベントに対する最大応答待ち時間が制限される。
【0020】 すばやいスレッド切替えは、スレッドの状態、スレッドの優先順位およびスレ
ッド切替え条件を記憶するハードウェア・レジスタによって達成される。
【0021】 プロセッサ内の1つまたは複数のスレッドの優先順位を、スレッド切替えハー
ドウェア・レジスタを使用して変更することができる。割込み要求からの信号ま
たはソフトウェア命令のいずれかを使用して、状態レジスタの、各スレッドの優
先順位を表すビットを変更する。その後、各スレッドの優先順位に応じて、スレ
ッド切替えを行って、高い優先順のスレッドがより多くの処理サイクルを得られ
るようにすることができる。優先順位変更の長所は、スレッド切替えの頻度を変
更でき、クリティカルなタスクの実行サイクルを増やすことができ、スレッド切
替え待ち時間のために高い優先順位のスレッドが失う処理サイクル数を減らすこ
とができることである。
【0022】 本発明のもう1つの態様は、それぞれが優先順位を有する命令の複数のスレッ
ドのうちの少なくとも1つを、少なくとも1つのメモリ・ユニットに接続された
マルチスレッド式プロセッサ内で実行し、複数のスレッドのうちの1つまたは複
数の優先順位を変更することによって、データ処理システム内でマルチスレッド
式動作を実行するためのコンピュータ方法である。すべてのスレッドの優先順位
に基づいて、多重プロセッサは、あるスレッドから別のスレッドに切り替えるこ
とができる。優先順位は、割込みからの信号または、スレッド切替えマネージャ
と称するハードウェアおよびソフトウェアの配置のいずれかによって変更するこ
とができる。スレッドの優先順位から、あるスレッドが別のスレッドより高い優
先順位を有することができることが暗示されるが、複数のスレッドが等しい優先
順位を有することも可能である。各スレッドが3つの優先順位のうちの1つを有
することのできる優先順位方式を提示する。より低い優先順位の第1スレッドか
らの切替えは、第2スレッドが作動可能状態である時に発生する。より高い優先
順位の第1スレッドからの切替えは、高い優先順位のスレッドが、レベル1キャ
ッシュまたはすばやい応答時間を有する他のメモリ・ユニットからのミスを有す
る時に使用不能にすることができる。
【0023】 簡単に言うと、本発明は、マルチスレッド式プロセッサ内の命令の複数のスレ
ッドのそれぞれに、プロセッサ内のすべてのスレッドの相対優先順位に基づいて
プロセッサ・サイクルを割り振ることによる、コンピュータ処理の方法である。
【0024】 スレッド切替えマネージャは、少なくとも1つの優先順位切替え命令と、計算
機状態レジスタやスレッド切替え制御レジスタなど第1レジスタ内の少なくとも
1つのスレッド切替え優先順位ビットと、スレッド状態レジスタなどの第2レジ
スタ内の複数の優先順位状態ビットとを有し、優先順位切替え命令によって、複
数の優先順位状態ビットのいずれかを変更することができる、ハードウェアおよ
びソフトウェアの配置である。複数のスレッドを有するマルチスレッド式処理シ
ステムでは、実行中のスレッドを、アクティブ・スレッドと称する。優先順位切
替え命令は、アクティブ・スレッドまたはマルチスレッド式処理システム内の他
のいずれかのスレッドに対応する優先順状態ビットを変更することができる。そ
の後、スレッド切替え優先順位ビットがイネーブルされている場合に、マルチス
レッド式プロセッサは、アクティブ・スレッドまたは別のスレッドのいずれかの
優先順位の変更に応答して、アクティブ・スレッドから処理を切り替える。
【0025】 スレッド切替えマネージャに対応するように既存プロセッサを変更するために
、優先順位切替え命令は、マルチスレッド式プロセッサの複数のアーキテクチャ
的レジスタのいずれをも変更してはならず、不正命令割込みなしでマルチスレッ
ド式プロセッサ上で実行されなければならず、たとえば、優先順位切替え命令は
、好ましいノー・オペレーション命令とすることができる。
【0026】 本発明は、命令の複数のスレッドを処理するための手段と、複数のスレッドの
それぞれの優先順位状態を記憶するための手段と、複数のスレッドのそれぞれの
優先順位状態を変更するための手段と、それに応答して、処理手段が複数のスレ
ッドのうちの第1スレッドから第2スレッドへ処理を切り替えられるようにする
ための手段とを含むコンピュータ処理システムでもある。
【0027】 本発明の他の目的、特徴および特性と、構造の関連する要素の方法、動作およ
び機能と、部分の組合せと、製造の経済性とは、好ましい実施例の以下の詳細な
説明および添付図面から明らかになる。添付図面は、すべてが本明細書の一部を
形成し、同様の符号は、さまざまな図面の対応する部分を示す。
【0028】 本発明自体、ならびにその使用の好ましい態様、さらなる目的および長所は、
下記の添付図面と共に例の実施例の詳細な説明を参照することによって最もよく
理解される。
【0029】
【発明の実施の形態】
ここで図面、具体的には図1を参照すると、本発明の方法およびシステムの実
施に使用することのできる、コンピュータ・データ処理システム10の高水準ブ
ロック図が示されている。本発明を使用することのできるコンピュータ・データ
処理システム10の主要なハードウェア構成要素および相互接続が、図1に示さ
れている。命令を処理するための中央処理装置(CPU)100は、キャッシュ
120、130および150に結合される。命令キャッシュ150には、CPU
100による実行のための命令が格納される。データ・キャッシュ120および
130には、CPU100によって使用されるデータが格納される。キャッシュ
は、主記憶140内のランダム・アクセス・メモリと通信する。CPU100お
よび主記憶140も、バス・インターフェース152を介してシステム・バス1
55と通信する。さまざまな入出力プロセッサ(IOP)160ないし168が
、システム・バス155に付加され、直接アクセス記憶装置(DASD)170
、テープ駆動装置172、遠隔通信回線174、ワークステーション176およ
びプリンタ178などのさまざまな記憶装置および入出力装置との通信をサポー
トする。図1は、高水準でコンピュータ・データ処理システム10の代表的な構
成要素を示す目的のものであり、そのような構成要素の数と種類を変更できるこ
とを理解されたい。
【0030】 CPU100内では、プロセッサ・コア110に、特化した機能ユニットが含
まれ、これらの機能ユニットのそれぞれが、命令のシーケンシング、整数を用い
る演算の実行、実数を用いる演算の実行、アドレス可能記憶域と論理レジスタ・
アレイの間の値の転送などのプリミティブ動作を実行する。図2は、プロセッサ
・コア100を示す図である。好ましい実施例では、データ処理システム10の
プロセッサ・コア100は、単一集積回路のパイプライン式スーパースカラ・マ
イクロプロセッサであり、これは、たとえばIBM社によって販売されるPowerP
C(商標)604マイクロプロセッサ・チップなど、商品名PowerPC(商標)の下
で販売されるRISCプロセッサの系列などのコンピュータ・アーキテクチャを
使用して実施できる。
【0031】 下で述べるように、データ処理システム10には、さまざまなユニット、レジ
スタ、バッファ、メモリおよび他のセクションが含まれることが好ましく、これ
らのすべてが集積回路によって形成されることが好ましい。図では、さまざまな
データ経路が簡略化されていることを理解されたい。実際には、さまざまな構成
要素から出入りする多数の別々の並列のデータ経路がある。さらに、本明細書に
記載の発明に密接に関係しないさまざまな構成要素が省略されているが、追加機
能のためにプロセッサに追加ユニットが含まれることを理解されたい。データ処
理システム10は、縮小命令セット・コンピューティング(RISC)技法また
は他のコンピューティング技法に従って動作することができる。
【0032】 図2からわかるように、データ処理システム10のプロセッサ・コア100に
は、レベル1データ・キャッシュ(L1 D−キャッシュ)120、レベル2(
L2)キャッシュ130、主記憶140およびレベル1命令キャッシュ(L1
I−キャッシュ)150が含まれることが好ましく、これらのすべてが、機能的
にさまざまなバス接続を使用して記憶域制御ユニット200に相互接続される。
図1からわかるように、記憶域制御ユニット200には、L1 D−キャッシュ
120およびL2キャッシュ130と、主記憶140と、複数の実行ユニットと
を相互接続するための遷移キャッシュ210が含まれる。L1 D−キャッシュ
120とL1 I−キャッシュ150は、プロセッサ100の一部としてチップ
上に設けられることが好ましく、主記憶140とL2キャッシュ130は、チッ
プ外に設けられる。メモリ・システム140は、プロセッサ・コア100の内部
または外部とすることのできるランダム・アクセス・メイン・メモリ、プロセッ
サ・コア100の外部の他のデータ・バッファおよびキャッシュ(存在する場合
)、および、たとえば図1に示されたDASD170、テープ駆動装置172、
ワークステーション176などの他の外部メモリを表す目的のものである。L2
キャッシュ130は、主記憶140より高速のメモリ・システムであることが好
ましく、選択されたデータをL2キャッシュ130に格納することによって、主
記憶140への参照の結果として発生するメモリ待ち時間を最小にすることがで
きる。図1からわかるように、L2キャッシュ130および主記憶140は、L
1 I−キャッシュ150に直接に、また記憶域制御ユニット200を介して命
令ユニット220に接続される。
【0033】 L1 I−キャッシュ150からの命令は、命令ユニット220に出力される
ことが好ましく、命令ユニット220は、本発明の方法およびシステムに従って
、さまざまなサブプロセッサ・ユニット、たとえば分岐ユニット260、固定小
数点ユニット270、記憶域制御ユニット200、浮動小数点ユニット280お
よび、データ処理システム10のアーキテクチャによって指定される他のユニッ
トによる複数のスレッドの実行を制御する。当業者は、図1に示されたさまざま
な実行ユニットのほかに、現代のスーパースカラ・マイクロプロセッサ・システ
ムに、本発明の趣旨および範囲から逸脱せずに追加することのできるそのような
実行ユニットのそれぞれの複数の版が含まれることがしばしばであることを了解
するであろう。これらのユニットのほとんどは、入力として、汎用レジスタ(G
PR)272および浮動小数点レジスタ(FPR)282などのさまざまなレジ
スタからのソース・オペランド情報を有する。さらに、複数の特殊目的レジスタ
(SPR)274を使用することができる。図1からわかるように、記憶域制御
ユニット200と遷移キャッシュ210は、汎用レジスタ272および浮動小数
点レジスタ282に直接に接続される。汎用レジスタ272は、特殊目的レジス
タ274に接続される。
【0034】 このマルチスレッド式プロセッサ100に固有の機能ハードウェア・ユニット
の中に、スレッド切替え論理400と遷移キャッシュ210がある。スレッド切
替え論理400には、どのスレッドをアクティブ・スレッドまたは実行中のスレ
ッドにするかを決定するさまざまなレジスタが含まれる。スレッド切替え論理4
00は、機能的に、記憶域制御ユニット200と、実行ユニット260、270
および280と、命令ユニット220に接続される。記憶域制御ユニット200
内の遷移キャッシュ210は、マルチスレッディングを実施できなければならな
い。記憶域制御ユニット200と遷移キャッシュ210は、1スレッドあたり少
なくとも1つの未処理のデータ要求を許容することが好ましい。したがって、た
とえばL1 D−キャッシュ・ミスの発生に応答して、第1スレッドが延期され
る時に、第2スレッドが、そこに存在するデータについてL1 D−キャッシュ
120にアクセスできるようになる。第2スレッドも、L1 D−キャッシュ・
ミスをもたらす場合には、別のデータ要求が発行され、したがって、複数のデー
タ要求を、記憶域制御ユニット200および遷移キャッシュ210内で維持しな
ければならない。遷移キャッシュ210は、参照によって本明細書に組み込まれ
る米国特許出願第08/761378号明細書の遷移キャッシュであることが好
ましい。記憶域制御ユニット200と、実行ユニット260、270および28
0と、命令ユニット220は、すべてが機能的にスレッド切替え論理400に接
続され、スレッド切替え論理400は、どのスレッドを実行するかを決定する。
【0035】 図2からわかるように、バス205は、たとえば記憶域制御ユニット200へ
のデータ要求および命令ユニット220へのL2キャッシュ130ミスなどの通
信のために、記憶域制御ユニット200と命令ユニット220の間に設けられる
。さらに、変換ルックアサイド・バッファ(TLB)250が設けられ、これに
は、仮想アドレスから実アドレスへのマッピングが格納される。図示されてはい
ないが、本発明では、変換ルックアサイド・バッファ250に類似の形で動作す
るセグメント・ルックアサイド・バッファなどの追加の高水準メモリ・マッピン
グ・バッファを設けることができる。
【0036】 図3は、記憶域制御ユニット200を詳細に示す図であり、名前から暗示され
るように、このユニットは、さまざまなキャッシュ、バッファおよび主記憶を含
むさまざまな記憶ユニットからのデータおよび命令の入出力を制御する。図3か
らわかるように、記憶域制御ユニット200には、機能的にL1 D−キャッシ
ュ120、マルチプレクサ360、L2キャッシュ130および主記憶140に
接続された遷移キャッシュ210が含まれる。さらに、遷移キャッシュ210は
、シーケンサ350から制御信号を受け取る。シーケンサ350には、命令また
はデータの取出要求を処理するために、複数、好ましくは3つのシーケンサが含
まれる。シーケンサ350は、遷移キャッシュ210およびL2キャッシュ13
0に制御信号を出力し、主記憶140との間で制御信号を送受する。
【0037】 図3に示された記憶域制御ユニット200内のマルチプレクサ360は、L1
D−キャッシュ120、遷移キャッシュ210、L2キャッシュ130および
主記憶140からデータを受け取り、データをメモリに格納する場合には、実行
ユニット270および280からデータを受け取る。これらの供給源のうちの1
つからのデータは、マルチプレクサ360によって選択され、シーケンサ350
から受け取った選択制御信号に応答して、L1 D−キャッシュ120または実
行ユニットに出力される。さらに、図3からわかるように、シーケンサ350は
、第2のマルチプレクサ370を制御する選択信号を出力する。シーケンサ35
0からのこの選択信号に基づいて、マルチプレクサ370は、L2キャッシュ1
30または主記憶140からのデータを、L1 I−キャッシュ150または命
令ユニット220に出力する。上で述べた制御信号および選択信号を作る際に、
シーケンサ350は、L1 D−キャッシュ120用のL1ディレクトリ320
とL2キャッシュ130用のL2ディレクトリ330にアクセスし、これらを更
新する。
【0038】 本明細書に記載のプロセッサのマルチスレッディング能力に関して、記憶域制
御ユニット200のシーケンサ350は、スレッド切替え論理400にも信号を
出力して、データ要求および命令要求の状態を示す。したがって、キャッシュ1
20、130および150と、主記憶140と、変換ルックアサイド・バッファ
250からのフィードバックが、シーケンサ350に送られ、その後、スレッド
切替え論理400に通信され、スレッド切替え論理400は、下で述べるように
スレッド切替えをもたらすことができる。マルチスレッド式プロセッサ内でのス
レッド切替えを引き起こすように設計されたイベントが発生する装置は、機能的
にシーケンサ350に接続されることに留意されたい。
【0039】 図4は、スレッドを切り替えるかどうかを判定し、切り替える場合にはどのス
レッドに切り替えるかを判定するスレッド切替え論理ハードウェア400の論理
表現およびブロック図である。記憶域制御ユニット200と命令ユニット220
は、スレッド切替え論理400と相互接続される。スレッド切替え論理400は
、命令ユニット220に組み込まれることが好ましいが、多数のスレッドがある
場合には、スレッド切替え論理400の複雑さが増し、その結果、スレッド切替
え論理が命令ユニット220の外部になる場合がある。説明を簡単にするために
、スレッド切替え論理400は、記憶域制御ユニット200の外部にあるものと
して図示した。
【0040】 この実施例でスレッドの切替えをもたらすいくつかのイベントは、記憶域制御
ユニット200のシーケンサ350からスレッド切替え論理400へ、信号線4
70、472、474、476、478、480、482、484および486
を介して通信される。他の待ち時間イベントが、スレッド切替えを引き起こす可
能性があるが、このリストは、網羅的であることを意図したものではなく、スレ
ッド切替えを実施できる方法を代表するものにすぎない。命令ユニット220内
にない第1スレッドT0または第2スレッドT1のいずれかによる命令の要求は
、それぞれ図4の符号470または472によって示されるスレッド切替えをも
たらす可能性があるイベントである。信号線474は、T0またはT1のいずれ
かであるアクティブ・スレッドが、L1 D−キャッシュ120ミスを経験する
時を示す。スレッドT0またはT1のいずれかに関するL2キャッシュ130の
キャッシュ・ミスは、それぞれ信号線476または478によって知らされる。
信号線480および482は、それぞれT0スレッドまたはT1スレッドの継続
実行のためにデータが返される時にアクティブになる。変換ルックアサイド・バ
ッファ・ミスおよびテーブル・ウォークの完了は、それぞれ信号線484または
486によって示される。
【0041】 これらのイベントは、すべてがスレッド切替え論理400に供給され、具体的
には、スレッド状態レジスタ440およびスレッド切替えコントローラ450に
供給される。スレッド切替え論理400は、スレッドごとに1つのスレッド状態
レジスタを有する。本明細書に記載の実施例では、2つのスレッドが表現される
ので、第1スレッド用T0のT0状態レジスタ442と、第2スレッドT1用の
T1状態レジスタ444があり、これらを本明細書で説明する。スレッド切替え
論理400には、どのイベントがスレッド切替えをもたらすかを制御するスレッ
ド切替え制御レジスタ410が含まれる。たとえば、スレッド切替え制御レジス
タ410は、状態変化がスレッド切替えコントローラ450によって見られるよ
うにするイベントをブロックし、その結果、ブロックされたイベントの結果とし
てスレッドが切り替えられなくすることができる。スレッド状態レジスタおよび
スレッドを変更する論理と動作は、本明細書と同時に出願され、参照によって本
明細書に組み込まれる米国特許出願第08/957002号明細書の主題である
。スレッド切替え制御レジスタ410は、本明細書と同時に出願され、参照によ
って本明細書に組み込まれる、米国特許出願第08/958716号明細書の主
題である。フォワード・プログレス・カウント・レジスタ420は、スラッシン
グの防止に使用され、スレッド切替え制御レジスタ410に含めることができる
。フォワード・プログレス・カウント・レジスタ420は、本明細書と同時に出
願され、参照によって本明細書に組み込まれる米国特許出願第08/95687
5号明細書の主題である。スレッド切替えタイムアウト・レジスタ430は、本
明細書と同時に出願され、参照によって本明細書に組み込まれる米国特許出願第
08/956577号明細書の主題であり、これによって、公平さとライブロッ
ク発行が割り振られる。制限的ではないが、最後に、スレッド切替えコントロー
ラ450には、スレッドを切り替えるかどうかと、どのスレッドにどの状況の下
で切り替えるのかを実際に判定するすべての論理の頂点を表す無数の論理ゲート
が含まれる。これらの論理構成要素とその機能のそれぞれを、さらに詳細に説明
する。
【0042】 スレッド状態レジスタ スレッド状態レジスタ440には、各スレッドの状態レジスタが含まれ、名前
からわかるように、対応するスレッドの状態が格納される。この例では、T0ス
レッド状態レジスタ442とT1スレッド状態レジスタ444がある。ビットの
数と、各スレッドの状態を記述するための特定のビットの割振りは、特定のアー
キテクチャおよびスレッド切替え優先順位方式に合わせてカスタマイズすること
ができる。2つのスレッドを有するマルチスレッド式プロセッサのスレッド状態
レジスタ442および444のビットの割振りの例を、下の表に示す。 スレッド状態レジスタのビット割振り (0) 命令/データ 0=命令 1=データ (1:2) ミス・タイプ・シーケンサ 00=なし 01=変換ルックアサイド・バッファ・ミス(I/Dのビット0を検査) 10=L1キャッシュ・ミス 11=L2キャッシュ・ミス (3) 遷移 0=現在の状態への遷移はスレッド切替えをもたらさない 1=現在の状態への遷移はスレッド切替えをもたらす (4:7) 予約済み (8) 0=ロード 1=ストア (9:14) 予約済み (15:17) フォワード・プログレス・カウンタ 111=リセット(このスレッド中に命令が完了した) 000=命令完了なしでのこのスレッドの1回目の実行 001=命令完了なしでのこのスレッドの2回目の実行 010=命令完了なしでのこのスレッドの3回目の実行 011=命令完了なしでのこのスレッドの4回目の実行 100=命令完了なしでのこのスレッドの5回目の実行 (18:19) 優先順位(ソフトウェアによって設定可能) 00=中 01=低 10=高 11=<不正> (20:31) 予約済み (32:63) 64ビット実施形態の場合に予約済み
【0043】 上で説明した実施例では、ビット0によって、ミスまたはプロセッサが実行を
ストールした理由が、命令の要求とデータの要求のどちらの結果であるかが識別
される。ビット1および2は、図5の説明でさらに説明するように、要求された
情報が使用可能でなかったかどうかと、使用可能でなかった場合に、どのハード
ウェアから使用可能でなかったか、すなわち、データまたは命令の変換されたア
ドレスが変換ルックアサイド・バッファ250になかったのか、データまたは命
令自体がL1 D−キャッシュ120またはL2キャッシュ130になかったの
かを示す。ビット3は、スレッドの状態の変化が、スレッド切替えをもたらすか
どうかを示す。スレッドは、スレッド切替えをもたらさずに状態を変更すること
ができる。たとえば、スレッドT1がL1キャッシュ・ミスを経験する時にスレ
ッド切替えが発生する場合に、スレッドT1がL2キャッシュ・ミスを経験する
場合、L1キャッシュ・ミスの際にすでにスレッドが切り替えられているので、
スレッド切替えはない。しかし、T1の状態は、まだ変化する。その代わりに、
選択によって、スレッド切替え論理400が、L1キャッシュ・ミスの際に切り
替えないように構成またはプログラミングされる場合には、スレッドがL1キャ
ッシュ・ミスを経験した時に、スレッドの状態が変化してもスレッド切替えはな
い。スレッド状態レジスタ442および444のビット8は、特定のスレッドに
よって要求された情報が、プロセッサ・コアにロードされるのか、プロセッサ・
コアからキャッシュまたは主記憶にストアされるのかに割り当てられる。ビット
15ないし17は、フォワード・プログレス・カウント・レジスタ420に関し
て後で説明するように、スラッシングの防止に割り振られる。ビット18および
19は、スレッドの優先順位を示すために、ハードウェアで設定するか、ソフト
ウェアによって設定することができる。
【0044】 図5は、データ処理システム10によって処理されるスレッドの現在の実施例
での4つの状態を表し、これらの状態は、スレッド状態レジスタ440のビット
位置1:2に格納される。状態00は、「実行可能」状態すなわち、必要なすべ
てのデータおよび命令が使用可能であるので、スレッドの処理の準備ができてい
ることを表す。状態10は、スレッドがL1 D−キャッシュ120にデータが
返されるかL1 I−キャッシュ150に命令が返されるかのいずれかを待って
いるので、プロセッサ内でのスレッドの実行がストールしているスレッド状態を
表す。状態11は、スレッドがL2キャッシュ130にデータが返されるのを待
っていることを表す。状態01は、テーブル・ウォークと称する、変換ルックア
サイド・バッファ250でのミスがある、すなわち、仮想アドレスがエラー状態
であったか、使用可能でなかったことを示す。図5には、スレッド状態の階層も
示されており、スレッドの実行の準備ができていることを示す状態00が、最も
高い優先順位を有する。短い待ち時間イベントには、高い優先順位を割り当てる
ことが好ましい。
【0045】 図5には、データがさまざまな供給源から取り出される時の状態の変化も示さ
れている。スレッドT0の正常に割り込まれない実行は、ブロック510で状態
00として表されている。L1 D−キャッシュまたはI−キャッシュのミスが
発生した場合、スレッドの状態は、記憶域制御ユニット200からの信号線47
4(図4)または命令ユニット220からの信号線470(図4)で送られる信
号に従って、ブロック512に示されている状態10に変化する。要求されたデ
ータまたは命令が、L2キャッシュ130内にあり、取り出される場合には、ブ
ロック510のT0の正常実行が再開される。同様に、図5のブロック514は
、L2キャッシュ・ミスを表し、これによって、T0またはT1のいずれかのス
レッドの状態が、記憶域制御ユニット200が信号線476または478(図4
)でミスの信号を送る時に、状態11に変化する。信号線480および482(
図4)に示されているように、L2キャッシュ内の命令またはデータが、主記憶
140から取り出され、プロセッサ・コア100にロードされる時には、状態は
、やはりブロック510の状態00に戻る。要求された情報の仮想アドレスが変
換ルックアサイド・バッファ250内で使用可能でない時には、ブロック516
に示されるように、TLBミスまたは状態01として、記憶域制御ユニット20
0が、信号線484(図4)を介してスレッド・レジスタ440に通信する。そ
のアドレスが使用可能になる時または、信号線486(図4)上で記憶域制御ユ
ニット200によって送られるデータ記憶域割り込み命令がある場合には、スレ
ッドの状態は、状態00に戻り、実行の準備ができる。
【0046】 状態の数と、各状態が表すものは、コンピュータ設計者が自由に選択できる。
たとえば、あるスレッドが、L1 I−キャッシュ・ミスとL1 D−キャッシ
ュ・ミスなど、複数のL1キャッシュ・ミスを有する場合には、キャッシュ・ミ
スのタイプのそれぞれに別々の状態を割り当てることができる。その代わりに、
単一のスレッド状態を割り当てて、複数のイベントまたはできごとを表すことが
できる。
【0047】 等しい優先順位を有する2つのスレッドについて、スレッドを切り替えるかど
うかを判定するスレッド切替えアルゴリズムの例を示す。このアルゴリズムは、
本発明の教示に従って、より多くのスレッドおよびスレッド切替え条件のために
それ相応に拡張し、変更することができる。スレッド切替えアルゴリズムによる
、スレッド状態レジスタ440(図4)に格納された各スレッドの状態と各スレ
ッドの優先順位との間の相互作用は、各サイクルに動的に問い合わされる。アク
ティブ・スレッドT0がL1ミスを有する場合に、このアルゴリズムは、休止ス
レッドT1がL2ミスの解決を待っている場合を除いて、休止スレッドT1への
スレッド切替えを引き起こす。切替えが発生せず、アクティブ・スレッドT0の
L1キャッシュ・ミスがL2キャッシュ・ミスになった場合には、このアルゴリ
ズムは、プロセッサに、T1の状態に無関係に休止スレッドT1に切り替えるよ
うに指示する。両方のスレッドがL2キャッシュ・ミスの解決を待っている場合
には、最初にL2ミスを解決されたスレッドが、アクティブ・スレッドになる。
すべての切替え決定時に、行われる処置は、最も可能性の高い事例に合わせて最
適化され、最良の性能をもたらす。L2キャッシュ・ミスから生じるスレッド切
替えは、性能の低下をもたらす余分なスレッド切替えが発生しない場合に、他方
のスレッドの状態次第である。
【0048】 スレッド切替え制御レジスタ どのマルチスレッド式プロセッサにも、スレッド切替えに関連する待ち時間と
性能のペナルティが存在する。本明細書で説明する好ましい実施例のマルチスレ
ッド式プロセッサでは、この待ち時間に、現在のスレッドに割り込むことができ
、現在のスレッドが次に呼び出された時に正しく再始動できる点まで現在のスレ
ッドの実行を完了するのに必要な時間と、スレッド固有のハードウェア機能を現
在のスレッドの状態から新しいスレッドの状態に切り替えるのに必要な時間と、
新しいスレッドを再始動し、その実行を開始するのに必要な時間が含まれる。本
発明と共に動作可能なスレッド固有のハードウェア機能には、上で説明したスレ
ッド状態レジスタと、参照によって本明細書に組み込まれる米国特許第5778
243号明細書に記載のメモリ・セルが含まれることが好ましい。粒度の粗いマ
ルチスレッド式データ処理システムで最適の性能を達成するために、スレッド切
替えを生成するイベントの待ち時間は、通常の単一スレッド・モードに対して、
マルチスレッド・モードでのスレッド切替えに関連する性能コストより大きくな
ければならない。
【0049】 スレッド切替えを生成するのに使用されるイベントの待ち時間は、ハードウェ
アとソフトウェアの両方に依存する。たとえば、マルチスレッド式プロセッサの
特定のハードウェア検討事項には、プロセッサ・チップの外部のL2キャッシュ
の実施に使用される外部SRAMの速度が含まれる。L2キャッシュのSRAM
が高速になると、L1ミスの平均待ち時間が減るが、SRAMが低速になると、
L1ミスの平均待ち時間が増える。したがって、あるスレッド切替えイベントが
、スレッド切替えのペナルティより大きい外部L2キャッシュ・データ・アクセ
ス待ち時間を有するハードウェアのL1キャッシュ・ミスとして定義される場合
に、高性能が得られる。特定のソフトウェア・コードの特性が、スレッド切替え
イベントの待ち時間にどのように影響するかの例として、コードのL2キャッシ
ュのヒット対ミス比すなわち、データがL2キャッシュにないので主記憶から取
り出さなければならない回数と比較した、データが実際にL2キャッシュ内で使
用可能である回数を検討されたい。L2ヒット対ミス比が高いと、L1キャッシ
ュ・ミスが、より長い待ち時間のL2ミスをほとんどもたらさないので、L1キ
ャッシュ・ミスの平均待ち時間が減る。L2ヒット対ミス比が低いと、より長い
待ち時間のL2ミスをもたらすL1ミスが増えるので、L1ミスの平均待ち時間
が増える。したがって、実行中のコードが高いL2ヒット対ミス比を有する場合
には、L2キャッシュ・データ・アクセス待ち時間がスレッド切替えペナルティ
より小さいので、スレッド切替えイベントとしてのL1ミスを使用不能にするこ
とができる。低いL2ヒット対ミス比を有するソフトウェア・コードを実行する
時には、L1キャッシュ・ミスが、より長い待ち時間のL2キャッシュ・ミスに
なる可能性が高いので、L1キャッシュ・ミスをスレッド切替えイベントとして
使用可能にすることになる。
【0050】 いくつかのタイプの待ち時間イベントは、簡単には検出できない。たとえば、
いくつかのシステムでは、キャッシュ・ミスが発生した時に、L2キャッシュが
、命令ユニットに信号を出力する。しかし、他のL2キャッシュは、たとえばL
2キャッシュ・コントローラがプロセッサとは別のチップ上にあり、したがって
、プロセッサが状態変化を簡単に判定できない場合に、そのような信号を出力し
ない。これらのアーキテクチャでは、プロセッサに、未処理のL1キャッシュ・
ミスごとに1つのサイクル・カウンタを含めることができる。所定のサイクル数
の前にミス・データがL2キャッシュから返されない場合には、プロセッサは、
L2キャッシュ・ミスがあったかのように動作し、スレッドの状態をそれ相応に
変更する。このアルゴリズムは、複数の別個のタイプの待ち時間が存在する他の
場合にも適用可能である。例のみとして、多重プロセッサでのL2キャッシュ・
ミスの場合、主記憶からのデータの待ち時間は、別のプロセッサからのデータの
待ち時間と大きく異なる場合がある。これらの2つのイベントに、スレッド状態
レジスタ内で異なる状態を割り当てることができる。これらの状態を区別する信
号が存在しない場合には、カウンタを使用して、スレッドがL2キャッシュ・ミ
スに遭遇した後に、スレッドがどの状態にならなければならないかを推定するこ
とができる。
【0051】 スレッド切替え制御レジスタ410は、スレッド切替えを生成するイベントを
選択するソフトウェア・プログラム可能レジスタであり、定義されたスレッド切
替え制御イベントのそれぞれについて別々のイネーブル・ビットを有する。本明
細書で説明する実施例では、スレッドごとに別々のスレッド切替え制御レジスタ
410は実施されないが、スレッドごとに別々のスレッド切替え制御レジスタ4
10を実施して、より多くのハードウェアおよび複雑さという犠牲と引き換えに
より高い柔軟性と性能をもたらすことができる。さらに、あるスレッド切替え制
御レジスタ内のスレッド切替え制御イベントは、他のスレッド切替え制御レジス
タのスレッド切替え制御イベントと同一である必要はない。
【0052】 スレッド切替え制御レジスタ410は、米国特許第5079725号明細書に
開示された動的走査通信インターフェースなどのソフトウェアを用いるサービス
・プロセッサによるか、ソフトウェア・システム・コードを用いてプロセッサ自
体によって、書き込むことができる。スレッド切替え制御レジスタ410の内容
は、スレッド切替えの生成を使用可能または使用不能にするために、スレッド切
替えコントローラ450によって使用される。レジスタ410内の1の値によっ
て、そのビットに関連するスレッド切替え制御イベントが使用可能にされて、ス
レッド切替えが生成される。スレッド切替え制御レジスタ410内の0の値によ
って、そのビットに関連するスレッド切替え制御イベントが、スレッド切替えの
生成を禁止される。もちろん、実行中のスレッド内の命令によって、その特定の
スレッドまたは他のスレッドのスレッド切替え条件のうちのいくつかまたはすべ
てを使用不能にすることができる。下の表に、スレッド切替えイベントと、レジ
スタ410内のイネーブル・ビットの間の関連を示す。 スレッド切替え制御レジスタのビット割当 (0) L1データ・キャッシュ取出ミスに対するスイッチ (1) L1データ・キャッシュ・ストア・ミスに対するスイッチ (2) L1命令キャッシュ・ミスに対するスイッチ (3) 命令TLBミスに対するスイッチ (4) L2キャッシュ取出ミスに対するスイッチ (5) L2キャッシュ・ストア・ミスに対するスイッチ (6) L2命令キャッシュ・ミスに対するスイッチ (7) データTLB/セグメント・ルックアサイド・バッファ・ミスに対す るスイッチ (8) L2キャッシュ・ミスおよび休止スレッド非L2キャッシュ・ミスに 対するスイッチ (9) スレッド切替えタイムアウト値到達時のスイッチ (10) L2キャッシュ・データが返された時のスイッチ (11) 入出力外部アクセスに対するスイッチ (12) ダブルXストア:2つのうちの1番目でのミスに対するスイッチ* (13) ダブルXストア:2つのうちの2番目でのミスに対するスイッチ* (14) 複数/列ストア:すべてのアクセスでのミスに対するスイッチ (15) 複数/列ロード:すべてのアクセスでのミスに対するスイッチ (16) 予約済み (17) ダブルXロード:2つのうちの1番目でのミスに対するスイッチ* (18) ダブルXロード:2つのうちの2番目でのミスに対するスイッチ* (19) 計算機状態レジスタ(問題状態)ビット、msr(pr)=1の場合のor 1,1,1
命令に対するスイッチ。msr(pr)と独立のソフトウェア優先順位変更を可能にす る。ビット19が1の場合、or 1,1,1命令によって低優先順位が設定される。ビ
ット19が0の場合、or 1,1,1命令が実行される時にmsr(pr)=0の場合に限って 優先順位が低に設定される。後で説明する、ソフトウェアによる優先順位の変更
を参照されたい。 (20) 予約済み (21) スレッド切替え優先順位イネーブル (22:29) 予約済み (30:31) フォワード・プログレス・カウント (32:63) 64ビット・レジスタ実施形態で予約済み *ダブルXロード/ストアとは、基本ハーフワード、ワードまたはダブル・ワ
ードの、ダブルワード境界をまたぐロードまたはストアを指す。この文脈でのダ
ブルXロード/ストアは、複数ワードまたはワードの列のロードまたはストアで
はない。
【0053】 スレッド切替えタイムアウト・レジスタ 上で述べたように、粒度の粗いマルチスレッド式プロセッサは、スレッド切替
えをトリガするために、長い待ち時間のイベントに頼る。実行中に、多重プロセ
ッサ環境内のプロセッサまたはマルチスレッド式アーキテクチャのバックグラウ
ンド・スレッドが、単独の所有者だけを有することのできる資源の所有権を有し
、別のプロセッサまたはアクティブ・スレッドが、フォワード・プログレスを行
う前にその資源へのアクセスを必要とする場合がある。その例には、メモリ・ペ
ージ・テーブルの更新またはタスク・ディスパッチャからのタスクの取得が含ま
れる。アクティブ・スレッドが資源の所有権を得ることができなくても、スレッ
ド切替えイベントはもたらされないが、スレッドは、有用な作業を行うことがで
きないループを回り続ける。この場合、資源を保持しているバックグラウンド・
スレッドは、プロセッサへのアクセスを得ず、その結果、スレッド切替えイベン
トに遭遇せず、アクティブ・スレッドにならないので、資源を解放することがで
きない。
【0054】 スレッドの間での処理サイクルの割振りが、もう1つの問題である。あるスレ
ッド上で走行するソフトウェア・コードが、同一のプロセッサ内の他のスレッド
上で走行するソフトウェア・コードと比較して長い待ち時間の切替えイベントに
ほとんど遭遇しない場合には、そのスレッドは、処理サイクルの公平な割当分以
上の処理サイクルを得る。最大の許容可能な時間を超える可能性があるもう1つ
の過度な遅延が、限られた時間期間内に外部割込みをサービスするために待機す
るかプロセッサの外部の他のイベントを待機する非アクティブ・スレッドの待ち
時間である。したがって、有用な処理が達成されていない場合に、システムがハ
ングしないようにするために、ある時間の後に休止スレッドへのスレッド切替え
を強制的に行うことが好ましくなる。
【0055】 ある時間期間の後にスレッド切替えを強制するための論理が、スレッド切替え
タイムアウト・レジスタ430(図4)、デクリメンタおよび、減分された値を
保持する減分レジスタである。スレッド切替えタイムアウト・レジスタ430は
、スレッド切替えタイムアウト値を保持する。この実施例で使用されるスレッド
切替えタイムアウト・レジスタ430の実施形態を、次の表に示す。 スレッド切替えタイムアウト・レジスタのビット (0:21) 予約済み (22:31) スレッド切替えタイムアウト値
【0056】 本明細書で説明する本発明の実施例では、スレッドごとに別々のスレッド切替
えタイムアウト・レジスタ430が実施されないが、柔軟性を高めるためにそれ
を行うことは可能である。同様に、複数のスレッドがある場合に、各スレッドが
同一のスレッド切替えタイムアウト値を有する必要はない。スレッド切替えが発
生するたびに、スレッド切替えタイムアウト・レジスタ430からのスレッド切
替えタイムアウト値が、ハードウェアによって減分レジスタにロードされる。減
分レジスタは、減分レジスタ値が0に等しくなるまで各サイクルに1回減分され
、0になった時に、スレッド切替えコントローラ450に信号が送られ、スレッ
ド切替えコントローラ450は、命令を処理する準備ができている他のスレッド
がない場合を除いて、スレッド切替えを強制する。たとえば、システム内の他の
すべてのスレッドが、キャッシュ・ミスで待機状態になっており、命令を実行す
る準備ができていない場合には、スレッド切替えコントローラ450は、スレッ
ド切替えを強制しない。減分レジスタの値が0に達した時に、命令を処理する準
備ができている他のスレッドが存在しない場合には、別のスレッドが命令を処理
する準備ができるまで、減分された値は0で凍結され、準備ができた時点で、ス
レッド切替えが発生し、減分レジスタに、そのスレッドのスレッド切替えタイム
アウト値が再ロードされる。同様に、減分レジスタは、簡単に増分レジスタと命
名することができ、スレッドが実行中である時に、そのレジスタをある所定の値
まで増分することができ、その値に達した時にスレッド切替えが強制される。
【0057】 スレッド切替えタイムアウト・レジスタ430は、上で述べたようにサービス
・プロセッサによって書き込むか、ソフトウェア・コードを用いてプロセッサ自
体によって書き込むことができる。スレッド切替えタイムアウト・レジスタ43
0にロードされるスレッド切替えタイムアウト値は、特定のハードウェア構成ま
たは特定のソフトウェア・コードに従って、不要なスレッド切替えから生じる浪
費サイクルを最小にするためにカスタマイズすることができる。スレッド切替え
タイムアウト・レジスタ430の値が大きすぎると、アクティブ・スレッドが別
のスレッドによって保持されている資源を待っている場合と、外部割込みまたは
他のプロセッサ外部のイベントの応答待ち時間が長すぎる場合に、性能低下がも
たらされる可能性がある。また、値が大きすぎると、一方のスレッドが多数のス
レッド切替えイベントを経験し、もう一方のスレッドがそうでない場合に、公平
さが損なわれる可能性がある。スレッド切替えを引き起こす、最も頻繁な最長の
待ち時間イベント、たとえば主記憶へのアクセスより2倍ないし数倍長いスレッ
ド切替えタイムアウト値が、推奨される。スレッド切替えタイムアウト・レジス
タ430で指定されたサイクル数だけ待った後にスレッド切替えを強制すること
によって、共用資源の競合に起因するシステム・ハングが防止され、スレッド間
のプロセッサ・サイクル割振りの公平さが実施され、外部割り込みおよび他のプ
ロセッサ外部のイベントに対する最大応答待ち時間が制限される。
【0058】 フォワード・プログレスの保証 スレッド切替えが発生し、新しいスレッドがアクティブになるたびに、少なく
とも1つの命令が実行されなければならないことは、単一の命令によって複数の
キャッシュ・アクセスまたは複数のキャッシュ・ミスが発生する時など、いくつ
かの状況では制限が強すぎる。たとえば、取出命令は、要求された命令がキャッ
シュ内にない場合にL1 I−キャッシュ150ミスを引き起こす可能性がある
が、その命令が返された時に、必要なデータがL1 D−キャッシュ120内で
使用可能でない可能性がある。同様に、変換ルックアサイド・バッファ250で
のミスが、データ・キャッシュ・ミスをももたらす可能性がある。したがって、
フォワード・プログレスを厳密に実施する場合には、後続アクセスでのミスは、
スレッド切替えをもたらさない。第2の問題は、一部のキャッシュ・ミスが、完
了に大量のサイクルを必要とする可能性があり、その時間の間に、別のスレッド
が、同一のキャッシュ・レベルで、はるかに短い時間で完了できるキャッシュ・
ミスを経験する可能性があることである。第1のスレッドに戻る時に、厳密なフ
ォワード・プログレスが実施される場合には、プロセッサは、より短いキャッシ
ュ・ミスを有するスレッドに切り替えることができない。
【0059】 各スレッドが、命令実行を伴わないスレッド切替えの反復サイクルでロックさ
れるスラッシングの問題を救済するために、フォワード・プログレス・カウント
・レジスタ420(図4)が存在し、これによって、フォワード・プログレス閾
値と称するプログラム可能な最大回数までのスレッド切替えが許容される。その
スレッド切替えの最大回数の後は、命令を完了しなければ切替えは発生しない。
この形で、スラッシングが防止される。フォワード・プログレス・カウント・レ
ジスタ420は、実際には、スレッド切替え制御レジスタ410のビット30:
31とするか、プロセッサのためのソフトウェア・プログラム可能フォワード・
プログレス閾値レジスタとすることができる。フォワード・プログレス・カウン
ト論理は、スレッドの状態を示し、命令実行なしでスレッドが経験したスレッド
切替えの回数のために割り振られる、スレッド状態レジスタ442および444
のビット15:17を使用する。これらのビットは、フォワード・プログレス・
カウンタを含むことが好ましい。
【0060】 スレッドの状態が変化し、スレッド切替えアルゴリズムが呼び出される時に、
アクティブ・スレッド内で少なくとも1つの命令が完了している場合、アクティ
ブ・スレッドのフォワード・プログレス・カウンタは、リセットされ、スレッド
切替えアルゴリズムは、プロセッサ内のスレッドの間でのスレッド状態の比較を
継続する。完了した命令がない場合、アクティブ・スレッドのスレッド状態レジ
スタ内のフォワード・プログレス・カウンタ値が、フォワード・プログレス閾値
と比較される。カウンタ値が、閾値と等しくない場合には、スレッド切替えアル
ゴリズムは、プロセッサ内のスレッドのスレッド状態の評価を継続する。その後
、スレッド切替えが発生した場合に、フォワード・プログレス・カウンタが増分
される。しかし、カウンタ値が閾値または状態と等しい場合には、命令を実行で
きるまで、すなわち、フォワード・プログレスが発生するまで、スレッド切替え
は発生しない。閾値レジスタが値0を有する場合には、別のスレッドに切り替え
る前に、アクティブ・スレッド内で少なくとも1つの命令が完了しなければなら
ないことに留意されたい。各スレッド切替えが、3プロセッサ・サイクルを必要
とし、2つのスレッドが存在し、スレッド切替え論理が、5回の試行の値にスレ
ッド切替えの試行を停止するようにプログラミングされている場合、プロセッサ
のスラッシングが発生する最大サイクル数は、30サイクルである。当業者は、
一方でフォワード・プログレスが行われないのでスレッド切替えを禁止すること
と、他方でタイムアウト・カウントを超えたのでスレッド切替えを強制すること
の間に潜在的な衝突が存在することを了解するであろう。このような衝突は、ア
ーキテクチャおよびソフトウェアに従って簡単に解決することができる。
【0061】 図6は、スラッシングを防止する、スレッド切替え論理400のフォワード・
プログレス・カウント機能の流れ図である。ブロック610で、スレッドT0に
関係するスレッド状態レジスタ442のビット15:17が、状態111にリセ
ットされる。ブロック620で、このスレッドの実行を試み、状態が000に変
化する。スレッドT0で命令が成功裡に実行された場合、スレッドT0の状態は
、111に戻り、そのままにとどまる。しかし、スレッドT0が命令を実行でき
ない場合には、スレッドT1または、プロセッサ・アーキテクチャで3つ以上の
スレッドが許容される場合には別のバックグラウンド・スレッドへのスレッド切
替えが発生する。T1または他のバックグラウンド・スレッドからのスレッド切
替えが発生し、実行がスレッドT0に戻った時に、ブロック630で、スレッド
T0を実行する2回目の試みが行われ、スレッドT0の状態は001になる。や
はり、スレッドT0がスレッド切替えイベントに遭遇した場合に、プロセッサの
制御は、スレッドT0から別のスレッドに切り替えられる。同様に、たとえばT
1などの他のスレッドからスレッドT0へのスレッド切替えが発生した時に、T
0の状態は、T0実行の3回目の試みでは010に変化し(ブロック640)、
T0実行の4回目の試みでは011に変化し(ブロック650)、T0実行の5
回目の試みでは状態100に変化する(ブロック660)。
【0062】 この実施形態では、スレッドT0への切替えの試みが5回ある。5回目の試み
の後と、スレッド状態レジスタ(TSR)442のビット15:17の値が、ス
レッド切替え制御レジスタ(TSC)410のビット30:31の値+1に等し
い時すなわち、TSC(30:31)+1=TSR(15:17)の時に必ず、
スレッドT0からのスレッド切替えが発生しなくなる。5回の試みは、任意の数
であり、不成功の実行を伴う切替えの許容可能な最大回数すなわち、フォワード
・プログレス閾値は、プログラム可能であることが了解されよう。また、あるア
ーキテクチャでは、5回の切替えが多すぎ、他のアーキテクチャでは5回が少な
すぎることが理解されよう。どの場合でも、命令実行なしでスレッドに切り替え
る試みの回数の間の関係を閾値と比較しなければならず、その閾値に達した後に
は、そのスレッドからのスレッド切替えが発生せず、プロセッサは、そのスレッ
ドに関連する待ち時間が解決されるまで待機する。本明細書で説明する実施例で
は、スレッド状態レジスタ442のビット15:17によって表されるスレッド
の状態が、スレッド切替え制御レジスタ410のビット30:31と比較される
。フォワード・プログレス論理による早すぎるスレッド切替えのブロックを防ぐ
ための、入出力装置との相互作用などの極端に長い待ち時間を有する特定のイベ
ントのための特別な処理によって、プロセッサ性能が改善される。これらの極端
に長い待ち時間のイベントを処理する方法の1つが、フォワード・プログレス・
カウンタの増分をブロックするか、データが返されなかった場合のフォワード・
プログレス・カウンタと閾値の間の比較の出力信号を無視することである。極端
に長い待ち時間のイベントを処理するもう1つの方法は、これらの特定のイベン
トについて、別のより大きいフォワード・プログレス・カウントを使用すること
である。
【0063】 スレッド切替えマネージャ プロセッサにディスパッチされたすべてのソフトウェア・スレッドのスレッド
状態は、前に説明したように図4のスレッド状態レジスタ442および444で
維持されることが好ましい。単一のプロセッサでは、一時に1つのスレッドがそ
の命令を実行し、他のすべてのスレッドは、休止状態になる。実行がアクティブ
・スレッドから休止スレッドに切り替えられるのは、アクティブ・スレッドが、
フォワード・プログレス・レジスタ420、スレッド切替え制御レジスタ410
またはスレッド切替えタイムアウト・レジスタ430に関して上で説明した長い
待ち時間のイベントに出会った時である。どのスレッドがアクティブであるかに
無関係に、これらのハードウェア・レジスタでは、実行の過程の間に動的に変化
しない状態が使用される。
【0064】 スレッド切替えマネージャによってスレッド切替え条件を変更する柔軟性によ
って、総合的なシステム性能が改善される。ソフトウェア・スレッド切替えマネ
ージャは、スレッド切替えの頻度を変更でき、クリティカルなタスクが使用でき
る実行サイクルを増やすことができ、スレッド切替え待ち時間のために失われる
総合サイクルを減らすことができる。スレッド切替えマネージャは、コンパイル
時または、オペレーティング・システムによる実行中のいずれかにプログラミン
グすることができ、たとえば、ロックしているループからスレッド切替えの頻度
を変更でき、また、低い優先順位状態の休止スレッドが外部割込みを待っている
か他の形で作動可能であるのでオペレーティング・システム・タスクをディスパ
ッチすることができる。アクティブ・スレッドからのスレッド切替えを許容しな
いか、その頻度を減らし、その結果、現在の命令ストリームの性能が、そこから
の切替えとそこに戻る切替えから生じる待ち時間を被らなくすることが有利であ
る場合がある。その代わりに、スレッドが、総合的なシステム性能を強化するた
めに、本質的にその優先順位を下げ、その結果として、それへの切替えの頻度を
下げるか、そのスレッドからの切替えの頻度を高めることによって、その実行サ
イクルの一部またはすべてを捨てることができる。スレッド切替えマネージャは
、スレッド切替えを無条件で強制または禁止することもでき、どのスレッドが次
に実行のために選択されるかに影響することもできる。
【0065】 複数優先順位スレッド切替え方式では、各スレッドに優先順位値を割り当てて
、切替えを引き起こす条件を制限する。場合によっては、ハードウェアにスレッ
ド優先順位を変更させることが望ましい可能性もある。たとえば、低優先順位ス
レッドが、あるイベントを待っており、そのイベントが発生した時に、ハードウ
ェアが、そのスレッドの優先順位を引き上げて、外部割込みなどのイベントに対
するそのスレッドの応答時間に影響することができる。スレッド間の相対優先順
位またはあるスレッドの優先順位は、そのようなイベントの処理に影響する。ス
レッドの優先順位は、イベントに応答してハードウェアによって、または、1つ
または複数の命令の使用を介してスレッド切替えマネージャソフトウェアによっ
て、調節することができる。スレッド切替えマネージャは、ハードウェア・スレ
ッド切替え論理によって実行される処置を変更して、効果的にスレッドの相対優
先順位を変更する。
【0066】 3つの優先順位が、本明細書で説明する2スレッドの実施例と共に使用され、
これによって、システム性能に悪影響を及ぼさずに、性能のチューニングを可能
にするのに十分な、スレッドの間の区別がもたらされる。3つの優先順位を用い
ると、2つのスレッドが、中優先順位の同等の状況を有することができる。2つ
のスレッドに関する3つの優先順位の選択は、制限的であることを目的とするも
のではない。いくつかのアーキテクチャでは、「通常」状態が、一方のスレッド
が必ず他方のスレッドより高い優先順位を有する状態であるものとすることがで
きる。ハードウェアで設定するかソフトウェアによってプログラミングすること
のできる1つまたは複数の優先順位を有する3つ以上の実行のスレッドを含むこ
とが、本発明の範囲内であることが意図されている。
【0067】 各スレッドの3つの優先順位は、高、中および低である。スレッドT0の優先
順位がスレッドT1と同一である時には、スレッド切替え論理に対する影響はな
い。両方のスレッドが等しい優先順位を有するので、優先的に実行時間を与えら
れるスレッドは存在しない。スレッドT0の優先順位が、スレッドT1の優先順
位より高い時には、T0からT1へのスレッド切替えイベントは、あるスレッド
切替えイベントすなわち、すべてのL1キャッシュ・ミスすなわち、データ・ロ
ード、データ・ストアおよび命令取出について使用不能にされる。というのは、
L1キャッシュ・ミスが、L2ミスおよび変換などの他の条件よりはるかに高速
に解決されるからである。どのスレッド切替えイベントでも、使用不能にし、そ
の結果、スレッドT0に、スレッドT1より多数の実行サイクルを受け取る機会
を与えることができ、これによって、スレッドT0が、過剰な数の実行サイクル
を浪費しない限り、実行を継続できるようになる。しかし、プロセッサは、スレ
ッドT0が比較的長い実行待ち時間、たとえば、L2キャッシュ・ミスまたはコ
ンピュータ・システムの外部の供給源からのデータの取出などを経験する場合に
、スレッドT1に制御を譲る。T1からT0へのスレッド切替えは、休止スレッ
ドT0がスレッドT1をプリエンプトした場合にスレッドT0が作動可能になっ
た時に切替えが発生する点を除いて、影響を受けない。この事例は、L2キャッ
シュ・ミスまたは変換要求が原因でスレッドT0からの切替えが発生し、その条
件が、スレッドT1の実行中にバックグラウンドで解決された時に発生すると予
想される。スレッドT0がスレッドT1より低い優先順位を有する場合は、上の
場合でスレッドの指定を逆転したものに類似する。
【0068】 スレッド優先順位の変更によるスレッド切替えの管理を実装することができる
異なる手法が存在する。新しい命令をプロセッサ・アーキテクチャに追加するこ
とができる。所望の動作を有する副作用を有する既存のプロセッサ命令を使用す
ることもできる。ソフトウェア制御を可能にする方法の間での選択に影響する要
因には、以下が含まれる。(a)新規命令を含めるためのアーキテクチャ再定義
の容易さと、既存プロセッサに対するアーキテクチャ変更の影響、(b)異なる
版のプロセッサ上で同一のソフトウェアを走行させることの望ましさ、(c)新
規の専用命令の使用と、既存命令を再利用し結果の副作用を定義することとの間
の性能トレードオフ、(d)たとえば、特定のロードまたはストアなどの一部の
既存命令のすべての実行によって効果が生じるか、特にその効果を生じさせるた
めにストリームに命令を追加することによるさらなる制御を必要とするか、など
、ソフトウェアによる制御の所望のレベル。
【0069】 本明細書で説明するアーキテクチャは、その値によってプロセッサのアーキテ
クチャ的汎用レジスタが変更されない、未使用命令を活用する。この機能は、プ
ロセッサ・アーキテクチャを更新してマルチスレッディング機能を組み込むのに
非常に重要である。そうでなければ、特殊命令をコーディングすることができる
。命令は、「好ましいノー・オペレーション」or 0,0,0であるが、他の命令が、
効果的にノー・オペレーションとして働くことができる。ノー・オペレーション
またはnopは、その実行が、コンピュータに、動作を実行せずに次の命令の実行 に進行させる命令である。好ましいアーキテクチャの実施例では、or命令の異な
る版、or 0,0,0または1,1,1か、スレッド優先順位を変更するために追加の優先 順位切替えの意味を付加することのできる既存命令を使用することによって、同
一の命令ストリームを、不正命令割込みなどの悪影響なしにプロセッサ上で実行
することができる。不正命令割込みは、不正命令の実行が試みられる時または、
実施形態によって提供されない予約済みまたは任意選択の命令の実行が試みられ
る時に生成される。機能拡張では、計算機状態レジスタの状態を使用して、これ
らの命令の意味を変更する。たとえば、ユーザがこれらのスレッド優先順位命令
の一部またはすべてをコーディングし、それらが提供する機能にアクセスできる
ようにすることは、望ましくない場合がある。それらが提供する特殊機能は、実
行のあるモードだけで発生するように定義することができ、それらの命令は、他
のモードでは効果がなく、通常通りノー・オペレーションとして実行される。
【0070】 二重スレッド・マルチスレッド式プロセッサを使用する可能な実施形態の1つ
では、実行中のソフトウェア自体の一部になる下記の3つの優先順位切替え命令
を使用して、それ自体の優先順位を変更する。 tsop 1 or 1,1,1 休止スレッドへの切替え tsop 2 or 1,1,1 アクティブ・スレッドを「低」優先順位に設定する 休止スレッドに切り替える 注:TSC[19]=1でなければ特権モードでのみ有効 tsop 3 or 2,2,2 アクティブ・スレッドを「中」優先順位に設定する tsop 4 or 3,3,3 アクティブ・スレッドを「高」優先順位に設定する 注:特権モードでのみ有効
【0071】 優先順位切替え命令tsop 1およびtsop 2は、本明細書でor 1,1,1として実施さ
れるものと同一の命令とすることができるが、これらを別々の命令とすることも
できる。これらの命令は、スレッド切替え制御レジスタ410のビット19およ
び21と、本明細書で説明した計算機状態レジスタの問題/優先順位ビットとに
相互作用する。スレッド切替え制御レジスタ410のビット21が1の値を有す
る場合には、スレッド切替えマネージャは、そのスレッドの優先順位に、スレッ
ド状態レジスタのビット18:19で表される3つの優先順位のうちの1つをセ
ットすることができる。スレッド切替え制御レジスタ410のビット19が値0
を有する場合には、命令tsop 2のスレッド切替えおよびスレッド優先順位の設定
は、計算機状態レジスタの問題/優先順位ビットによって制御される。その一方
で、スレッド切替え制御レジスタ410のビット19が値1を有する場合または
、計算機状態レジスタの問題/優先順位ビットが値0を有し、命令or 1,1,1がコ
ードに存在する場合には、アクティブ・スレッドの優先順位は、低に設定され、
実行は、休止スレッドがイネーブルされる場合に即座に休止スレッドまたはバッ
クグラウンド・スレッドに切り替えられる。命令or 2,2,2では、アクティブ・ス
レッドの優先順位が、計算機状態レジスタの問題/優先順位ビットの値に無関係
に中に設定される。命令or 3,3,3では、計算機状態レジスタの問題/優先順位ビ
ットが0の値を有する時に、アクティブ・スレッドの優先順位に高がセットされ
る。スレッド切替え制御レジスタ410のビット21が0の場合、両方のスレッ
ドの優先順位に、中がセットされ、優先順位に対するor x,x,xの影響がブロック
される。外部割込み要求がアクティブであり、対応するスレッドの優先順位が低
の場合、そのスレッドの優先順位は、中に設定される。
【0072】 スレッド優先順位によって変更されるイベントは、(1)データをロードする
際のL1 D−キャッシュ・ミスに対するスイッチと、(2)データをストアす
る際のL1 D−キャッシュ・ミスに対するスイッチと、(3)命令を取り出す
際のL1 I−キャッシュ・ミスに対するスイッチと、(4)休止スレッドが作
動可能状態である場合のスイッチである。さらに、外部割込みの活動化によって
、対応するスレッドの優先順位を変更することができる。下の表に、スレッド切
替えを引き起こす条件に対する優先順位の影響を示す。列3および4の「TSC
」だけの項目は、スレッド切替えを開始するためにスレッド切替え制御(TSC
)レジスタ410に示された条件を使用することを意味する。「0として扱われ
るTSC[0:2]」の項目は、スレッド切替え制御レジスタ410のビット0
:2が、そのスレッドに関してこれらのビットの値が0であるかのように扱われ
、スレッド切替え制御レジスタ410の他のビットが、スレッド切替えを引き起
こす条件の定義にそのまま使われることを意味する。列4の「スレッドT0作動
可能時」は、スレッドT0が、それからのスレッド切替えを引き起こしたミス・
イベントの待機を終えると同時に、スレッドT0への切替えが発生することを意
味する。列3の「スレッドT1作動可能時」は、スレッドT1が、それからのス
レッド切替えを引き起こしたミス・イベントの待機を終えると同時に、スレッド
T1への切替えが発生することを意味する。ミス・イベントが、スレッド切替え
タイムアウトである場合には、より高い優先順位のスレッドに切り替えられる前
に、より低い優先順位のスレッドが命令を完了するという保証はない。
【表1】
【0073】 生産的な作業を行わないスレッドには、遊休ループ内のすべての命令がスレッ
ド切替えを引き起こす場合であっても、性能の損失を避けるために、低優先順位
を与えることが推奨される。それでも、低優先順位に設定されたスレッドに対し
て外部割込みが要求された場合に、ハードウェアがスレッド優先順位を変更でき
るようにすることが重要である。この場合、そのスレッドは、割込みに対するす
ばやい応答を可能にするために、中優先順位に引き上げられる。これによって、
外部イベントを待っているスレッドが、それ自体を低優先順位に設定し、イベン
トがシグナリングされるまでその状態にとどまることが可能になる。
【0074】 最も実用的であり好ましい実施例と現在考えられるものに関して本発明を説明
してきたが、本発明は、開示された実施例に制限されず、逆に、請求項の趣旨お
よび範囲に含まれるさまざまな修正形態および同等配置を含むことが意図されて
いることを理解されたい。
【図面の簡単な説明】
【図1】 本明細書に記載の発明を実施することのできるコンピュータ・システムのブロ
ック図である。
【図2】 本発明によるマルチスレッド式データ処理システムの高水準ブロック図である
【図3】 図2の記憶域制御ユニットのブロック図である。
【図4】 図2のスレッド切替え論理、記憶域制御ユニットおよび命令ユニットのブロッ
ク図である。
【図5】 図4に示されたスレッドが異なるスレッド切替えイベントを経験する際のスレ
ッドの状態の変化を示す図である。
【図6】 本発明のフォワード・プログレス・カウントの流れ図である
───────────────────────────────────────────────────── フロントページの続き (72)発明者 フリン、ウィリアム、・トーマス アメリカ合衆国55902 ミネソタ州ロチェ スター フォーティーンス・アベニュー サウスウェスト 2516 (72)発明者 ウォットレング、アンドリュー、ヘンリー アメリカ合衆国55901 ミネソタ州ロチェ スター マナー・ビュー・ドライブ ノー スウェスト 4224 Fターム(参考) 5B005 JJ11 KK12 LL01 MM02 NN75 SS12 5B098 AA03 CC01 GA05 GC03 GD03 GD14

Claims (26)

    【特許請求の範囲】
  1. 【請求項1】 それぞれが優先順位を有する命令の複数のスレッドのうちの少なくとも1つを
    、マルチスレッド式プロセッサ内で実行することと、 前記複数のスレッドの1つ以上の優先順位を変更することと を含む、コンピュータ処理の方法。
  2. 【請求項2】 各スレッドの前記優先順位に基づいて、前記複数のスレッドのいずれかから他
    のいずれかに切り替えること をさらに含む、請求項1に記載の方法。
  3. 【請求項3】 ハードウェアによって生成される信号が、1つ以上のスレッドの前記優先順位
    を変更する、請求項1または2に記載の方法。
  4. 【請求項4】 前記信号が、前記マルチスレッド式プロセッサの外部のイベントから生じる、
    請求項1ないし3のいずれか一項に記載の方法。
  5. 【請求項5】 スレッド切替えマネージャが、1つまたは複数のスレッドの前記優先順位を変
    更する、請求項1ないし4のいずれか一項に記載の方法。
  6. 【請求項6】 前記複数のスレッドのうちの1つの前記優先順位が、前記複数のスレッドのう
    ちのもう1つより高い、請求項1ないし5のいずれか一項に記載の方法。
  7. 【請求項7】 前記複数のスレッドのうちの少なくとも2つが、等しい優先順位を有する、請
    求項1ないし5のいずれか一項に記載の方法。
  8. 【請求項8】 前記複数のスレッドのいずれかの前記優先順位が、高、中または低であること
    ができる、請求項1ないし7のいずれか一項に記載の方法。
  9. 【請求項9】 前記複数のスレッドのうちの第1スレッドから前記複数のスレッドのうちの第
    2スレッドへの切替えが、前記第1スレッドが前記第2スレッドより低い優先順
    位を有し、前記第2スレッドが作動可能状態である時に発生する、請求項1ない
    し6および8のいずれか一項に記載の方法。
  10. 【請求項10】 前記複数のスレッドのうちの、前記複数のスレッドのうちのもう1つより高い
    優先順位を有する1つからの切替えが、指定されたスレッド切替えイベントにつ
    いて使用不能にされる、請求項1ないし6、8および9のいずれか一項に記載の
    方法。
  11. 【請求項11】 (a)各スレッドが優先順位を有する、命令の複数のスレッドのうちの少なく
    とも1つを、キャッシュに接続されたマルチスレッド式プロセッサ内で実行する
    ことと、 (b)スレッド切替え命令を含むスレッド切替えマネージャを使用して、前記
    複数のスレッドのうちの1つまたは複数の前記優先順位を変更することと、 (c)前記複数のスレッドのうちの第1スレッドが、前記複数のスレッドのう
    ちの第2スレッドより低い優先順位を有し、前記第2スレッドが作動可能状態で
    ある場合に、前記第1スレッドから前記第2スレッドに切り替えることと、 (d)指定されたスレッド切替えイベントに関してより高い優先順位を有する
    、前記複数のスレッドのうちの1つから切り替えないことと を含む、コンピュータ処理の方法。
  12. 【請求項12】 命令の複数のスレッドのうちの少なくとも1つの、前記複数のスレッドの少な
    くとも1つの他のスレッドに対する相対的な優先順位に基づいて、マルチスレッ
    ド式プロセッサ内で前記複数のスレッドのうちの前記少なくとも1つに複数の処
    理サイクルを割り振ること を含む、コンピュータ処理の方法。
  13. 【請求項13】 少なくとも1つの優先順位切替え命令と、 第1レジスタ内の少なくとも1つのスレッド切替え優先順位ビットと、 前記優先順位切替え命令が複数の優先順位状態ビットのうちのいずれをも変更
    することができる、第2レジスタ内の前記複数の優先状態ビットと を含む、コンピュータ処理システム用のスレッド切替えマネージャ。
  14. 【請求項14】 マルチスレッド式プロセッサと、 前記マルチスレッド式プロセッサ内の少なくとも1つのアクティブ・スレッド
    を含む命令の複数のスレッドと、 をさらに含み、前記優先順位切替え命令が、前記複数の優先順位状態ビットの
    うちの1つを変更し、前記マルチスレッド式プロセッサ内の命令の前記複数のス
    レッドのうちの少なくとも1つが、優先順位を変更する 請求項13に記載のスレッド切替えマネージャ。
  15. 【請求項15】 前記マルチスレッド式プロセッサが、命令の前記少なくとも1つのスレッドの
    優先順位ビットがイネーブルされている場合に、前記少なくとも1つのスレッド
    の優先順位の前記変更に応答して、前記アクティブ・スレッドから処理を切り替
    える、請求項14に記載のスレッド切替えマネージャ。
  16. 【請求項16】 前記複数の優先順位状態ビットが、複数の組を含み、前記組のそれぞれが、命
    令の前記複数のスレッドのうちのそれぞれ1つに対応する、請求項13ないし1
    5のいずれか一項に記載のスレッド切替えマネージャ。
  17. 【請求項17】 前記第1レジスタおよび前記第2レジスタが、単一である、請求項13ないし
    16のいずれか一項に記載のスレッド切替えマネージャ。
  18. 【請求項18】 第1レジスタ内の前記少なくとも1つのスレッド切替え優先順位ビットが、機
    能的に前記マルチスレッド式プロセッサに接続されたスレッド切替え制御レジス
    タ内の少なくとも1つのスレッド切替え制御ビットである、請求項14ないし1
    7のいずれか一項に記載のスレッド切替えマネージャ。
  19. 【請求項19】 前記第2レジスタが、機能的に前記マルチスレッド式プロセッサに接続された
    スレッド状態レジスタを含み、前記スレッド状態レジスタが、命令の前記複数の
    スレッドのうちの少なくとも1つの状態を記憶する、請求項14ないし18のい
    ずれか一項に記載のスレッド切替えマネージャ。
  20. 【請求項20】 前記優先順位切替え命令が、マルチスレッド式プロセッサの複数のアーキテク
    チャ的レジスタのうちのいずれをも変更しない、請求項13ないし19のいずれ
    か一項に記載のスレッド切替えマネージャ。
  21. 【請求項21】 前記優先順位切替え命令が、不正命令割り込みなしでマルチスレッド式プロセ
    ッサ上で実行できる、請求項13ないし20のいずれか一項に記載のスレッド切
    替えマネージャ。
  22. 【請求項22】 前記優先順位切替え命令が、ノー・オペレーション命令である、請求項13な
    いし21のいずれか一項に記載のスレッド切替えマネージャ。
  23. 【請求項23】 (a)命令の複数のスレッドを処理するための手段と、 (b)前記複数のスレッドのそれぞれの優先順位状態を記憶するための手段と
    、 (c)前記複数のスレッドのそれぞれの前記優先順位状態を変更するための手
    段と、 (d)前記変更手段に応答して、前記処理手段が、前記複数のスレッドの第1
    スレッドから第2スレッドへ処理を切り替えられるようにするための手段と を含む、コンピュータ・プロセッサ。
  24. 【請求項24】 前記優先順位状態を変更するための前記手段が、さらに、割込み要求からの信
    号を含む、請求項23に記載のコンピュータ・プロセッサ。
  25. 【請求項25】 前記優先順位状態を変更するための前記手段が、少なくとも1つのハードウェ
    ア・レジスタ内の優先順位ビットを操作するための少なくとも1つのソフトウェ
    ア命令を含む、請求項23または24に記載のコンピュータ・プロセッサ。
  26. 【請求項26】 命令の少なくとも1つのスレッドを処理することができ、命令の少なくとも2
    つのスレッドの間で切り替えることができる、マルチスレッド式プロセッサ(1
    00)と、 複数の内部メモリ・ユニット(120、130、140、150)と、 内部メモリ・ユニットを、お互いにおよび前記マルチスレッド式プロセッサに
    相互接続するシステム・バスと、 前記マルチスレッド式プロセッサを、メモリ・デバイス(170)、通信装置
    (164)、コンピュータ・ネットワーク(168)および入出力装置(178
    )からなる外部装置のうちの1つまたは複数に接続する、複数の外部接続(15
    5)と、 前記外部接続を前記マルチスレッド式プロセッサに接続するバス・インターフ
    ェース(152)と、 命令の少なくとも1つのスレッドの優先順位を変更するために前記マルチスレ
    ッド式プロセッサに機能的に接続されたスレッド切替えマネージャ(460)と を含むコンピュータ・データ処理システム。
JP2000517342A 1997-10-23 1998-10-14 マルチスレッド式プロセッサでのスレッド優先順位の変更 Expired - Lifetime JP3714598B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US08/958,718 1997-10-23
US08/958,718 US6212544B1 (en) 1997-10-23 1997-10-23 Altering thread priorities in a multithreaded processor
PCT/US1998/021724 WO1999021089A1 (en) 1997-10-23 1998-10-14 Method and apparatus for altering thread priorities in a multithreaded processor

Publications (2)

Publication Number Publication Date
JP2001521219A true JP2001521219A (ja) 2001-11-06
JP3714598B2 JP3714598B2 (ja) 2005-11-09

Family

ID=25501232

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000517342A Expired - Lifetime JP3714598B2 (ja) 1997-10-23 1998-10-14 マルチスレッド式プロセッサでのスレッド優先順位の変更

Country Status (7)

Country Link
US (1) US6212544B1 (ja)
EP (1) EP1027650B1 (ja)
JP (1) JP3714598B2 (ja)
CN (1) CN1117319C (ja)
IL (1) IL135460A0 (ja)
TW (1) TW432327B (ja)
WO (1) WO1999021089A1 (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008522290A (ja) * 2004-11-24 2008-06-26 モービリゲン コーポレーション ハードウェアマルチスレッドシステムおよび方法
JP2010287254A (ja) * 2003-02-18 2010-12-24 Microsoft Corp タスクのスケジューリングを支援する装置
US8108859B2 (en) 2004-04-21 2012-01-31 Fujitsu Limited Processor for controlling tread switching
JP2013145553A (ja) * 2012-01-04 2013-07-25 General Electric Co <Ge> 動力アプリケーション用の制御システム

Families Citing this family (264)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7266725B2 (en) 2001-09-03 2007-09-04 Pact Xpp Technologies Ag Method for debugging reconfigurable architectures
US6385715B1 (en) * 1996-11-13 2002-05-07 Intel Corporation Multi-threading for a processor utilizing a replay queue
DE19654595A1 (de) 1996-12-20 1998-07-02 Pact Inf Tech Gmbh I0- und Speicherbussystem für DFPs sowie Bausteinen mit zwei- oder mehrdimensionaler programmierbaren Zellstrukturen
ATE243390T1 (de) * 1996-12-27 2003-07-15 Pact Inf Tech Gmbh Verfahren zum selbständigen dynamischen umladen von datenflussprozessoren (dfps) sowie bausteinen mit zwei- oder mehrdimensionalen programmierbaren zellstrukturen (fpgas, dpgas, o.dgl.)
US6542998B1 (en) 1997-02-08 2003-04-01 Pact Gmbh Method of self-synchronization of configurable elements of a programmable module
US6658447B2 (en) * 1997-07-08 2003-12-02 Intel Corporation Priority based simultaneous multi-threading
US8686549B2 (en) * 2001-09-03 2014-04-01 Martin Vorbach Reconfigurable elements
JP3027845B2 (ja) * 1997-11-21 2000-04-04 オムロン株式会社 プログラム制御装置および方法
DE19861088A1 (de) 1997-12-22 2000-02-10 Pact Inf Tech Gmbh Verfahren zur Reparatur von integrierten Schaltkreisen
DE19757876C2 (de) * 1997-12-24 2002-06-20 Bosch Gmbh Robert Verfahren zur Ermittlung der Auslastung eines Rechengeräts
US6952827B1 (en) * 1998-11-13 2005-10-04 Cray Inc. User program and operating system interface in a multithreaded environment
US7117342B2 (en) * 1998-12-03 2006-10-03 Sun Microsystems, Inc. Implicitly derived register specifiers in a processor
US6718457B2 (en) * 1998-12-03 2004-04-06 Sun Microsystems, Inc. Multiple-thread processor for threaded software applications
US7114056B2 (en) 1998-12-03 2006-09-26 Sun Microsystems, Inc. Local and global register partitioning in a VLIW processor
US6389449B1 (en) * 1998-12-16 2002-05-14 Clearwater Networks, Inc. Interstream control and communications for multi-streaming digital processors
US7529907B2 (en) 1998-12-16 2009-05-05 Mips Technologies, Inc. Method and apparatus for improved computer load and store operations
US6477562B2 (en) * 1998-12-16 2002-11-05 Clearwater Networks, Inc. Prioritized instruction scheduling for multi-streaming processors
US7257814B1 (en) * 1998-12-16 2007-08-14 Mips Technologies, Inc. Method and apparatus for implementing atomicity of memory operations in dynamic multi-streaming processors
US7237093B1 (en) 1998-12-16 2007-06-26 Mips Technologies, Inc. Instruction fetching system in a multithreaded processor utilizing cache miss predictions to fetch instructions from multiple hardware streams
US7020879B1 (en) * 1998-12-16 2006-03-28 Mips Technologies, Inc. Interrupt and exception handling for multi-streaming digital processors
US7035997B1 (en) 1998-12-16 2006-04-25 Mips Technologies, Inc. Methods and apparatus for improving fetching and dispatch of instructions in multithreaded processors
US7370325B1 (en) * 1999-02-19 2008-05-06 Lucent Technologies Inc. Eager evaluation of tasks in a workflow system
WO2002013000A2 (de) 2000-06-13 2002-02-14 Pact Informationstechnologie Gmbh Pipeline ct-protokolle und -kommunikation
US6874144B1 (en) * 1999-04-05 2005-03-29 International Business Machines Corporation System, method, and program for implementing priority inheritance in an operating system
US6535905B1 (en) * 1999-04-29 2003-03-18 Intel Corporation Method and apparatus for thread switching within a multithreaded processor
US7644439B2 (en) * 1999-05-03 2010-01-05 Cisco Technology, Inc. Timing attacks against user logon and network I/O
DE10081643D2 (de) * 1999-06-10 2002-05-29 Pact Inf Tech Gmbh Sequenz-Partitionierung auf Zellstrukturen
US6542921B1 (en) * 1999-07-08 2003-04-01 Intel Corporation Method and apparatus for controlling the processing priority between multiple threads in a multithreaded processor
US6606704B1 (en) * 1999-08-31 2003-08-12 Intel Corporation Parallel multithreaded processor with plural microengines executing multiple threads each microengine having loadable microcode
US6983350B1 (en) * 1999-08-31 2006-01-03 Intel Corporation SDRAM controller for parallel processor architecture
US6631009B1 (en) * 1999-09-24 2003-10-07 Xerox Corporation Avoiding deadlock situations in a printing system using a locking time-out mechanism
US7518993B1 (en) * 1999-11-19 2009-04-14 The United States Of America As Represented By The Secretary Of The Navy Prioritizing resource utilization in multi-thread computing system
US6357016B1 (en) * 1999-12-09 2002-03-12 Intel Corporation Method and apparatus for disabling a clock signal within a multithreaded processor
US6889319B1 (en) 1999-12-09 2005-05-03 Intel Corporation Method and apparatus for entering and exiting multiple threads within a multithreaded processor
US6496925B1 (en) 1999-12-09 2002-12-17 Intel Corporation Method and apparatus for processing an event occurrence within a multithreaded processor
US6532509B1 (en) 1999-12-22 2003-03-11 Intel Corporation Arbitrating command requests in a parallel multi-threaded processing system
US6694380B1 (en) 1999-12-27 2004-02-17 Intel Corporation Mapping requests from a processing unit that uses memory-mapped input-output space
US7051329B1 (en) 1999-12-28 2006-05-23 Intel Corporation Method and apparatus for managing resources in a multithreaded processor
US6661794B1 (en) 1999-12-29 2003-12-09 Intel Corporation Method and apparatus for gigabit packet assignment for multithreaded packet processing
US6832265B1 (en) * 2000-01-07 2004-12-14 Cisco Technology, Inc. Methods and apparatus for moving data elements within a data communications device
US7099855B1 (en) 2000-01-13 2006-08-29 International Business Machines Corporation System and method for electronic communication management
US8041754B1 (en) * 2000-01-22 2011-10-18 Intel Corporation Establishing thread priority in a processor or the like
US7299194B1 (en) * 2000-02-22 2007-11-20 International Business Machines Corporation Method and system for researching sales effects of advertising using association analysis
US7856633B1 (en) 2000-03-24 2010-12-21 Intel Corporation LRU cache replacement for a partitioned set associative cache
US6810432B1 (en) * 2000-04-03 2004-10-26 Hewlett-Packard Development Company, L.P. Method for guaranteeing a device minimun bandwidth on a usb bus
US6931641B1 (en) 2000-04-04 2005-08-16 International Business Machines Corporation Controller for multiple instruction thread processors
US8290768B1 (en) 2000-06-21 2012-10-16 International Business Machines Corporation System and method for determining a set of attributes based on content of communications
US9699129B1 (en) 2000-06-21 2017-07-04 International Business Machines Corporation System and method for increasing email productivity
US6408277B1 (en) 2000-06-21 2002-06-18 Banter Limited System and method for automatic task prioritization
JP2004518183A (ja) 2000-07-14 2004-06-17 クリアウオーター・ネツトワークス・インコーポレイテツド マルチスレッド・システムにおける命令のフェッチとディスパッチ
US8058899B2 (en) 2000-10-06 2011-11-15 Martin Vorbach Logic cell array and bus system
WO2002046887A2 (en) * 2000-10-23 2002-06-13 Xyron Corporation Concurrent-multitasking processor
US6467033B2 (en) * 2000-12-12 2002-10-15 International Business Machines Corporation Method and apparatus for implementing locking of non-data page operations
US7644057B2 (en) 2001-01-03 2010-01-05 International Business Machines Corporation System and method for electronic communication management
GB2372847B (en) 2001-02-19 2004-12-29 Imagination Tech Ltd Control of priority and instruction rates on a multithreaded processor
US9037807B2 (en) 2001-03-05 2015-05-19 Pact Xpp Technologies Ag Processor arrangement on a chip including data processing, memory, and interface elements
US7444531B2 (en) 2001-03-05 2008-10-28 Pact Xpp Technologies Ag Methods and devices for treating and processing data
US7844796B2 (en) 2001-03-05 2010-11-30 Martin Vorbach Data processing device and method
US20070299993A1 (en) * 2001-03-05 2007-12-27 Pact Xpp Technologies Ag Method and Device for Treating and Processing Data
WO2005045692A2 (en) 2003-08-28 2005-05-19 Pact Xpp Technologies Ag Data processing device and method
US20030014474A1 (en) * 2001-05-30 2003-01-16 Mckaig Ray S. Alternate zero overhead task change circuit
US6651158B2 (en) * 2001-06-22 2003-11-18 Intel Corporation Determination of approaching instruction starvation of threads based on a plurality of conditions
US7454600B2 (en) 2001-06-22 2008-11-18 Intel Corporation Method and apparatus for assigning thread priority in a processor or the like
US7996827B2 (en) 2001-08-16 2011-08-09 Martin Vorbach Method for the translation of programs for reconfigurable architectures
US7434191B2 (en) 2001-09-03 2008-10-07 Pact Xpp Technologies Ag Router
US8686475B2 (en) 2001-09-19 2014-04-01 Pact Xpp Technologies Ag Reconfigurable elements
US20060218556A1 (en) * 2001-09-28 2006-09-28 Nemirovsky Mario D Mechanism for managing resource locking in a multi-threaded environment
EP1483682A2 (de) 2002-01-19 2004-12-08 PACT XPP Technologies AG Reconfigurierbarer prozessor
NZ534314A (en) * 2002-01-30 2005-04-29 Real Entpr Solutions Dev B Method of setting priority levels in a multiprogramming computer system with priority scheduling, multiprogramming computer system and program therefor
AU2003214003A1 (en) * 2002-02-18 2003-09-09 Pact Xpp Technologies Ag Bus systems and method for reconfiguration
WO2004088502A2 (de) * 2003-04-04 2004-10-14 Pact Xpp Technologies Ag Verfahren und vorrichtung für die datenverarbeitung
US8914590B2 (en) 2002-08-07 2014-12-16 Pact Xpp Technologies Ag Data processing method and device
CN100449478C (zh) * 2002-05-31 2009-01-07 德拉华州大学 用于实时多线程处理的方法和装置
US20030225816A1 (en) * 2002-06-03 2003-12-04 Morrow Michael W. Architecture to support multiple concurrent threads of execution on an arm-compatible processor
US8024735B2 (en) * 2002-06-14 2011-09-20 Intel Corporation Method and apparatus for ensuring fairness and forward progress when executing multiple threads of execution
US7471688B2 (en) * 2002-06-18 2008-12-30 Intel Corporation Scheduling system for transmission of cells to ATM virtual circuits and DSL ports
US7657861B2 (en) 2002-08-07 2010-02-02 Pact Xpp Technologies Ag Method and device for processing data
WO2004021176A2 (de) 2002-08-07 2004-03-11 Pact Xpp Technologies Ag Verfahren und vorrichtung zur datenverarbeitung
WO2005010632A2 (en) * 2003-06-17 2005-02-03 Pact Xpp Technologies Ag Data processing device and method
US20040034759A1 (en) * 2002-08-16 2004-02-19 Lexra, Inc. Multi-threaded pipeline with context issue rules
AU2003289844A1 (en) 2002-09-06 2004-05-13 Pact Xpp Technologies Ag Reconfigurable sequencer structure
US7096470B2 (en) * 2002-09-19 2006-08-22 International Business Machines Corporation Method and apparatus for implementing thread replacement for optimal performance in a two-tiered multithreading structure
US7065596B2 (en) * 2002-09-19 2006-06-20 Intel Corporation Method and apparatus to resolve instruction starvation
US6965986B2 (en) * 2002-09-19 2005-11-15 International Business Machines Corporation Method and apparatus for implementing two-tiered thread state multithreading support with high clock rate
US7984268B2 (en) * 2002-10-08 2011-07-19 Netlogic Microsystems, Inc. Advanced processor scheduling in a multithreaded system
US7653906B2 (en) * 2002-10-23 2010-01-26 Intel Corporation Apparatus and method for reducing power consumption on simultaneous multi-threading systems
US7433307B2 (en) * 2002-11-05 2008-10-07 Intel Corporation Flow control in a network environment
US7181741B2 (en) * 2003-01-30 2007-02-20 Hewlett-Packard Development Company, L.P. Apparatus and method to minimize blocking overhead in upcall based MxN threads
US7849465B2 (en) * 2003-02-19 2010-12-07 Intel Corporation Programmable event driven yield mechanism which may activate service threads
US7487502B2 (en) * 2003-02-19 2009-02-03 Intel Corporation Programmable event driven yield mechanism which may activate other threads
US7278141B2 (en) * 2003-04-23 2007-10-02 International Business Machines Corporation System and method for adding priority change value corresponding with a lock to a thread during lock processing
US7000047B2 (en) * 2003-04-23 2006-02-14 International Business Machines Corporation Mechanism for effectively handling livelocks in a simultaneous multithreading processor
US7013400B2 (en) * 2003-04-24 2006-03-14 International Business Machines Corporation Method for managing power in a simultaneous multithread processor by loading instructions into pipeline circuit during select times based on clock signal frequency and selected power mode
US7363625B2 (en) * 2003-04-24 2008-04-22 International Business Machines Corporation Method for changing a thread priority in a simultaneous multithread processor
US7290261B2 (en) * 2003-04-24 2007-10-30 International Business Machines Corporation Method and logical apparatus for rename register reallocation in a simultaneous multi-threaded (SMT) processor
US7401207B2 (en) 2003-04-25 2008-07-15 International Business Machines Corporation Apparatus and method for adjusting instruction thread priority in a multi-thread processor
US7401208B2 (en) * 2003-04-25 2008-07-15 International Business Machines Corporation Method and apparatus for randomizing instruction thread interleaving in a multi-thread processor
US7360062B2 (en) * 2003-04-25 2008-04-15 International Business Machines Corporation Method and apparatus for selecting an instruction thread for processing in a multi-thread processor
US20050187913A1 (en) 2003-05-06 2005-08-25 Yoram Nelken Web-based customer service interface
US8495002B2 (en) * 2003-05-06 2013-07-23 International Business Machines Corporation Software tool for training and testing a knowledge base
JP3892829B2 (ja) * 2003-06-27 2007-03-14 株式会社東芝 情報処理システムおよびメモリ管理方法
US7380247B2 (en) * 2003-07-24 2008-05-27 International Business Machines Corporation System for delaying priority boost in a priority offset amount only after detecting of preemption event during access to critical section
US7441101B1 (en) 2003-12-10 2008-10-21 Cisco Technology, Inc. Thread-aware instruction fetching in a multithreaded embedded processor
US7360064B1 (en) 2003-12-10 2008-04-15 Cisco Technology, Inc. Thread interleaving in a multithreaded embedded processor
US20060212874A1 (en) * 2003-12-12 2006-09-21 Johnson Erik J Inserting instructions
US8694976B2 (en) * 2003-12-19 2014-04-08 Intel Corporation Sleep state mechanism for virtual multithreading
US7206922B1 (en) 2003-12-30 2007-04-17 Cisco Systems, Inc. Instruction memory hierarchy for an embedded processor
US8643659B1 (en) 2003-12-31 2014-02-04 3Dlabs Inc., Ltd. Shader with global and instruction caches
US20050166177A1 (en) * 2004-01-27 2005-07-28 Ylian Saint-Hilaire Thread module chaining
US20050198475A1 (en) * 2004-02-06 2005-09-08 Infineon Technologies, Inc. Thread selection unit and method to fairly allocate processor cycles in a block multithreaded processor
GB0407384D0 (en) * 2004-03-31 2004-05-05 Ignios Ltd Resource management in a multicore processor
US8074051B2 (en) * 2004-04-07 2011-12-06 Aspen Acquisition Corporation Multithreaded processor with multiple concurrent pipelines per thread
JP4313720B2 (ja) * 2004-04-28 2009-08-12 パナソニック株式会社 データ処理プログラムおよびデータ処理装置
US20050246461A1 (en) * 2004-04-29 2005-11-03 International Business Machines Corporation Scheduling threads in a multi-processor computer
US7523330B2 (en) * 2004-06-30 2009-04-21 Sun Microsystems, Inc. Thread-based clock enabling in a multi-threaded processor
US7383403B1 (en) 2004-06-30 2008-06-03 Sun Microsystems, Inc. Concurrent bypass to instruction buffers in a fine grain multithreaded processor
US7861063B1 (en) 2004-06-30 2010-12-28 Oracle America, Inc. Delay slot handling in a processor
US7437538B1 (en) 2004-06-30 2008-10-14 Sun Microsystems, Inc. Apparatus and method for reducing execution latency of floating point operations having special case operands
US7434000B1 (en) 2004-06-30 2008-10-07 Sun Microsystems, Inc. Handling duplicate cache misses in a multithreaded/multi-core processor
US7676655B2 (en) * 2004-06-30 2010-03-09 Sun Microsystems, Inc. Single bit control of threads in a multithreaded multicore processor
US7353364B1 (en) 2004-06-30 2008-04-01 Sun Microsystems, Inc. Apparatus and method for sharing a functional unit execution resource among a plurality of functional units
US7702887B1 (en) 2004-06-30 2010-04-20 Sun Microsystems, Inc. Performance instrumentation in a fine grain multithreaded multicore processor
US7941642B1 (en) 2004-06-30 2011-05-10 Oracle America, Inc. Method for selecting between divide instructions associated with respective threads in a multi-threaded processor
US8095778B1 (en) 2004-06-30 2012-01-10 Open Computing Trust I & II Method and system for sharing functional units of a multithreaded processor
US7373489B1 (en) 2004-06-30 2008-05-13 Sun Microsystems, Inc. Apparatus and method for floating-point exception prediction and recovery
US7343474B1 (en) 2004-06-30 2008-03-11 Sun Microsystems, Inc. Minimal address state in a fine grain multithreaded processor
US8225034B1 (en) 2004-06-30 2012-07-17 Oracle America, Inc. Hybrid instruction buffer
US7401206B2 (en) * 2004-06-30 2008-07-15 Sun Microsystems, Inc. Apparatus and method for fine-grained multithreading in a multipipelined processor core
US7185178B1 (en) 2004-06-30 2007-02-27 Sun Microsystems, Inc. Fetch speculation in a multithreaded processor
US7178005B1 (en) 2004-06-30 2007-02-13 Sun Microsystems, Inc. Efficient implementation of timers in a multithreaded processor
US7216216B1 (en) 2004-06-30 2007-05-08 Sun Microsystems, Inc. Register window management using first pipeline to change current window and second pipeline to read operand from old window and write operand to new window
US7533248B1 (en) 2004-06-30 2009-05-12 Sun Microsystems, Inc. Multithreaded processor including a functional unit shared between multiple requestors and arbitration therefor
US7747771B1 (en) 2004-06-30 2010-06-29 Oracle America, Inc. Register access protocol in a multihreaded multi-core processor
US7774393B1 (en) 2004-06-30 2010-08-10 Oracle America, Inc. Apparatus and method for integer to floating-point format conversion
US7478225B1 (en) 2004-06-30 2009-01-13 Sun Microsystems, Inc. Apparatus and method to support pipelining of differing-latency instructions in a multithreaded processor
US7890734B2 (en) 2004-06-30 2011-02-15 Open Computing Trust I & II Mechanism for selecting instructions for execution in a multithreaded processor
US7426630B1 (en) 2004-06-30 2008-09-16 Sun Microsystems, Inc. Arbitration of window swap operations
US7330988B2 (en) * 2004-06-30 2008-02-12 Sun Microsystems, Inc. Method and apparatus for power throttling in a multi-thread processor
US7370243B1 (en) 2004-06-30 2008-05-06 Sun Microsystems, Inc. Precise error handling in a fine grain multithreaded multicore processor
US7487503B2 (en) 2004-08-12 2009-02-03 International Business Machines Corporation Scheduling threads in a multiprocessor computer
US7546308B1 (en) * 2004-09-17 2009-06-09 Symantec Operating Corporation Model and method of an n-tier quality-of-service (QoS)
US7392366B2 (en) * 2004-09-17 2008-06-24 International Business Machines Corp. Adaptive fetch gating in multithreaded processors, fetch control and method of controlling fetches
US9626194B2 (en) 2004-09-23 2017-04-18 Intel Corporation Thread livelock unit
US7748001B2 (en) * 2004-09-23 2010-06-29 Intel Corporation Multi-thread processing system for detecting and handling live-lock conditions by arbitrating livelock priority of logical processors based on a predertermined amount of time
US8789051B2 (en) * 2004-11-18 2014-07-22 Hamilton Sundstrand Corporation Operating system and architecture for embedded system
US8037250B1 (en) 2004-12-09 2011-10-11 Oracle America, Inc. Arbitrating cache misses in a multithreaded/multi-core processor
US7721292B2 (en) * 2004-12-16 2010-05-18 International Business Machines Corporation System for adjusting resource allocation to a logical partition based on rate of page swaps and utilization by changing a boot configuration file
US7853777B2 (en) * 2005-02-04 2010-12-14 Mips Technologies, Inc. Instruction/skid buffers in a multithreading microprocessor that store dispatched instructions to avoid re-fetching flushed instructions
US7631130B2 (en) * 2005-02-04 2009-12-08 Mips Technologies, Inc Barrel-incrementer-based round-robin apparatus and instruction dispatch scheduler employing same for use in multithreading microprocessor
US7681014B2 (en) 2005-02-04 2010-03-16 Mips Technologies, Inc. Multithreading instruction scheduler employing thread group priorities
US7490230B2 (en) * 2005-02-04 2009-02-10 Mips Technologies, Inc. Fetch director employing barrel-incrementer-based round-robin apparatus for use in multithreading microprocessor
US7613904B2 (en) * 2005-02-04 2009-11-03 Mips Technologies, Inc. Interfacing external thread prioritizing policy enforcing logic with customer modifiable register to processor internal scheduler
US7506140B2 (en) * 2005-02-04 2009-03-17 Mips Technologies, Inc. Return data selector employing barrel-incrementer-based round-robin apparatus
US7657883B2 (en) * 2005-02-04 2010-02-02 Mips Technologies, Inc. Instruction dispatch scheduler employing round-robin apparatus supporting multiple thread priorities for use in multithreading microprocessor
US7657891B2 (en) 2005-02-04 2010-02-02 Mips Technologies, Inc. Multithreading microprocessor with optimized thread scheduler for increasing pipeline utilization efficiency
US7664936B2 (en) * 2005-02-04 2010-02-16 Mips Technologies, Inc. Prioritizing thread selection partly based on stall likelihood providing status information of instruction operand register usage at pipeline stages
US7752627B2 (en) * 2005-02-04 2010-07-06 Mips Technologies, Inc. Leaky-bucket thread scheduler in a multithreading microprocessor
US7921365B2 (en) * 2005-02-15 2011-04-05 Microsoft Corporation System and method for browsing tabbed-heterogeneous windows
CN1828544B (zh) * 2005-03-02 2013-01-02 英特尔公司 利用同步开销以改善多线程性能的机制
US20060206902A1 (en) * 2005-03-14 2006-09-14 Sujat Jamil Variable interleaved multithreaded processor method and system
US7917907B2 (en) * 2005-03-23 2011-03-29 Qualcomm Incorporated Method and system for variable thread allocation and switching in a multithreaded processor
US7765550B2 (en) * 2005-05-26 2010-07-27 Hewlett-Packard Development Company, L.P. System for controlling context switch of deferred requests using counter and flag setting of thread accessing shared resource or entering code region
US7631125B2 (en) * 2005-09-30 2009-12-08 Intel Corporation Dynamically migrating channels
US20070088680A1 (en) * 2005-10-14 2007-04-19 Microsoft Corporation Simultaneously spawning multiple searches across multiple providers
JP2009524134A (ja) * 2006-01-18 2009-06-25 ペーアーツェーテー イクスペーペー テクノロジーズ アクチエンゲゼルシャフト ハードウェア定義方法
US8001549B2 (en) * 2006-04-27 2011-08-16 Panasonic Corporation Multithreaded computer system and multithread execution control method
US7584344B2 (en) * 2006-05-02 2009-09-01 Freescale Semiconductor, Inc. Instruction for conditionally yielding to a ready thread based on priority criteria
WO2007132424A2 (en) * 2006-05-17 2007-11-22 Nxp B.V. Multi-processing system and a method of executing a plurality of data processing tasks
US20080022283A1 (en) * 2006-07-19 2008-01-24 International Business Machines Corporation Quality of service scheduling for simultaneous multi-threaded processors
US8495649B2 (en) * 2006-07-19 2013-07-23 International Business Machines Corporation Scheduling threads having complementary functional unit usage on SMT processors
US20100211955A1 (en) * 2006-09-07 2010-08-19 Cwi Controlling 32/64-bit parallel thread execution within a microsoft operating system utility program
WO2008031054A2 (en) 2006-09-07 2008-03-13 Black Lab Security Systems, Inc. Creating and using a specific user unique id for security login authentication
US7961745B2 (en) * 2006-09-16 2011-06-14 Mips Technologies, Inc. Bifurcated transaction selector supporting dynamic priorities in multi-port switch
US7990989B2 (en) * 2006-09-16 2011-08-02 Mips Technologies, Inc. Transaction selector employing transaction queue group priorities in multi-port switch
US7760748B2 (en) * 2006-09-16 2010-07-20 Mips Technologies, Inc. Transaction selector employing barrel-incrementer-based round-robin apparatus supporting dynamic priorities in multi-port switch
US7773621B2 (en) * 2006-09-16 2010-08-10 Mips Technologies, Inc. Transaction selector employing round-robin apparatus supporting dynamic priorities in multi-port switch
US8479201B2 (en) * 2006-09-18 2013-07-02 Innovasic, Inc. Processor with hardware solution for priority inversion
JP2008123045A (ja) * 2006-11-08 2008-05-29 Matsushita Electric Ind Co Ltd プロセッサ
US8533530B2 (en) * 2006-11-15 2013-09-10 Qualcomm Incorporated Method and system for trusted/untrusted digital signal processor debugging operations
US8380966B2 (en) * 2006-11-15 2013-02-19 Qualcomm Incorporated Method and system for instruction stuffing operations during non-intrusive digital signal processor debugging
US8341604B2 (en) * 2006-11-15 2012-12-25 Qualcomm Incorporated Embedded trace macrocell for enhanced digital signal processor debugging operations
US8370806B2 (en) 2006-11-15 2013-02-05 Qualcomm Incorporated Non-intrusive, thread-selective, debugging method and system for a multi-thread digital signal processor
US7779235B2 (en) * 2007-02-06 2010-08-17 International Business Machines Corporation Using performance data for instruction thread direction
US7971035B2 (en) * 2007-02-06 2011-06-28 International Business Machines Corporation Using temperature data for instruction thread direction
US7895454B2 (en) 2007-02-06 2011-02-22 International Business Machines Corporation Instruction dependent dynamic voltage compensation
US8022685B2 (en) * 2007-02-06 2011-09-20 International Business Machines Corporation Temperature dependent voltage source compensation
US7714635B2 (en) * 2007-02-06 2010-05-11 International Business Machines Corporation Digital adaptive voltage supply
US7865750B2 (en) * 2007-02-06 2011-01-04 International Business Machines Corporation Fan speed control from adaptive voltage supply
US7560945B2 (en) * 2007-02-06 2009-07-14 International Business Machines Corporation Integrated circuit failure prediction
US8615767B2 (en) * 2007-02-06 2013-12-24 International Business Machines Corporation Using IR drop data for instruction thread direction
US7936153B2 (en) * 2007-02-06 2011-05-03 International Business Machines Corporation On-chip adaptive voltage compensation
WO2008109870A1 (en) * 2007-03-07 2008-09-12 Spinealign Medical, Inc. Transdiscal interbody fusion device and method
GB2448118B (en) * 2007-04-03 2011-08-24 Advanced Risc Mach Ltd Error recovery following erroneous execution with an instruction processing pipeline
US8484516B2 (en) * 2007-04-11 2013-07-09 Qualcomm Incorporated Inter-thread trace alignment method and system for a multi-threaded processor
US7926035B2 (en) * 2007-04-24 2011-04-12 Microsoft Corporation Testing multi-thread software using prioritized context switch limits
US8832702B2 (en) 2007-05-10 2014-09-09 Freescale Semiconductor, Inc. Thread de-emphasis instruction for multithreaded processor
JP5104863B2 (ja) * 2007-06-20 2012-12-19 富士通株式会社 演算処理装置及び演算処理装置の制御方法
EP2159692A4 (en) * 2007-06-20 2010-09-15 Fujitsu Ltd Information processor and load cancellation control method
GB2451845B (en) * 2007-08-14 2010-03-17 Imagination Tech Ltd Compound instructions in a multi-threaded processor
US9710384B2 (en) 2008-01-04 2017-07-18 Micron Technology, Inc. Microprocessor architecture having alternative memory access paths
US8185572B2 (en) * 2007-08-24 2012-05-22 International Business Machines Corporation Data correction circuit
US8005880B2 (en) * 2007-08-24 2011-08-23 International Business Machines Corporation Half width counting leading zero circuit
US7797131B2 (en) 2007-08-24 2010-09-14 International Business Machines Corporation On-chip frequency response measurement
US8972958B1 (en) 2012-10-23 2015-03-03 Convey Computer Multistage development workflow for generating a custom instruction set reconfigurable processor
JP2009080690A (ja) * 2007-09-26 2009-04-16 Nec Corp 情報記録再生システム,情報記録再生方法及びプログラム
EP2220554A1 (de) * 2007-11-17 2010-08-25 Krass, Maren Rekonfiguri erbare fliesskomma- und bit- ebenen datenverarbeitungseinheit
US8151008B2 (en) 2008-07-02 2012-04-03 Cradle Ip, Llc Method and system for performing DMA in a multi-core system-on-chip using deadline-based scheduling
US8024719B2 (en) 2008-11-03 2011-09-20 Advanced Micro Devices, Inc. Bounded hash table sorting in a dynamic program profiling system
US20100115494A1 (en) * 2008-11-03 2010-05-06 Gorton Jr Richard C System for dynamic program profiling
US8478948B2 (en) * 2008-12-04 2013-07-02 Oracle America, Inc. Method and system for efficient tracing and profiling of memory accesses during program execution
US8640133B2 (en) 2008-12-19 2014-01-28 International Business Machines Corporation Equal duration and equal fetch operations sub-context switch interval based fetch operation scheduling utilizing fetch error rate based logic for switching between plurality of sorting algorithms
CN101661386B (zh) * 2009-09-24 2013-03-20 成都市华为赛门铁克科技有限公司 多硬件线程处理器的业务处理装置及其业务处理方法
WO2011051011A1 (en) * 2009-10-29 2011-05-05 International Business Machines Corporation A method, system and program to manage scheduling of processes
US8930907B2 (en) * 2009-12-01 2015-01-06 Microsoft Corporation Concurrency software testing with probabilistic bounds on finding bugs
US8700943B2 (en) * 2009-12-22 2014-04-15 Intel Corporation Controlling time stamp counter (TSC) offsets for mulitple cores and threads
JP5397544B2 (ja) * 2010-06-25 2014-01-22 富士通株式会社 マルチコアシステム、マルチコアシステムのスケジューリング方法およびマルチコアシステムのスケジューリングプログラム
US20120005682A1 (en) * 2010-06-30 2012-01-05 International Business Machines Corporation Holistic task scheduling for distributed computing
US8789065B2 (en) 2012-06-08 2014-07-22 Throughputer, Inc. System and method for input data load adaptive parallel processing
US9448847B2 (en) 2011-07-15 2016-09-20 Throughputer, Inc. Concurrent program execution optimization
US9465670B2 (en) 2011-12-16 2016-10-11 Intel Corporation Generational thread scheduler using reservations for fair scheduling
US10430190B2 (en) * 2012-06-07 2019-10-01 Micron Technology, Inc. Systems and methods for selectively controlling multithreaded execution of executable code segments
US10275251B2 (en) 2012-10-31 2019-04-30 International Business Machines Corporation Processor for avoiding reduced performance using instruction metadata to determine not to maintain a mapping of a logical register to a physical register in a first level register file
US9286068B2 (en) 2012-10-31 2016-03-15 International Business Machines Corporation Efficient usage of a multi-level register file utilizing a register file bypass
US8972803B2 (en) * 2012-11-14 2015-03-03 Alcatel Lucent Run-time default detection in a component associated with an application programming interface platform
US9569260B2 (en) 2013-05-31 2017-02-14 Microsoft Technology Licensing, Llc Efficient priority-aware thread scheduling
US9652284B2 (en) * 2013-10-01 2017-05-16 Qualcomm Incorporated GPU divergence barrier
US9665372B2 (en) 2014-05-12 2017-05-30 International Business Machines Corporation Parallel slice processor with dynamic instruction stream mapping
US9672043B2 (en) 2014-05-12 2017-06-06 International Business Machines Corporation Processing of multiple instruction streams in a parallel slice processor
US9760375B2 (en) 2014-09-09 2017-09-12 International Business Machines Corporation Register files for storing data operated on by instructions of multiple widths
US9720696B2 (en) 2014-09-30 2017-08-01 International Business Machines Corporation Independent mapping of threads
US9396018B2 (en) * 2014-10-10 2016-07-19 Salesforce.Com, Inc. Low latency architecture with directory service for integration of transactional data system with analytical data structures
US9600548B2 (en) 2014-10-10 2017-03-21 Salesforce.Com Row level security integration of analytical data store with cloud architecture
US10101889B2 (en) 2014-10-10 2018-10-16 Salesforce.Com, Inc. Dashboard builder with live data updating without exiting an edit mode
US9449188B2 (en) 2014-10-10 2016-09-20 Salesforce.Com, Inc. Integration user for analytical access to read only data stores generated from transactional systems
US10049141B2 (en) 2014-10-10 2018-08-14 salesforce.com,inc. Declarative specification of visualization queries, display formats and bindings
US9977678B2 (en) 2015-01-12 2018-05-22 International Business Machines Corporation Reconfigurable parallel execution and load-store slice processor
US10133581B2 (en) 2015-01-13 2018-11-20 International Business Machines Corporation Linkable issue queue parallel execution slice for a processor
US10133576B2 (en) 2015-01-13 2018-11-20 International Business Machines Corporation Parallel slice processor having a recirculating load-store queue for fast deallocation of issue queue entries
WO2016122707A1 (en) * 2015-01-30 2016-08-04 Hewlett-Packard Development Company, L.P. Multi-threaded fluid parameter signal processing
US10248463B2 (en) * 2015-02-13 2019-04-02 Honeywell International Inc. Apparatus and method for managing a plurality of threads in an operating system
US10115213B2 (en) 2015-09-15 2018-10-30 Salesforce, Inc. Recursive cell-based hierarchy for data visualizations
US10089368B2 (en) 2015-09-18 2018-10-02 Salesforce, Inc. Systems and methods for making visual data representations actionable
US9983875B2 (en) 2016-03-04 2018-05-29 International Business Machines Corporation Operation of a multi-slice processor preventing early dependent instruction wakeup
US10037211B2 (en) 2016-03-22 2018-07-31 International Business Machines Corporation Operation of a multi-slice processor with an expanded merge fetching queue
US10346174B2 (en) 2016-03-24 2019-07-09 International Business Machines Corporation Operation of a multi-slice processor with dynamic canceling of partial loads
US10706101B2 (en) 2016-04-14 2020-07-07 Advanced Micro Devices, Inc. Bucketized hash tables with remap entries
US10761854B2 (en) 2016-04-19 2020-09-01 International Business Machines Corporation Preventing hazard flushes in an instruction sequencing unit of a multi-slice processor
US10037229B2 (en) 2016-05-11 2018-07-31 International Business Machines Corporation Operation of a multi-slice processor implementing a load/store unit maintaining rejected instructions
US9934033B2 (en) 2016-06-13 2018-04-03 International Business Machines Corporation Operation of a multi-slice processor implementing simultaneous two-target loads and stores
US10042647B2 (en) 2016-06-27 2018-08-07 International Business Machines Corporation Managing a divided load reorder queue
US10318419B2 (en) 2016-08-08 2019-06-11 International Business Machines Corporation Flush avoidance in a load store unit
US10248457B2 (en) 2016-08-10 2019-04-02 International Business Machines Corporation Providing exclusive use of cache associated with a processing entity of a processor complex to a selected task
US10275280B2 (en) 2016-08-10 2019-04-30 International Business Machines Corporation Reserving a core of a processor complex for a critical task
US10311047B2 (en) 2016-10-19 2019-06-04 Salesforce.Com, Inc. Streamlined creation and updating of OLAP analytic databases
US10223164B2 (en) 2016-10-24 2019-03-05 International Business Machines Corporation Execution of critical tasks based on the number of available processing entities
US10248464B2 (en) 2016-10-24 2019-04-02 International Business Machines Corporation Providing additional memory and cache for the execution of critical tasks by folding processing units of a processor complex
CN107391248B (zh) * 2017-07-28 2019-10-25 四川华泰电气股份有限公司 用于stm32系统的多级反馈队列调度方法
CN109726006B (zh) * 2017-10-27 2023-06-06 伊姆西Ip控股有限责任公司 用于控制存储系统的方法、装置和计算机存储介质
KR102327878B1 (ko) * 2017-11-07 2021-11-17 삼성전자주식회사 반도체 장치 및 반도체 시스템
US11068274B2 (en) * 2017-12-15 2021-07-20 International Business Machines Corporation Prioritized instructions in an instruction completion table of a simultaneous multithreading processor
CN109298942B (zh) * 2018-10-09 2022-07-12 Oppo广东移动通信有限公司 图片处理方法及电子装置
US11144318B2 (en) * 2019-08-26 2021-10-12 Arm Limited Method and apparatus for application thread prioritization
CN112579278B (zh) * 2020-12-24 2023-01-20 海光信息技术股份有限公司 用于同步多线程的中央处理单元、方法、设备及存储介质
CN114227716B (zh) * 2021-12-30 2024-06-04 重庆特斯联智慧科技股份有限公司 支持控制逻辑云调用的机器人系统及其方法

Family Cites Families (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3373408A (en) 1965-04-16 1968-03-12 Rca Corp Computer capable of switching between programs without storage and retrieval of the contents of operation registers
US3566357A (en) 1966-07-05 1971-02-23 Rca Corp Multi-processor multi-programed computer system
DE1549531A1 (de) 1966-08-16 1971-04-01 Scient Data Systems Inc Digitale Rechenanlage
US3568173A (en) 1968-08-19 1971-03-02 Scient Data Systems Inc Memory stroage element drive circuit
US3728692A (en) 1971-08-31 1973-04-17 Ibm Instruction selection in a two-program counter instruction unit
US3771138A (en) 1971-08-31 1973-11-06 Ibm Apparatus and method for serializing instructions from two independent instruction streams
US3916383A (en) 1973-02-20 1975-10-28 Memorex Corp Multi-processor data processing system
FR2253428A5 (ja) 1973-11-30 1975-06-27 Honeywell Bull Soc Ind
IT1000638B (it) 1973-12-28 1976-04-10 Olivetti & Co Spa Calcolatore elettronico con dispo sitivo di deviazione dei micropro grammi
US3980992A (en) 1974-11-26 1976-09-14 Burroughs Corporation Multi-microprocessing unit on a single semiconductor chip
US4047161A (en) 1976-04-30 1977-09-06 International Business Machines Corporation Task management apparatus
US4229790A (en) 1978-10-16 1980-10-21 Denelcor, Inc. Concurrent task and instruction processor and method
US4320453A (en) 1978-11-02 1982-03-16 Digital House, Ltd. Dual sequencer microprocessor
FR2471631B1 (fr) 1979-12-11 1986-02-21 Cii Honeywell Bull Dispositif de synchronisation et d'affectation de processus entre plusieurs processeurs dans un systeme de traitement de l'information
US4384324A (en) 1980-05-06 1983-05-17 Burroughs Corporation Microprogrammed digital data processing system employing tasking at a microinstruction level
US4493020A (en) 1980-05-06 1985-01-08 Burroughs Corporation Microprogrammed digital data processor employing microinstruction tasking and dynamic register allocation
US4532587A (en) 1981-08-26 1985-07-30 Texas Instruments Incorporated Single chip processor connected to an external memory chip
US4680698A (en) 1982-11-26 1987-07-14 Inmos Limited High density ROM in separate isolation well on single with chip
GB8309770D0 (en) 1983-04-11 1983-05-18 Inmos Ltd Microcomputer
US5103394A (en) 1984-04-30 1992-04-07 Hewlett-Packard Company Software performance analyzer
US4829425A (en) 1986-10-21 1989-05-09 Intel Corporation Memory-based interagent communication mechanism
JPS63123218A (ja) 1986-11-12 1988-05-27 Nec Corp タイマ/カウンタ回路
US4853849A (en) 1986-12-17 1989-08-01 Intel Corporation Multi-tasking register set mapping system which changes a register set pointer block bit during access instruction
US5159686A (en) 1988-02-29 1992-10-27 Convex Computer Corporation Multi-processor computer system having process-independent communication register addressing
US5050070A (en) 1988-02-29 1991-09-17 Convex Computer Corporation Multi-processor computer system having self-allocating processors
US5148536A (en) 1988-07-25 1992-09-15 Digital Equipment Corporation Pipeline having an integral cache which processes cache misses and loads data in parallel
WO1990014629A2 (en) 1989-05-26 1990-11-29 Massachusetts Institute Of Technology Parallel multithreaded data processing system
US5353418A (en) 1989-05-26 1994-10-04 Massachusetts Institute Of Technology System storing thread descriptor identifying one of plural threads of computation in storage only when all data for operating on thread is ready and independently of resultant imperative processing of thread
GB2234613B (en) 1989-08-03 1993-07-07 Sun Microsystems Inc Method and apparatus for switching context of state elements in a microprocessor
US5079725A (en) 1989-11-17 1992-01-07 Ibm Corporation Chip identification method for use with scan design systems and scan testing techniques
US5471593A (en) 1989-12-11 1995-11-28 Branigin; Michael H. Computer processor with an efficient means of executing many instructions simultaneously
US5197138A (en) 1989-12-26 1993-03-23 Digital Equipment Corporation Reporting delayed coprocessor exceptions to code threads having caused the exceptions by saving and restoring exception state during code thread switching
US5179702A (en) 1989-12-29 1993-01-12 Supercomputer Systems Limited Partnership System and method for controlling a highly parallel multiprocessor using an anarchy based scheduler for parallel execution thread scheduling
US5339415A (en) 1990-06-11 1994-08-16 Cray Research, Inc. Dual level scheduling of processes to multiple parallel regions of a multi-threaded program on a tightly coupled multiprocessor computer system
EP0474297B1 (en) 1990-09-05 1998-06-10 Koninklijke Philips Electronics N.V. Very long instruction word machine for efficient execution of programs with conditional branches
EP0479390B1 (en) 1990-10-05 1999-01-07 Koninklijke Philips Electronics N.V. Processing device including a memory circuit and a group of functional units
JP2743608B2 (ja) 1991-03-27 1998-04-22 日本電気株式会社 共有レジスタ制御方式
US5430850A (en) 1991-07-22 1995-07-04 Massachusetts Institute Of Technology Data processing system with synchronization coprocessor for multiple threads
US5530866A (en) 1991-07-30 1996-06-25 Tera Computer Company Register allocation methods having upward pass for determining and propagating variable usage information and downward pass for binding; both passes utilizing interference graphs via coloring
US5630128A (en) * 1991-08-09 1997-05-13 International Business Machines Corporation Controlled scheduling of program threads in a multitasking operating system
US5247675A (en) 1991-08-09 1993-09-21 International Business Machines Corporation Preemptive and non-preemptive scheduling and execution of program threads in a multitasking operating system
US5524250A (en) 1991-08-23 1996-06-04 Silicon Graphics, Inc. Central processing unit for processing a plurality of threads using dedicated general purpose registers and masque register for providing access to the registers
US5357617A (en) 1991-11-22 1994-10-18 International Business Machines Corporation Method and apparatus for substantially concurrent multiple instruction thread processing by a single pipeline processor
US5404469A (en) 1992-02-25 1995-04-04 Industrial Technology Research Institute Multi-threaded microprocessor architecture utilizing static interleaving
US5287508A (en) 1992-04-07 1994-02-15 Sun Microsystems, Inc. Method and apparatus for efficient scheduling in a multiprocessor system
US5553305A (en) 1992-04-14 1996-09-03 International Business Machines Corporation System for synchronizing execution by a processing element of threads within a process using a state indicator
JP3309425B2 (ja) 1992-05-22 2002-07-29 松下電器産業株式会社 キャッシュ制御装置
US5515538A (en) 1992-05-29 1996-05-07 Sun Microsystems, Inc. Apparatus and method for interrupt handling in a multi-threaded operating system kernel
US5423008A (en) 1992-08-03 1995-06-06 Silicon Graphics, Inc. Apparatus and method for detecting the activities of a plurality of processors on a shared bus
DE69325785T2 (de) 1992-12-29 2000-02-17 Koninkl Philips Electronics Nv Verbesserte Architektur für Prozessor mit sehr langem Befehlswort
DE69429204T2 (de) 1993-03-26 2002-07-25 Cabletron Systems Inc Ablaufssteuerungsverfahren und -gerät für ein Kommunikationsnetzwerk
WO1994027216A1 (en) 1993-05-14 1994-11-24 Massachusetts Institute Of Technology Multiprocessor coupling system with integrated compile and run time scheduling for parallelism
US5490272A (en) 1994-01-28 1996-02-06 International Business Machines Corporation Method and apparatus for creating multithreaded time slices in a multitasking operating system
US5613114A (en) 1994-04-15 1997-03-18 Apple Computer, Inc System and method for custom context switching
JPH096633A (ja) 1995-06-07 1997-01-10 Internatl Business Mach Corp <Ibm> データ処理システムに於ける高性能多重論理経路の動作用の方法とシステム
US5630136A (en) 1995-06-09 1997-05-13 Sun Microsystems, Inc. Method and apparatus for serializing access to multithreading unsafe resources
US5826081A (en) * 1996-05-06 1998-10-20 Sun Microsystems, Inc. Real time thread dispatcher for multiprocessor applications

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010287254A (ja) * 2003-02-18 2010-12-24 Microsoft Corp タスクのスケジューリングを支援する装置
US8671411B2 (en) 2003-02-18 2014-03-11 Microsoft Corporation Multithreaded kernel for graphics processing unit
US9298498B2 (en) 2003-02-18 2016-03-29 Microsoft Technology Licensing, Llc Building a run list for a coprocessor based on rules when the coprocessor switches from one context to another context
US8108859B2 (en) 2004-04-21 2012-01-31 Fujitsu Limited Processor for controlling tread switching
JP2008522290A (ja) * 2004-11-24 2008-06-26 モービリゲン コーポレーション ハードウェアマルチスレッドシステムおよび方法
JP2013145553A (ja) * 2012-01-04 2013-07-25 General Electric Co <Ge> 動力アプリケーション用の制御システム

Also Published As

Publication number Publication date
EP1027650A1 (en) 2000-08-16
US6212544B1 (en) 2001-04-03
CN1276890A (zh) 2000-12-13
WO1999021089A1 (en) 1999-04-29
EP1027650B1 (en) 2012-06-20
IL135460A0 (en) 2001-05-20
CN1117319C (zh) 2003-08-06
JP3714598B2 (ja) 2005-11-09
TW432327B (en) 2001-05-01

Similar Documents

Publication Publication Date Title
JP3714598B2 (ja) マルチスレッド式プロセッサでのスレッド優先順位の変更
JP4006180B2 (ja) マルチスレッド式プロセッサでスレッド切替えイベントを選択するための方法および装置
JP3595504B2 (ja) マルチスレッド式プロセッサにおけるコンピュータ処理方法
US6105051A (en) Apparatus and method to guarantee forward progress in execution of threads in a multithreaded processor
US6076157A (en) Method and apparatus to force a thread switch in a multithreaded processor
US6018759A (en) Thread switch tuning tool for optimal performance in a computer processor
US6061710A (en) Multithreaded processor incorporating a thread latch register for interrupt service new pending threads
US7873816B2 (en) Pre-loading context states by inactive hardware thread in advance of context switch
US6256775B1 (en) Facilities for detailed software performance analysis in a multithreaded processor
US7676808B2 (en) System and method for CPI load balancing in SMT processors
US8996761B2 (en) Virtual queue processing circuit and task processor
US9753779B2 (en) Task processing device implementing task switching using multiple state registers storing processor id and task state
US8635621B2 (en) Method and apparatus to implement software to hardware thread priority
KR100745904B1 (ko) 동시적 멀티스레드 프로세서에서 파이프라인 길이를변경하기 위한 방법 및 회로
CZ20001437A3 (cs) Způsob a zařízení pro výběr událostí přepínání vláken ve vícevláknovém procesoru

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20040302

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20040527

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20040603

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20040901

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040901

RD12 Notification of acceptance of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7432

Effective date: 20040901

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20040906

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20050225

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20050523

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050523

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20050808

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20050808

RD14 Notification of resignation of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7434

Effective date: 20050808

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20050819

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080902

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090902

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090902

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100902

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100902

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110902

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120902

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120902

Year of fee payment: 7

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120902

Year of fee payment: 7

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

S202 Request for registration of non-exclusive licence

Free format text: JAPANESE INTERMEDIATE CODE: R315201

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120902

Year of fee payment: 7

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130902

Year of fee payment: 8

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: R3D02

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term