JP2001518567A - マイクロエレクトロニック部品の製造中プロセッシング液への加工物表面の暴露を制御するための装置及び方法 - Google Patents

マイクロエレクトロニック部品の製造中プロセッシング液への加工物表面の暴露を制御するための装置及び方法

Info

Publication number
JP2001518567A
JP2001518567A JP2000514312A JP2000514312A JP2001518567A JP 2001518567 A JP2001518567 A JP 2001518567A JP 2000514312 A JP2000514312 A JP 2000514312A JP 2000514312 A JP2000514312 A JP 2000514312A JP 2001518567 A JP2001518567 A JP 2001518567A
Authority
JP
Japan
Prior art keywords
workpiece
process fluid
bath
electroplating
providing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2000514312A
Other languages
English (en)
Inventor
バツ,ロバート・ダブリユー,ジユニア
ブラツクバーン,リード・エイ
ケリー,ステイーブン・イー
ドウーリトル,ジエイムズ・ダブリユー
Original Assignee
セミトウール・インコーポレーテツド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US08/940,523 external-priority patent/US6015462A/en
Priority claimed from US08/940,517 external-priority patent/US6090711A/en
Application filed by セミトウール・インコーポレーテツド filed Critical セミトウール・インコーポレーテツド
Publication of JP2001518567A publication Critical patent/JP2001518567A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/6715Apparatus for applying a liquid, a resin, an ink or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/001Apparatus specially adapted for electrolytic coating of wafers, e.g. semiconductors or solar cells
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D21/00Processes for servicing or operating cells for electrolytic coating
    • C25D21/12Process control or regulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Electrochemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Sustainable Development (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Automation & Control Theory (AREA)
  • Electroplating Methods And Accessories (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

(57)【要約】 加工物(W)をプロセッシングしてマイクロエレクトロニック部品を製造するのに使用するための装置(10)が記載される。本装置は、加工物をプロセッシングするためのプロセス流体(38)をその中に有するプロセス容器(14)、及び加工物を保持するように構成された加工物ホルダ(16)を具備する。加工物の表面(S1)とプロセス流体の表面(39)との間の間隔を指示する位置情報を与えるのに位置センサが使用される。駆動システムは、位置情報に応答して加工物の表面とプロセス流体の表面との間の相対運動を与える。好ましくは、駆動システムにより与えられた相対運動は加工物の表面をプロセス流体の表面と接触させる第1運動及び、第1運動に続きそして第1運動と反対方向の第2運動であって、プロセス流体の表面と加工物の表面との間にプロセス流体のカラムを発生させそして維持する第2運動を含んで成る。1つの態様では、装置は加工物の表面に物質を電気めっきするように構成される。

Description

【発明の詳細な説明】
【0001】
【発明の背景】
半導体ウエーハ基板(semiconductor wafer subst
rate)、ポリマー基材(polymer substrate)等のような
加工物(workpiece)からのマイクロエレクトロニック部品(micr
oelectronic components)の製造は相当な数の方法を含
む。一般的気に言えば、マイクロエレクトロニック部品を製造するために加工物
に対して行われるプロセッシング操作(processing operati
ons)の4つの部門がある。このような操作は物質の付着(depositi
on)、パターン化(patterning)、ドーピング(doping)及
び熱処理を含む。
【0002】 物質付着プロセッシング(material deposition pro
cessing)は、加工物(以後半導体ウエーハとして本明細書では示される
がこれに限定はされない)の表面へのエレクトロニック物質の薄い層を付着させ
ることを含む。パターン化はこれらの加えられた層の選ばれた部分の除去を与え
る。半導体ウエーハのドーピングはウエーハの選ばれた部分に「ドーパント」と
して知られた不純物を加えて基板材料の電気的特性を変えるプロセスである。半
導体ウエーハの熱処理は、ウエーハを加熱及び/又は冷却して特定のプロセス結
果を達成することを含む。
【0003】 プロセッシング「ツール」として知られた多数のプロセッシング装置が前記の
プロセッシング操作を具現するために開発された。これらのツールは製造プロセ
ス及びツールにより実行されるプロセス(1つ及び複数の)において使用される
加工物のタイプに依存して異なる構成(configuration)をとる。
エキノックス(Equinox)(R)湿式プロセッシングツールとして知られ
そしてモンタナ州、カリスペルのセミツール・インコーポレーテッド(Semi
tool,Inc.,)から入手可能な1つのツール構成は、半導体加工物ホル
ダ及びプロセスボウル又はプロセス容器を利用して湿式プロセッシング操作を実
行するための1つ以上の半導体加工物プロセッシングステーションを含む。この
ような湿式プロセッシング操作は電気めっき、エッチング等を含む。
【0004】 前記エキノックス(R)ツールの1つの構成に従えば、加工物ホルダ及びプロ
セスボウルは互いに近接して配置されていて、加工物ホルダにより保持された半
導体ウエーハをプロセスボウル内に配置されたプロセッシング流体と接触させる
ように機能する。しかしながら、プロセッシング流体を半導体ウエーハの適当な
部分に制限することはしばしば問題となる。
【0005】 慣用の半導体加工物プロセッサは種々の技術を利用して、接触させられるべき
ではない半導体ウエーハの残りの部分を同時に遮蔽しながら、プロセッシング流
体へのこれらの適当な部分の完全な露出を容易にした。例えば、このような慣用
のシステムは、プロセス流体がテープの下のウエーハの部分に接触するのを防止
するように半導体ウエーハの後ろ側にテープを施すことを必要とすることがある
。他の構成は、プロセス流体が半導体ウエーハの後ろ側に接触及び保持するため
の吸引カップ装置を使用し、それによりプロセス流体が後ろ側に接触するのを防
止する。
【0006】 このような慣用の技術はプロセス流体が半導体ウエーハの後ろ表面と接触する
のを防止する目的をしばしば十分に達成するけれども、このような技術はそれら
自身の一群の問題を提供する。例えば、テープを施すのに追加のプロセッシング
工程が必要である。更に、プロセス流体が加工物の後ろ側と接触するのを防止す
るのに物理的カバーが使用されるとき、追加の部品が必要である。更に、半導体
加工物は脆くそしてウエーハ表面を覆っている期間中ウエーハに損傷を与えない
ように注意しなければならない。慣用の技術において固有なウエーハ取り扱いの
増加は、ウエーハ損傷の危険を増加させる。
【0007】 故に、本発明者は、プロセッシング流体と半導体加工物の適当な部分との接触
を制御するために現在使用されている技術に改良を加えることが必要であること
を認識した。
【0008】
【発明の要点】
マイクロエレクトロニック部品を製造するために加工物をプロセッシングする
のに使用する装置が記載される。本装置は、加工物をプロセッシングするための
プロセス流体をその中に有するプロセス容器、及び加工物を保持するように構成
された加工物ホルダを具備する。加工物の表面とプロセス流体の表面との間の間
隔(spacing)空間を指示する位置情報を与えるのに位置センサが使用さ
れる。駆動システムは、位置情報に応答して加工物の表面とプロセス流体の表面
との相対運動を与える。好ましくは、駆動システムにより与えられた相対運動は
加工物の表面をプロセス流体の表面と接触させる第1運動及び、第1運動に続き
そして第1運動と反対方向の第2運動であって、プロセス流体の表面と加工物の
表面との間にプロセス流体のカラム(column)を発生させそして維持する
第2運動を含んで成る。この方法においては、駆動システムは、加工物の他の表
面を除いて加工物の表面をプロセス流体の表面と接触させ、それにより加工物の
プロセッシングを所望の表面にのみ限定する。1つの態様に従えば、装置は加工
物の表面に物質を電気めっきするように構成される。
【0009】
【好ましい態様の詳細な説明】
図1は半導体加工物プロセッサ10の1つの態様を示す。この態様では、プロ
セッサ10は半導体プロセッシングヘッド12及びプロセス容器又はボウル14
を含む。プロセッシングヘッド12は半導体ウエーハの如き半導体加工物Wを支
持するようになっている1個以上の部品を含む。半導体ウエーハWは第1又は下
部表面S1と第2又は上部表面S2を有する。
【0010】 例示された態様では、プロセッシングヘッド12は加工物ホルダ16を含む。
例示された態様の加工物ホルダ16はその下部表面20とカップリングさせられ
た(coupled)フィンガ又は支持体18を含む。ホルダ16のフィンガ1
8は、半導体加工物Wをヘッド12の下部表面20に隣接して支持するように構
成されている(configured)。開示された態様では、加工物ホルダ1
6は、半導体加工物Wの下部表面S1がプロセッシング流体又はプロセスカップ 中に配置された浴との接触のために与えられるように半導体加工物Wを支持する
ように構成されている。プロセスヘッド12はホルダ16及びそれにより保持さ
れた半導体加工物Wを回転させる(rotate or spin)ように構成
されているロータモータ等を包含することができる。加工物Wのこのような回転
は、加工物がプロセッシング流体と接触しているとき又は加工物Wがこのような
接触から除去されるとき加工物のプロセッシング期間中起こり得る。
【0011】 加工物ホルダ16はプロセスボウル14に対して鉛直方向運動するような構成
である。更に詳しくは、鉛直駆動モータ22がプロセスヘッド12の鉛直方向運
動を行うために設けられており、加工物ホルダ16はプロセスヘッド12と固定
された鉛直関係(fixed vertical relationship)
にあり、そしてプロセスヘッド12の同時の運動をもたらす。図1に示された構
成では、鉛直駆動モータ22は鉛直方位のシャフト24とカップリングさせられ
ており、鉛直方位シャフト24は水平支持部材26とカップリングさせられてい
る。支持部材26はその第1端部で鉛直シャフト24と接合されている。支持部
材26の第2端部はプロセスヘッド12に係合しそしてプロセスヘッド12を支
持する。
【0012】 上向き又は下向き方向の鉛直シャフト24の運動は、プロセスボウル14に対
して上向き又は下向きの、ヘッド12及びそれに固定された加工物ホルダ16の
対応する運動を与える。特に、鉛直駆動モータ22は、半導体加工物Wがプロセ
スボウル14内のプロセス溶液又は流体38と接触する位置にヘッド12を降下
させるような構成である。典型的には、プロセス流体38は電気めっき浴のよう
な液体浴である。
【0013】 半導体加工物Wとプロセス流体38との接触により、半導体加工物Wの露出し
た表面の予め選ばれた部分、例えば下部表面S1がプロセッシングされる。プロ セッシングは、無電解めっき、電気めっき又はエッチングプロセスを包含する。
例示された態様では、プロセッシングヘッド12は、好ましくは、水平軸のまわ
りに回転して半導体加工物Wの加工物ホルダ16への係合及び半導体加工物Wの
加工物ホルダ16からの取り出しを容易にするような構成である。例えば、ヘッ
ド12は支持部材26により規定された軸のまわりに回転するように構成されて
いる。半導体加工物Wは、ホルダ16と係合させることができ、又はホルダ16
が上向きにされる(face−up)(示されていない)位置にヘッド12が回
転させられるとき、ホルダ16から除去されることができる。
【0014】 プロセスボウル14の1つの態様の種々の詳細は図1、4及び5の断面図にお
いて示される。示されたとおり、プロセッサ10のプロセスボウル14は側壁2
8及び下部壁30を含み、これらは一緒になってプロセス区画室32を規定して
いる。側壁28は記載された態様では環状であって、ボウル14内の実質的に円
形プロセス区画室32を規定する。
【0015】 リング34がプロセス区画室32内に設けられている。リング34は側壁28
から間隔を置いて配置されておりそしてそれらの間で環状区画室36を規定する
。リング34は流体区画室36内にめっき浴のようなプロセス流体38を受け入
れそして収容するように操作させることができる。更に、リング34の上部はプ
ロセス流体38のレベルを実質的に一定のレベルに維持するせき(weir)を
形成する。1つの態様では、プロセッシングボウル14へのプロセス流体38の
流れが与えられて、リング34からあふれ出る(spills over)上向
きの流れを発生させて、最終的にウエーハに接触するプロセス流体38が新しい
ことを確実にする(例えば電気めっきの場合に、めっきされるべき金属の必要な
濃度がめっきされるべき表面に存在することを確実にする)。
【0016】 プロセッサ10の例示されたプロセスボウル14、プロセッシングヘッド12
及び加工物ホルダ16は例示的な構成に過ぎない。プロセスモジュール10の他
の構成が考えられそして本発明の範囲内にある。
【0017】 例示された態様では、プロセッサ10は電気めっき用に構成されている。この
ために、プロセス容器14の流体区画室36内にアノード37が設けられ、、半
導体加工物Wはカソードを構成する。下記の更なる詳細において述べられるとお
り、フィンガ18はめっき操作のために必要な電気めっきパワーを半導体加工物
Wの表面S1に導く電極として構成することができる。プロセッサ10のアノー ド37及びカソードの両方共めっき電源15(図8に示された)とカップリング
させられる。
【0018】 前記したように、本明細書で述べられた電気めっき操作の如き或る半導体加工
物プロセッシング法は、与えられた半導体加工物Wの選ばれた部分(例えば一側
)のみのプロセッシングを必要とする。このよう状況では、他の部分(例えば上
側S2)はプロセス流体との接触を防止するように遮蔽されなければならない。
【0019】 プロセッサ10は、電気めっき浴の如き、半導体加工物Wとプロセス流体38
とのこのような選択的接触を与えるように設計される。更に特定的には、本明細
書で開示された好ましい態様では、上部表面S2のプロセッシングを抑制しなが ら、半導体加工物Wの下部表面S1のプロセッシングを許容するようになってい る。この方式の操作の間、上部表面S2及び或る場合には半導体加工物Wの縁( edges)ですら、プロセス流体38に接触するのを阻止される。
【0020】 このために、以下に更に詳細に説明されるとおり、プロセッサ10は、半導体
加工物Wの表面S1が最初に流体に接触するまで、プロセッシングヘッド12と プロセッシング流体38の表面との制御された相対的鉛直方向運動を与えるよう
に操作される。例示された態様では、プロセスボウル14は固定されたままで、
鉛直方向に運動させられてこのような接触を与えるのはプロセッシングヘッド1
2である。表面S1と流体38の表面との接触が確立された後、表面S1は、小さ
な所定の距離だけ、流体38から遠ざかる方向に鉛直方向に引っ張られる。流体
38の表面張力はメニスカスを生じさせ、それによりプロセス流体38は上部表
面S2及び大抵の場合に加工物Wの周縁(peripheral edges) との接触を阻止される。
【0021】 好ましくは、この制御された鉛直方向運動は、少なくとも部分的に、流体38
の表面に対する表面S1のリアルタイム位置を指示する1つ以上の信号に応答す る。例示された態様では、1個以上の伝導体がヘッド12との固定された関係(
fixed relation)において配置される。これらの伝導体は、流体
38の表面に向かって及び流体38の表面が遠ざかるようにヘッド12の鉛直方
向運動路に沿って異なる鉛直方向位置で流体38に接触するように配置されるこ
とができる。このようなものとして、特定の伝導体又は電極と流体38の表面と
の接触は、半導体加工物Wと流体38との間の与えられた距離に対応する。鉛直
方向運動路に沿った異なる鉛直方向位置で流体38に接触するように配置された
多数の伝導体を使用することにより、多数の相対的距離を感知する(sense
d)ことができる。
【0022】 種々のエレクトロニック技術を使用して特定の伝導体と流体38との接触を感
知することができる。この場合のようにプロセッサ10が電気めっき用に構成さ
れている場合には、位置感知を実施するのに必要な追加の部品の数を最小にする
ように、流体38と呼ばれる電気めっき溶液の伝導性を利用することが可能であ
る。このために、伝導体とアノード37との間に基準電圧(reference
voltages)を発生させる。与えられた伝導体が電気めっき溶液に接触
する場合には、伝導体、アノード及びプロセス流体38を含む電気回路において
電気的連続性が達成される。この連続性状態は検出することができ、そして与え
られた伝導体と電気めっき溶液の表面の接触の指示体として使用することができ
る。
【0023】 例示された態様では、フィンガ18は二重の機能を果たす。第1には、フィン
ガ18は半導体加工物Wの表面S1にめっきパワーを与えるように構成される。 第2に、フィンガ18はプロセス流体38に対して相対的な半導体加工物Wの位
置を指示するのを助ける伝導体/センサとして使用される。
【0024】 図2に示された各フィンガ18は、上記した方法で電気的信号を受け取りそし
て導くのに使用される中心に配置された伝導性物質と、伝導性物質の選ばれた位
置のまわりに配置された誘電体コーティング40を含んで成る。例示されたよう
に、誘電体コーティング40は中心に配置された伝導性物質の一部のみを覆い、
それにより露出した伝導体42を与える。
【0025】 加工物ホルダ16がプロセスボウル14に向けて降下させられるにつれて、フ
ィンガ18の露出した伝導体42はプロセス流体38に接触する。露出した伝導
体42と流体38(例えば伝導性電気めっき溶液)との接触は電気的回路を達成
する。図2を参照すると、この連続性状態(continuity condi
tion)は最初に、表面S1が流体38の表面から距離d1のところにある場合
に生じる。このようなものとして、流体38の表面に対する表面S1の鉛直方向 位置を実際に監視して、いつ表面S1及び流体38の表面が所定の距離d1離れて
いるかを決定することが可能となる。
【0026】 相対的位置の感知は表面S1に対して相対的なフィンガ18内の伝導体42の 露出した部分の位置決めに依存する。図2に示されたとおり、伝導体42は加工
物Wの下部表面とプロセス流体38の表面又はメニスカス39との間の距離d1 に対応してプロセス流体38と接触させられる。しかしながら、図3を参照する
と、フィンガ18の誘電体40は、表面S1とメニスカス39が距離d2だけお互
いから離れているとき測定可能な電流(又は他の基準信号(reference
signal))を最初に発生させるように露出した伝導体42を露出させる
。伝導体42の種々の異なった部分を露出させることは、鉛直方向運動路に沿っ
て種々の位置でプロセス流体38に対する半導体加工物Wの位置の感知を可能と
する。
【0027】 図4を参照すると、図2に示された加工物ホルダ16の態様は、プロセス流体
38内にフィンガ18の下部を浸漬させそして表面S1をメニスカス39と接触 させるのに十分な程度に降下させられている。好ましくは、例えば、加工物ホル
ダ16は距離d1を指示する基準信号が最初に検出された後図2に示された位置 から距離d1降下させられている。かくして、加工物Wの下部表面S1は図4に示
された位置でプロセス溶液38で湿潤させられる。流体38の上部表面S2との 接触を阻止しながら、半導体加工物Wは図4に示された位置に保持されて、その
下部表面S1のプロセッシングを与えることができる。
【0028】 或る場合には、プロセッシング流体38と半導体加工物Wとの接触の程度を更
に限定することが望ましいことがある。これは、図5に示された方式で達成する
ことができ、これはプロセッシングヘッド16が半導体加工物Wの下部表面S1 とプロセス流体38の表面39との接触に続いて、所定の距離(場合によりプロ
グラマブルな距離)上昇させられていることを示す。このようにしてプロセスヘ
ッド16を上昇させることにより、半導体加工物Wの下部表面S1と流体区画室 36内の残存プロセス流体38との間にプロセス流体39のカラム35が与えら
れる。プロセス流体38のカラム35は高さが数ミリメートルであることができ
る。例えば、カラム35は約0ミリメートル乃至5ミリメートルの範囲内の、典
型的には1〜3ミリメートルの範囲内の高さを有することができる。
【0029】 半導体加工物Wのこのような上昇は、その上部表面S2にプロセス流体38が はねかかる(splash)可能性を最小にする。更に、このような上昇は、プ
ロセス流体38が半導体加工物Wの周縁部分に接触するのを阻止するのを助ける
。プロセス流体38に対する半導体加工物Wの位置決めは変えることができそし
て所望されるめっき被覆の特定のタイプ又は他のプロセッシングに依存している
【0030】 例示された態様では、基準信号(ここでは露出した伝導体42、プロセス流体
38及びアノード37を具備する電気回路が形成されたときにのみ存在する)が
位置センサ回路60(図6)に加えられる。位置センサ60は基準信号に応答し
て位置指示信号を発生するような構成である。位置指示信号は半導体加工物プロ
セッサ10の制御システム80に加えることができる。制御システム80は位置
指示信号に応答して鉛直駆動モータ22を制御し、それによりプロセス流体38
に対する相対的なプロセスヘッド16及び半導体加工物Wの制御された運動を与
える。
【0031】 図6を参照すると、半導体加工物プロセッサ8の1つの構成がブロック図にお
いて示されている。例示された加工物プロセッサ8は制御システム80、プロセ
スモジュール10及び位置センサ60を含む。制御システム80は位置センサ回
路60及びプロセスモジュール10と電気的にカップリングさせられている。
【0032】 制御システム80の1つの態様は図7に示されている。例示されているとおり
、制御システム80は少なくとも、中央処理装置82(CPU)及びメモリ装置
84を具備する。中央処理装置82はメモリ装置84とインターフェースするよ
うに作動可能である(operable)。メモリ84はRAM又はROM又は
その両方として具現することができ、そして図10のフローチャートに関して下
記した演算コード(operational cord)を記憶するように構成
されている。制御システム80の中央処理装置82は該演算コードを介して位置
センサ60からの位置情報を受け取りそしてそれに応答して鉛直駆動モータ22
及び半導体加工物Wの位置決めを制御するように構成されている。
【0033】 図8を参照すると、位置センサ60の1つの態様が示されている。例示された
位置センサ60は電圧基準62、リレー64、比較器68、感度制御回路70及
び信号ロジック回路72を含む。リレー64はプロセスボウル14のアノード3
7及びプロセスモジュール10の加工物ホルダ12のフィンガ18及びめっき電
源15とカップリングさせられている。位置センサ60の信号ロジック72及び
リレー64は半導体加工物プロセッサ8の制御システム80とカップリングさせ
られている。
【0034】 一般に、位置センサ60は、表面S1とメニスカス39との間の鉛直距離を指 示する信号を発生しそして出力する。例示された態様では、制御システム80へ
のバイナリ信号を発生させる。この信号は、表面S1とメニスカス39との間の 所定の距離に最初に達すると、論理的「偽」(logical “false”
)から論理的「真」(logical “true”)に移行する。
【0035】 位置センサ60は基準信号発生器として作動する電圧基準62を含む。電圧基
準62により発生させられた基準信号は、好ましくは低電圧低電流電気信号であ
る。記載された態様では、基準信号は1ボルト及び約2ミリアンペアである。
【0036】 基準信号は制御システム80からの制御信号に応答してプロセッサ10に選択
的に加えられる。半導体加工物Wのプロセッシングが開始時に及びプロセッシン
グの前に制御システム80はリレー回路64に適当な制御信号を加える。リレー
回路64は制御信号を受け取ることに応答して付勢され(energized)
、そしてそれぞれ電気的接続ライン11及び13を介してプロセスボウル14の
アノード及びフィンガ18に基準信号を加える。
【0037】 半導体加工物Wの表面S1がプロセス流体38のメニスカス39から図2のd1 の如き所定の距離に達すると、ライン11を介して加えられた基準信号はフィン
ガ18及び流体38を通してアノード37に電気的に接続される。この信号はリ
レー回路64から比較器68の入力に与えられる。比較器回路68は感度制御装
置70の出力において与えられる所定の閾値に対して信号の大きさを比較する。
検出された信号の大きさが閾値を越えるならば、比較器68は信号ロジック72
の入力に対して信号を発生させ、信号ロジック72は制御システム80に論理的
「真」信号(logical “true” signal)を与える。(比較
器68からの出力信号が制御システムにより認識さるうるバイナリ状態(bin
ary sates)の間でトランジッションするとき、信号ロジック回路72
は不必要でありうることが認識されるであろう)。感度制御装置70を調節する
ことは閾値を調節しそして比較器68のためのトリップ点(trip poin
t)を調節する。感度制御装置70は本発明の1つの態様に従って電位差計を具
備する。
【0038】 信号ロジック72は好ましくは、比較器68の出力からの信号に対応するロジ
カルバイナリ値を記憶するように構成されている。更に、信号ロジック72は記
憶された信号が論理的「真」であればリレー64を除勢し(de−energi
ze)、リレー64への信号を発生することができる。リレー64のこのような
除勢は、電気的接続ライン11、13から位置センサ回路60を絶縁しそしてっ
き電源15の出力に与えられた電気めっきパワーで基準信号を効果的に置き換え
る。この除勢された状態では、中央処理装置82からの制御に応答して、電気的
接続ライン11、13及びプロセスモジュール10のアノード及びカソードを横
切って高い電圧及び/又は電流を加えるようにめっき電源15を作動させること
ができる。除勢リレー64はまた電源15により発生させられた高い電圧及び/
又は電流から位置センサ回路60を保護もする。リレー64が除勢されると、中
央処理装置82は、好ましくはめっき電源15をターンオンにして半導体加工物
Wの電気めっきを行うのに使用される1個以上の信号を発生する。
【0039】 図9を参照すると、上記した位置センサ回路60の詳細回路図が示される。例
示された位置センサ60は電圧基準62、比較器68、感度制御装置70及びラ
ツチ73、74を含む。例示された態様では、信号ロジック72は、ラツチ73
、74を具備する。リレー64は電気的接続ライン13を介して加工物ホルダ1
2とカップリングさせられておりそして電気的接続ライン11を介してプロセス
ボウル14のアノード37とカップリングさせられている。制御システム80は
ラッチ73からの信号出力を受け取りそして適当な時点でラッチ73にリセット
信号を及びラッチ74に開始信号を加えるように動作することができる。
【0040】 制御システム80を介して開始信号のアサーション(assertion)に
応答して、ラッチ74がセットされる。ラッチ74をセットすると、リレー64
が付勢され、それにより電圧基準62を電気的接続11を介してプロセスボウル
14のアノード37とカップリングさせる。さらに、リレー64の付勢は、加工
物ホルダ12のフィンガ18を電気的接続13を介して比較器68と電気的にカ
ップリングさせる。
【0041】 基準信号(マイナス流体38を横切る小さな電圧降下)はプロセス流体38と
プロセスヘッド16のフィンガ18の露出した部分42とが接触すると比較器6
8に加えられる。この結果比較器68の出力信号の状態が変わる。状態変化はラ
ッチ73をセットし、ラッチ73はラッチ74をリセットする出力信号を与える
【0042】 ラッチ73及びロジックゲート79は図2のd1の如き所定の距離に達したこ とを指示する信号を与え、そしてこの信号を制御システム80に加えるように動
作する。ラッチ73はこの信号状態を効果的に記憶し、それにより制御システム
80の中央処理装置82が、制御システム80のタイミングに従って信号をポー
リングする(poll)ことを許容する。
【0043】 制御システム80の中央処理装置82が位置センサ60から論理的「真」状態
へのトランジッションを検出すると、中央処理装置82はリセット信号を与えて
ラッチ73をクリアーする(clear)。しかる後、制御システム80の中央
処理装置は、その後の半導体加工物Wがプロセスヘッド16内に適正に配置され
ると及びプロセス容器14内のプロセス流体38に向けてのヘッド16及び半導
体加工物Wの降下の前に、出発信号をリアサーションして(reassert)
ラッチ74をセットする。
【0044】 上記したとおり、制御システム80は信号ロジック72からの位置指示信号の
存在を監視及び検出するように構成されている。位置指示信号の論理的「真」状
態の存在は、プロセス流体38に対する半導体加工物Wの位置情報を与える。位
置指示信号の受信に応答して、制御システム80は鉛直駆動モータ22を作動さ
せそしてプロセス流体38に対する半導体加工物Wの位置を調節するように構成
されている。更に特定的には、制御システム80は鉛直駆動モータ22にプロセ
スヘッド16及び保持された半導体加工物Wを図2のd1の如き所定の距離運動 させて、プロセス流体38に接触させるように構成されている。運動させられる
特定の距離は典型的に予め選ばれそして半導体加工物Wとプロセス流体38との
間の距離に対応する。半導体加工物Wは、指示信号の受信に続いて降下させられ
て、フィンガ18内の電極42の露出した部分に相当する、半導体加工物Wの下
部表面S1とプロセス流体38との間の距離をアカウントする(account for)ことができる。露出される伝導体42の特定の部分は較正(cali
bration)(即ち、基準信号が伝導体42を通る瞬間の加工物Wとプロセ
ス流体38との間の距離)を調節するように変えることができる。別法として、
較正の調節はソフトウエアにより具現することができる。
【0045】 半導体加工物Wを降下させそしてプロセス流体38と接触させると、半導体加
工物Wの下部表面S1は流体38で湿潤される。1つの態様では、加工物Wを降 下させると、半導体加工物Wの全下部表面S1にわたりプロセス流体38のメニ スカス39が広がる。
【0046】 位置センサ60からの位置指示信号の論理的「真」状態の受信に応答して、制
御システム80はプロセス流体38の表面39に対する半導体加工物Wの正確な
位置を知る。指示信号の受信に続いてプロセスヘッド16及び半導体加工物Wの
その後の運動は、特定の用途に依存して変えることができる。例えば、半導体加
工物Wの下部表面S1が駆動されてプロセス流体38のメニスカス39に接触し た後、制御システム80は駆動モータ22を作動させて半導体加工物Wを所定の
距離引っ込めるか(retract)又は上昇させて、半導体加工物Wと流体区
画室36内の残存プロセス流体38との間のプロセス流体39のカラム35を与
えることができる。半導体加工物Wの下部表面S1は好ましくはプロセスヘッド 16及び加工物Wの引っ込め期間中湿潤したままである。接着力又は張力が重力
に打ち勝ち、そして加工物Wの引っ込め期間中下部表面S1とプロセス流体38 の接触を維持し、それによりカラム35を形成する。上記したように、プロセス
流体38の形成されたカラム35は、高さが数ミリメートルであることができる
。プロセス流体38に対する半導体加工物Wの位置決めは変えることができ、そ
して所望されるめっき被覆の特定の種類に依存する。
【0047】 図10は制御システム80を作動させる1つの方法を示すフローチャートであ
る。中央処理装置82は、例えば例示されたフローチャートに従うメモリ84に
記憶されたソフトウエアコードを介して構成されている。示されたフローチャー
トに記載の制御動作は、本発明の別の態様に従うハードウエアにおいて具現する
ことができる。
【0048】 図10に示されたとおり、制御システム80は90に進む開始信号をアサーシ
ョンする(asserts)。開始信号は好ましくはプロセス流体38のメニス
カス39に向けての半導体加工物Wの降下の前にアサーションされる。開始信号
のアサーションは第2ラッチ74をセットし、それにより位置センサ60及びプ
ロセスモジュール10をリレー64を介して電気的にカップリングさせる。
【0049】 ステップ92において、制御システム80は、制御システム80のタイミング
に従って(例えば所定の時間間隔で)位置センサ60の第1ラッチ73の出力を
走査し又は読み出す。走査に続いて、制御システム80は検出された信号を分析
して、論理的「真」状態になっているかどうかを決定する。上記したとおうり、
論理的「真」状態は、半導体加工物Wの下部表面S1はプロセス流体38の表面 39から所定の距離であることを示す。指示信号が論理的「真」状態ではないな
らば、制御システム80は所定の時間間隔で信号ロジック72の第1ラツチ73
の出力を走査し続ける。
【0050】 位置指示信号が論理的「真」状態になるならば、制御システム80は図10の
ステップ96に進む。その時点で、制御システムは第1ラッチ73をクリアーす
るステップ96におけるリセット信号をアサーションする。しかる後、制御シス
テム80はステップ98に進んで、半導体加工物Wとプロセス流体38のメニス
カス39との間の鉛直間隔(vertical spacing)を調節する。
例えば、図2を参照すると、半導体加工物Wは、下部表面S1とプロセス流体3 8の表面39との間の距離に対応するプロセスモジュール10の較正(cali
bration)に依存して、ステップ98で距離d1降下させることができる 。あるいは、半導体加工物Wは、図3に示されたプロセスヘッド16及びそれと
関連したフィンガ18が利用されるならば、ステップ98で距離d2降下させる ことができる。その後の半導体加工物Wがプロセッシングされるべき場合には、
図10に関して述べた方法を繰り替えすることができる。
【0051】 プロセス流体38に対する半導体加工物Wの位置の調節は好ましくは半導体加
工物Wの下部表面S1をプロセス流体38でコーテイング又は湿潤させる。上記 した方法に従う半導体加工物Wのプロセッシングは、プロセス流体38が加工物
の側部又は上部表面に施されないかぎり、半導体加工物の縁又は上部表面S2を 覆う必要をなくする。
【0052】 更に、半導体加工物Wはその下部表面S1のコーティングに続いてその後上昇 させることができる。引力(attractive force)はプロセス流
体を上向きに引っ張って、半導体加工物Wとプロセス流体浴38との間のプロセ
ス流体のカラム35を形成する。半導体加工物Wのこのような上昇は、プロセス
流体38への加工物Wの側部又は縁及び上部表面S2の露出の機会を減少させる 。加工物Wの縁及び上部表面S2には好ましくはプロセッシングの期間中めっき 溶液がないのが好ましく、そして加工物Wの種々の部分の所望されないめっき又
はプロセッシングが最小になるのが好ましい。
【0053】 規則に従って、本発明は構造及び方法の特徴に関して多少特定の用語で説明し
てきた。しかしながら、本発明は示されそして説明された特定の特徴に限定され
るものではないことが理解されるべきである。何故ならば、本明細書に開示され
た装置は本発明を実施するための好ましい形態を構成するからである。故に、本
発明は均等物の原則に従って適当に解釈された添付したクレームの正しい範囲内
のその形態又は修正のいずれかにおいてクレームされている。
【図面の簡単な説明】
【図1】 半導体加工物プロセッサのプロセスモジュールの略図である。
【図2】 半導体加工物を保持するプロセスモジュールのプロセスヘッドの第1の態様の
側面図である。
【図3】 プロセスモジュールのプロセスヘッドの第2の態様の図2と同様な側面図であ
る。
【図4】 プロセスモジュールのプロセス容器内のプロセス流体と接触している半導体加
工物を位置決めする図2のプロセスヘッドの側面図である。
【図5】 半導体加工物とプロセス流体浴との間のプロセス流体のカラムの形成を示す側
面図である。
【図6】 半導体加工物プロセッサの1つの態様に従う種々の部品を示す機能的ブロック
図である。
【図7】 半導体加工物プロセッサの制御システムの態様の機能的ブロック図である。
【図8】 半導体加工物プロセッサの位置センサ回路の態様の機能的ブロック図である。
【図9】 図8に示された位置センサ回路の線図である。
【図10】 半導体加工物の位置を監視及び制御する方法を示すフローチャートである。
【手続補正書】
【提出日】平成12年6月29日(2000.6.29)
【手続補正1】
【補正対象書類名】明細書
【補正対象項目名】特許請求の範囲
【補正方法】変更
【補正内容】
【特許請求の範囲】
───────────────────────────────────────────────────── フロントページの続き (81)指定国 EP(AT,BE,CH,CY, DE,DK,ES,FI,FR,GB,GR,IE,I T,LU,MC,NL,PT,SE),OA(BF,BJ ,CF,CG,CI,CM,GA,GN,GW,ML, MR,NE,SN,TD,TG),AP(GH,GM,K E,LS,MW,SD,SZ,UG,ZW),EA(AM ,AZ,BY,KG,KZ,MD,RU,TJ,TM) ,AL,AM,AT,AU,AZ,BA,BB,BG, BR,BY,CA,CH,CN,CU,CZ,DE,D K,EE,ES,FI,GB,GE,GH,GM,HR ,HU,ID,IL,IS,JP,KE,KG,KP, KR,KZ,LC,LK,LR,LS,LT,LU,L V,MD,MG,MK,MN,MW,MX,NO,NZ ,PL,PT,RO,RU,SD,SE,SG,SI, SK,SL,TJ,TM,TR,TT,UA,UG,U S,UZ,VN,YU,ZW (72)発明者 ケリー,ステイーブン・イー アメリカ合衆国モンタナ州59901カリスペ ル・ホルトステイジ1810 (72)発明者 ドウーリトル,ジエイムズ・ダブリユー アメリカ合衆国モンタナ州59937ホワイト フイツシユ・ブートジヤツクレイクロード 475 Fターム(参考) 4K024 AA01 BB11 BB12 CA05 CA10 CB01 CB02 CB11

Claims (23)

    【特許請求の範囲】
  1. 【請求項1】 加工物をプロセッシングしてマイクロエレクトロニック部品
    を製造するのに使用する装置であって、 加工物をプロセッシングするためのプロセス流体を有するプロセス容器と、
    加工物を保持する構成の加工物ホルダと、 加工物の表面とプロセス流体の表面との間の間隔を指示する位置情報を与える
    構成の位置センサと、 位置情報に応答して加工物の表面とプロセス流体の表面との相対運動を与える
    駆動システム、 を具備する装置。
  2. 【請求項2】 プロセス流体が本質的に電気伝導性であり、位置センサが、 加工物の表面と固定位置整列している且つ加工物の表面から所定の距離にある
    第1電極と、 プロセス流体中に固定位置に配置された第2電極と、 第1電極及び第2電極及びプロセス流体を含んで成る電気回路における電気的
    連続性に応答して駆動システムに対する信号を発生する信号発生器、 を具備する請求項1の装置。
  3. 【請求項3】 駆動システムにより与えられた相対運動は加工物の表面を、
    加工物の他の表面を除いて、プロセス流体の表面に接触させる請求項1の装置。
  4. 【請求項4】 駆動システムにより与えられた相対運動は、 加工物の表面をプロセス流体の表面に接触させる第1運動と、 第1運動に続いて、プロセス流体の表面と加工物の表面との間にプロセス流体
    のカラムを発生させそして維持するための第2運動であって、第1運動とは反対
    の方向にある第2運動を含んで成る請求項1の装置。
  5. 【請求項5】 相対運動は、プロセス容器と加工物の表面との間のプロセス
    流体のカラムの発生及び維持をもたらす請求項1の装置。
  6. 【請求項6】 装置は更に加工物の表面に物質を電気めっきするための部品
    を具備する請求項1の装置。
  7. 【請求項7】 該部品が、 プロセス流体中に配置されたアノードと、 加工物の表面に電気的に接触するための1個以上のカソード接点と、 プロセス流体としての電気めっき溶液と、 アノードと1個以上のカソード接点との間にパワーを加えるように接続された
    めっき電源、 を具備する請求項6の装置。
  8. 【請求項8】 装置が更に加工物の表面に物質を電気めっきするための部品
    を具備する請求項3の装置。
  9. 【請求項9】 該部品が、 プロセス流体中に配置されたアノードと、 加工物の表面に電気的に接触するための1個以上のカソード接点と、 プロセス流体としての電気めっき溶液と、 アノードと1個以上のカソード接点との間にパワーを加えるように接続された
    めっき電源、 を具備する請求項8の装置。
  10. 【請求項10】 装置が更に加工物の表面に物質を電気めっきするための部
    品を具備する請求項4の装置。
  11. 【請求項11】 該部品が、 プロセス流体中に配置されたアノードと、 加工物の表面に電気的に接触するための1個以上のカソード接点と、 プロセス流体としての電気めっき溶液と、 アノードと1個以上のカソード接点との間にパワーを加えるように接続された
    めっき電源、 を具備する請求項10の装置。
  12. 【請求項12】 装置が更に加工物の表面に物質を電気めっきするための部
    品を具備する請求項5の装置。
  13. 【請求項13】 該部品が、 プロセス流体中に配置されたアノードと、 加工物の表面に電気的に接触するための1個以上のカソード接点と、 プロセス流体としての電気めっき溶液と、 アノードと1個以上のカソード接点との間にパワーを加えるように接続された
    めっき電源、 を具備する請求項12の装置。
  14. 【請求項14】 相対運動は、加工物の表面がプロセス流体に接触した後加
    工物を所定の距離上昇させ、それによりプロセス流体の中間のカラムを発生させ
    そして維持させる請求項1の装置。
  15. 【請求項15】 マイクロエレクトロニック部品の製造に応じてプロセス流
    体で加工物を湿潤させる方法であって、 表面を有する加工物を提供し、 プロセス流体を提供し、 加工物の表面をプロセス流体と接触させ、 この接触に続いてプロセス流体に対して相対的に加工物を上昇させて、加工物
    の表面にのみ接触するような寸法のプロセス流体のカラムを発生させそして維持
    することを含む方法。
  16. 【請求項16】 マイクロエレクトロニック部品の製造に応じてプロセス流
    体で加工物を湿潤させる方法であって、 表面を有する加工物を提供し、 プロセス流体の浴を提供し、 加工物と固定関係にある1個以上の電気伝導体を提供し、 加工物と浴との間の鉛直方向の路に沿って相対運動を与え、 プロセス流体と1個以上の電気伝導体の接触を検出し、 該検出に応答して鉛直方向の路に沿って更なる相対運動を制御する、 ことを特徴とする方法。
  17. 【請求項17】 更なる相対運動は、 加工物の表面を該浴の表面に接触させる第1運動と、 第1運動に続いて、該浴と加工物の表面との間にプロセス流体のカラムを発
    生させそして維持するための第2運動であって、第1運動とは反対の方向にある
    第2運動を含んで成る請求項16の方法。
  18. 【請求項18】 マイクロエレクトロニック部品の製造に応じて加工物に物
    質を電気めっきする方法であって、 電気めっきされるべき表面を有する加工物を提供し、 電気めっき溶液の浴を提供し、 電気めっき溶液の浴中のアノードを提供し、 加工物の表面を電気めっき溶液の浴の表面と接触させ、そして 該接触に続いて加工物を電気めっき溶液の浴に対して相対的に上昇させ、それ
    により加工物の表面にのみ接触するような寸法の電気めっき溶液のカラムを発生
    させそして維持し、 電気めっきされるべき加工物の表面とアノードとの間にめっきパワーを加え、
    それにより加工物の他の表面を除いて、加工物の表面に物質を電気めっきする、
    ことを特徴とする方法。
  19. 【請求項19】 マイクロエレクトロニック部品の製造に応じて加工物に物
    質を電気めっきする方法であって、 電気めっきされるべき表面を有する加工物を提供し、 電気めっき溶液の浴を提供し、 電気めっき溶液の浴中のアノードを提供し、 加工物と固定関係にある1個以上の電気伝導体を提供し、 加工物と浴との間の鉛直方向の路に沿って相対運動を与え、 1個以上の電気伝導体と電気めっき溶液との接触を検出し、 該検出に応答して鉛直方向路に沿って加工物と浴との更なる相対運動を制御す
    る、 ことを特徴とする方法。
  20. 【請求項20】 検出の工程が、 1個以上の伝導体、電気めっき溶液の浴及び電気めっき溶液の浴中に配置され
    た電極を具備する電気回路の電気的連続性を監視し、 電気回路の電気的連続性を指示する出力信号を発生させる、 ことを含む請求項19の方法。
  21. 【請求項21】 電極がアノードを具備する請求項20の方法。
  22. 【請求項22】 更なる相対運動を制御する工程が、 加工物の表面を電気めっき溶液の浴の表面と接触させ、そして 該接触に続いて加工物を電気めっき溶液の浴に対して相対的に上昇させ、それ
    により加工物の表面にのみ接触するような寸法の電気めっき溶液のカラムを発生
    させそして維持する、 ことを含む請求項19の方法。
  23. 【請求項23】 電気めっきされるべき加工物の表面とアノードとの間にめ
    っきパワーを加え、それにより加工物の他の表面を除いて、加工物の表面に物質
    を電気めっきさせる工程を更に含む請求項22の方法。
JP2000514312A 1997-09-30 1998-09-30 マイクロエレクトロニック部品の製造中プロセッシング液への加工物表面の暴露を制御するための装置及び方法 Pending JP2001518567A (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US08/940,523 1997-09-30
US08/940,523 US6015462A (en) 1997-09-30 1997-09-30 Semiconductor processing workpiece position sensing
US08/940,517 1997-09-30
US08/940,517 US6090711A (en) 1997-09-30 1997-09-30 Methods for controlling semiconductor workpiece surface exposure to processing liquids
PCT/US1998/020743 WO1999017344A1 (en) 1997-09-30 1998-09-30 Apparatus and methods for controlling workpiece surface exposure to processing liquids during the fabrication of microelectronic components

Publications (1)

Publication Number Publication Date
JP2001518567A true JP2001518567A (ja) 2001-10-16

Family

ID=27130138

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000514312A Pending JP2001518567A (ja) 1997-09-30 1998-09-30 マイクロエレクトロニック部品の製造中プロセッシング液への加工物表面の暴露を制御するための装置及び方法

Country Status (6)

Country Link
EP (1) EP1029342A1 (ja)
JP (1) JP2001518567A (ja)
KR (1) KR20010024370A (ja)
CN (1) CN1272956A (ja)
AU (1) AU9679598A (ja)
WO (1) WO1999017344A1 (ja)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101015037B (zh) * 2004-03-22 2010-04-21 里纳特种机械有限责任公司 处理衬底表面的方法
US7566390B2 (en) * 2004-12-15 2009-07-28 Lam Research Corporation Wafer support apparatus for electroplating process and method for using the same
JP4943448B2 (ja) 2005-11-23 2012-05-30 マテリアルズ・アンド・テクノロジーズ・コーポレーション 基板を保持するための装置及び方法

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB710235A (en) * 1952-08-08 1954-06-09 Eisler Paul Printed electric circuits and electric circuit components

Also Published As

Publication number Publication date
CN1272956A (zh) 2000-11-08
EP1029342A1 (en) 2000-08-23
WO1999017344A1 (en) 1999-04-08
AU9679598A (en) 1999-04-23
KR20010024370A (ko) 2001-03-26

Similar Documents

Publication Publication Date Title
US6090711A (en) Methods for controlling semiconductor workpiece surface exposure to processing liquids
US20040182712A1 (en) Process and system for eliminating gas bubbles during electrochemical processing
US6440291B1 (en) Controlled induction by use of power supply trigger in electrochemical processing
US9385035B2 (en) Current ramping and current pulsing entry of substrates for electroplating
TW201513165A (zh) 具有整合製程邊緣成像之電鍍及後電塡充系統與量測系統
US20030221966A1 (en) Method of electroplating copper over a patterned dielectric layer
JP3188822B2 (ja) 化学エッチング工程の非接触リアルタイム装置内監視の方法および装置
US6015462A (en) Semiconductor processing workpiece position sensing
WO2006086169A2 (en) Immersion process for electroplating applications
US6217727B1 (en) Electroplating apparatus and method
JP2001518567A (ja) マイクロエレクトロニック部品の製造中プロセッシング液への加工物表面の暴露を制御するための装置及び方法
US6602383B1 (en) Apparatus and methods for controlling workpiece surface exposure to processing liquids during the fabrication of microelectronic components
KR102563631B1 (ko) 도금 장치
US6746591B2 (en) ECP gap fill by modulating the voltate on the seed layer to increase copper concentration inside feature
US20040259365A1 (en) Polishing method polishing system and method for fabricating semiconductor device
KR102208202B1 (ko) 전기도금을 위한 기판들의 전류 램핑 및 전류 펄싱 진입
JP2005213610A (ja) めっき装置及びめっき方法
JP2010007153A (ja) めっき装置及びめっき方法
JP2003264159A (ja) 触媒処理方法及び触媒処理液
JP2003224128A (ja) 配線形成方法及び装置
US20050029106A1 (en) Reduction of defects in conductive layers during electroplating
WO2004097932A2 (en) Method of electroplating copper over a patterned dielectric layer to enhance process uniformity of a subsequent cmp process
JP2006291289A (ja) 半導体装置の製造装置及び製造方法
CN109686684B (zh) 一种硅晶圆的加工方法、控制装置及外延反应设备
WO2024048106A1 (ja) 基板処理装置