JP2001111051A - Semiconductor device and manufacturing method thereof - Google Patents

Semiconductor device and manufacturing method thereof

Info

Publication number
JP2001111051A
JP2001111051A JP29118099A JP29118099A JP2001111051A JP 2001111051 A JP2001111051 A JP 2001111051A JP 29118099 A JP29118099 A JP 29118099A JP 29118099 A JP29118099 A JP 29118099A JP 2001111051 A JP2001111051 A JP 2001111051A
Authority
JP
Japan
Prior art keywords
layer
region
insulating layer
semiconductor device
semiconductor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP29118099A
Other languages
Japanese (ja)
Inventor
Yuji Komatsu
裕司 小松
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sony Corp
Original Assignee
Sony Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sony Corp filed Critical Sony Corp
Priority to JP29118099A priority Critical patent/JP2001111051A/en
Publication of JP2001111051A publication Critical patent/JP2001111051A/en
Pending legal-status Critical Current

Links

Landscapes

  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Element Separation (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

PROBLEM TO BE SOLVED: To provide a method for manufacturing a semiconductor device which can reduce its parasitic capacity while avoiding a remarkably increased number of process steps in the prior art. SOLUTION: The method for manufacturing a semiconductor device includes steps of (A) forming a conductor layer 155 patterned on a substrate 10, (B) forming a side wall on a side wall of the conductor layer 15 in a self alignment manner, (C) forming entirely a first insulating layer 31 with the top of the side wall being exposed, (D) removing the side wall to form a gap 32 between the first insulating layer 31 and conductor layer 15, and (E) forming a second insulating layer 33 on the conductor and first insulating layers 15 and 31 so as not to bury the gap 32.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、半導体装置及びそ
の製造方法に関し、特に、寄生容量が低減された半導体
装置及びその製造方法に関する。
The present invention relates to a semiconductor device and a method of manufacturing the same, and more particularly, to a semiconductor device with reduced parasitic capacitance and a method of manufacturing the same.

【0002】[0002]

【従来の技術】半導体装置の微細化に伴い、スケーリン
グ則に従って3年で2倍の集積度の向上が図られ、それ
に伴い、半導体装置の高速化、低消費電力化が達成され
続けている。MOS型FETの微細化は、ゲート電極寸
法の縮小化、ゲート絶縁膜の薄膜化、チャネル形成領域
若しくはその近傍における不純物濃度プロファイルの高
精度制御によって達成されてきている。そして、半導体
装置の微細化により、半導体装置の駆動能力の向上や寄
生容量の低減等が図られている。一般に、CMOS構成
の回路においては、動作速度は、或る段の論理ゲートの
出力が次段の論理ゲートの容量性負荷を駆動するための
充電(若しくは放電)速度により決定される。従って、
容量性負荷の容量の逆数及び駆動能力のそれぞれに、動
作速度は比例する。
2. Description of the Related Art With the miniaturization of semiconductor devices, the degree of integration has been improved twice in three years in accordance with the scaling law, and accordingly, high speed and low power consumption of semiconductor devices have been continuously achieved. The miniaturization of the MOS FET has been achieved by reducing the size of the gate electrode, reducing the thickness of the gate insulating film, and controlling the impurity concentration profile in or near the channel formation region with high precision. With miniaturization of semiconductor devices, improvement of driving capability of semiconductor devices, reduction of parasitic capacitance, and the like have been attempted. In general, in a CMOS circuit, the operation speed is determined by the charge (or discharge) speed at which the output of a logic gate of a certain stage drives the capacitive load of the logic gate of the next stage. Therefore,
The operation speed is proportional to each of the reciprocal of the capacitance of the capacitive load and the driving capability.

【0003】ところで、ゲート電極の抵抗値に基づく要
求によって、ゲート電極の厚さはスケーリング則通りに
は縮小されない。即ち、ゲート電極のゲート長が縮小さ
れても、同じ比率でゲート電極の厚さを薄くすることは
困難であり、ゲート電極のアスペクト比(ゲート電極の
厚さとゲート長の比)は増大する傾向にある。それ故、
トランジスタの寄生容量の1つであるフリンジ容量が全
体の寄生容量中で占める割合が、他の寄生容量に比べ
て、高くなりつつある。ここで、フリンジ容量とは、ゲ
ート電極の側壁とソース/ドレイン領域との間に生じる
寄生容量である。
[0003] By the way, the thickness of the gate electrode is not reduced according to the scaling law due to the requirement based on the resistance value of the gate electrode. That is, even if the gate length of the gate electrode is reduced, it is difficult to reduce the thickness of the gate electrode at the same ratio, and the aspect ratio of the gate electrode (the ratio between the thickness of the gate electrode and the gate length) tends to increase. It is in. Therefore,
The proportion of the fringe capacitance, which is one of the parasitic capacitances of the transistor, in the entire parasitic capacitance is increasing as compared with other parasitic capacitances. Here, the fringe capacitance is a parasitic capacitance generated between the side wall of the gate electrode and the source / drain region.

【0004】近年、半導体基板に形成されたチャネル形
成領域若しくはチャネル形成領域に隣接した半導体基板
の領域の不純物濃度プロファイルの正確な制御のため
に、半導体装置の製造プロセスにおいて、ゲート電極の
側壁にサイドウオールを形成する手法が多く用いられて
いる。図8の(A)に従来の半導体装置の模式的な一部
断面図を示す。図8において、参照番号10はシリコン
半導体基板、参照番号11は素子分離領域、参照番号1
2はゲート絶縁膜、参照番号13はゲート電極を構成す
るポリシリコン層、参照番号14はゲート電極を構成す
るシリサイド層、参照番号15はゲート電極、参照番号
20はエクステンション領域、参照番号23はソース/
ドレイン領域、参照番号222はサイドウオール、参照
番号231は絶縁層、参照番号234はコンタクトホー
ル、参照番号235は配線である。このサイドウオール
222は、酸化シリコン(SiO2)の他、高い加工精
度が得られる窒化シリコン(SiN)やポリシリコンか
ら構成されているが、これらの窒化シリコンやポリシリ
コンは酸化シリコンと比較して比誘電率εの値が高い。
酸化シリコンの比誘電率εが4.2〜4.4であるのに
対して、窒化シリコンの比誘電率εは6〜7である。
In recent years, in order to accurately control an impurity concentration profile of a channel formation region formed on a semiconductor substrate or a region of the semiconductor substrate adjacent to the channel formation region, a side wall of a gate electrode is formed in a semiconductor device manufacturing process. Many techniques for forming a wall are used. FIG. 8A is a schematic partial cross-sectional view of a conventional semiconductor device. 8, reference numeral 10 is a silicon semiconductor substrate, reference numeral 11 is an element isolation region, and reference numeral 1
2 is a gate insulating film, reference numeral 13 is a polysilicon layer forming a gate electrode, reference numeral 14 is a silicide layer forming a gate electrode, reference numeral 15 is a gate electrode, reference numeral 20 is an extension region, and reference numeral 23 is a source. /
The drain region, reference numeral 222 is a sidewall, reference numeral 231 is an insulating layer, reference numeral 234 is a contact hole, and reference numeral 235 is a wiring. The sidewalls 222 are made of silicon nitride (SiN) or polysilicon, which provides high processing accuracy, in addition to silicon oxide (SiO 2 ). These silicon nitrides and polysilicon are compared with silicon oxide. The value of the relative dielectric constant ε is high.
The relative permittivity シ リ コ ン of silicon oxide is 4.2 to 4.4, while the relative permittivity シ リ コ ン of silicon nitride is 6 to 7.

【0005】このように比誘電率εの値が高い材料から
サイドウオール222を構成すると、図8の(B)に模
式的に示すように、フリンジ容量の値が大きくなり、し
かも、ゲート電極15のアスペクト比の値が大きくなる
に従い、フリンジ容量の値は一層大きくなる。以上のと
うり、不純物濃度プロファイルを精度良く制御し、動作
速度向上のために低抵抗であって高アスペクト比のゲー
ト電極15を有する微細なトランジスタが要求される次
世代以降の半導体装置において、フリンジ容量の相対的
な増加は、半導体装置の性能向上を図る上で大きな障害
となっている。
When the sidewall 222 is made of a material having a high relative dielectric constant ε, the fringe capacitance becomes large as shown in FIG. 8B, and the gate electrode 15 As the value of the aspect ratio increases, the value of the fringe capacitance further increases. As described above, in a next-generation semiconductor device in which a fine transistor having a gate electrode 15 having a low resistance and a high aspect ratio is required to accurately control an impurity concentration profile and improve an operation speed, a fringe is used. The relative increase in the capacity is a major obstacle in improving the performance of the semiconductor device.

【0006】また、半導体装置の微細化に伴い、ゲート
電極15とコンタクトホール234との間に生じる寄生
容量も問題となっている。ゲート電極15とコンタクト
ホール234との間には、サイドウオール222及び絶
縁層231が介在するので、比誘電率εの値が大きな窒
化シリコン(SiN)からサイドウオール222を構成
したのでは、ゲート電極15とコンタクトホール234
との間の寄生容量を低減させることは困難である。
Further, with miniaturization of the semiconductor device, a parasitic capacitance generated between the gate electrode 15 and the contact hole 234 has become a problem. Since the sidewall 222 and the insulating layer 231 are interposed between the gate electrode 15 and the contact hole 234, if the sidewall 222 is made of silicon nitride (SiN) having a large relative dielectric constant ε, the gate electrode 15 and contact hole 234
It is difficult to reduce the parasitic capacitance between the two.

【0007】[0007]

【発明が解決しようとする課題】各種の容量を低減させ
るためには、構造上、電界が集中し易い部分の比誘電率
を低減させることが最も効果的である。一般に、無限に
広い平行平板から一対の電極が構成されている場合に
は、電極間での電界は一様であるが、2つの電極の面積
が異なる場合、面積差が大きい程、また、面積の小さい
電極に近い程、電界が集中する。更には、電極の間隔が
短い程、電界が集中する。従って、半導体装置において
は、フリンジ容量に基づき、ゲート電極の側壁近傍の半
導体基板の部分に電界が集中する。一方、ゲート電極と
コンタクトホールとの間の寄生容量に基づき、コンタク
トホールに近づく程、電界が集中する。
In order to reduce various capacitances, it is most effective to reduce the relative dielectric constant of a portion where an electric field is easily concentrated due to its structure. In general, when a pair of electrodes are formed from an infinitely wide parallel plate, the electric field between the electrodes is uniform. However, when the areas of the two electrodes are different, the larger the area difference, the larger the area. The closer the electrode is to the electrode, the more the electric field concentrates. Furthermore, the electric field concentrates as the distance between the electrodes is shorter. Therefore, in the semiconductor device, the electric field is concentrated on the portion of the semiconductor substrate near the side wall of the gate electrode based on the fringe capacitance. On the other hand, based on the parasitic capacitance between the gate electrode and the contact hole, the electric field concentrates closer to the contact hole.

【0008】フリンジ容量を低減するための手段が、例
えば、特開平7−193233号公報、特開平9−24
6544号公報、特開平11−17166号公報から公
知である。
Means for reducing the fringe capacity are disclosed in, for example, JP-A-7-193233 and JP-A-9-24.
It is known from JP-A-6544 and JP-A-11-17166.

【0009】特開平7−193233号公報に開示され
た方法では、ゲート電極の側壁近傍に真空の領域が形成
されている。しかしながら、この特許公開公報に開示さ
れた方法においては、ソース/ドレイン領域上に選択成
長法にてシリコン層を形成する必要があり、工程が複雑
になる。また、酸化膜表面とシリコン半導体基板表面で
のSi成長選択性を高めるため、成長領域端でファセッ
トが生じ、ゲート電極の側壁近傍に真空の領域が形成さ
れ難いといった問題もある。しかも、ファセットが生じ
るため、サイドウオール除去後の開口部が大きくなって
しまい、真空の領域を形成しながら、即ち、かかる領域
を埋め込むこと無く、酸化膜を形成することは困難であ
る。
In the method disclosed in JP-A-7-193233, a vacuum region is formed near the side wall of the gate electrode. However, in the method disclosed in this patent publication, it is necessary to form a silicon layer on the source / drain regions by a selective growth method, which complicates the process. Further, in order to enhance the selectivity of Si growth on the surface of the oxide film and the surface of the silicon semiconductor substrate, there is a problem that a facet is generated at the end of the growth region and a vacuum region is hardly formed near the side wall of the gate electrode. In addition, since facets are formed, the opening after removing the sidewalls becomes large, and it is difficult to form an oxide film while forming a vacuum region, that is, without embedding such a region.

【0010】特開平9−246544号公報あるいは特
開平11−17166号公報に開示された方法は、ゲー
ト電極の側壁に二重、あるいは三重のサイドウオールを
形成した後、ゲート電極の側壁に最も近いサイドウオー
ルを除去することによって、ゲート電極の側壁近傍に空
隙を形成する方法である。これらの方法は、しかしなが
ら、二重あるいは三重のサイドウオールを形成する必要
があり、工程が複雑である。また、特開平11−171
66号公報に開示された方法では、最も必要とされるゲ
ート電極の側壁近傍の半導体基板の部分に空隙が形成さ
れておらず、フリンジ容量を十分に低減することは困難
である。しかも、特開平9−246544号公報あるい
は特開平11−17166号公報に開示された方法で
は、ゲート電極とコンタクトホールとの間の寄生容量を
殆ど低減することができない。
In the method disclosed in Japanese Patent Application Laid-Open No. 9-246544 or Japanese Patent Application Laid-Open No. 11-17166, a double or triple sidewall is formed on a side wall of a gate electrode, and then the side wall closest to the side wall of the gate electrode is formed. In this method, a void is formed near the side wall of the gate electrode by removing the sidewall. These methods, however, require the formation of double or triple sidewalls and are complex. Also, JP-A-11-171
According to the method disclosed in JP-A-66-66, no void is formed in the portion of the semiconductor substrate near the sidewall of the gate electrode which is most needed, and it is difficult to sufficiently reduce the fringe capacitance. Moreover, the method disclosed in Japanese Patent Application Laid-Open No. 9-246544 or Japanese Patent Application Laid-Open No. 11-17166 cannot substantially reduce the parasitic capacitance between the gate electrode and the contact hole.

【0011】従って、本発明の目的は、従来のプロセス
工程を左程増加させることなく、寄生容量を低減させ得
る半導体装置及びその製造方法を提供することにある。
Accordingly, an object of the present invention is to provide a semiconductor device and a method of manufacturing the same, which can reduce the parasitic capacitance without increasing the number of conventional process steps.

【0012】[0012]

【課題を解決するための手段】上記の目的を達成するた
めの本発明の半導体装置は、(イ)基体上に形成され、
パターニングされた導電体層、及び、(ロ)該導電体層
上を含む基体に形成された絶縁層、を備えた半導体装置
であって、絶縁層は、第1の絶縁層と、該第1の絶縁層
上に形成された第2の絶縁層とから成り、第1の絶縁層
の厚さは、導電体層の厚さと略等しいか、導電体層の厚
さよりも厚く、第1の絶縁層と導電体層の側壁との間に
空隙が形成されていることを特徴とする。
According to the present invention, there is provided a semiconductor device comprising:
A semiconductor device comprising: a patterned conductive layer; and (b) an insulating layer formed on a base including the conductive layer, wherein the insulating layer includes a first insulating layer; A second insulating layer formed on the first insulating layer, wherein the thickness of the first insulating layer is substantially equal to or greater than the thickness of the conductor layer, and A gap is formed between the layer and the side wall of the conductor layer.

【0013】上記の目的を達成するための本発明の半導
体装置の製造方法は、(A)基体上に、パターニングさ
れた導電体層を形成する工程と、(B)導電体層の側壁
に、自己整合的にサイドウオールを形成する工程と、
(C)サイドウオールの頂部が露出した第1の絶縁層を
全面に形成する工程と、(D)サイドウオールを除去
し、第1の絶縁層と導電体層との間に空隙を形成する工
程と、(E)空隙を埋めないように、導電体層上及び第
1の絶縁層上に第2の絶縁層を形成する工程、を備えて
いることを特徴とする。
In order to achieve the above object, a method of manufacturing a semiconductor device according to the present invention comprises: (A) a step of forming a patterned conductive layer on a substrate; and (B) a step of forming a patterned conductive layer on a side wall of the conductive layer. Forming a sidewall in a self-aligned manner;
(C) a step of forming a first insulating layer on which the top of the sidewall is exposed on the entire surface; and (D) a step of removing the sidewall and forming a gap between the first insulating layer and the conductor layer. And (E) forming a second insulating layer on the conductor layer and the first insulating layer so as not to fill the void.

【0014】本発明の半導体装置あるいは本発明の半導
体装置の製造方法における基体と導電体層の組合せとし
て、基体を半導体層とし、導電体層を電界効果型トラン
ジスタにおけるゲート電極とする組合せを挙げることが
できる。前者の組合せの場合、基体である半導体層と導
電体層であるゲート電極との間にはゲート絶縁膜が形成
されている。この場合、ゲート電極の下方の半導体層の
領域にはチャネル形成領域が形成され、該チャネル形成
領域を挟んで、半導体層にはソース/ドレイン領域が形
成されている構成とすることができ、更には、必要に応
じて、各ソース/ドレイン領域とチャネル形成領域との
間に位置し、且つ、空隙の下方に位置する半導体層の領
域には、エクステンション領域が形成されている構成す
ることができる。
The combination of the substrate and the conductor layer in the semiconductor device of the present invention or the method of manufacturing the semiconductor device of the present invention includes a combination of the substrate as a semiconductor layer and the conductor layer as a gate electrode in a field-effect transistor. Can be. In the former combination, a gate insulating film is formed between the semiconductor layer as the base and the gate electrode as the conductor layer. In this case, a channel formation region is formed in a region of the semiconductor layer below the gate electrode, and source / drain regions can be formed in the semiconductor layer with the channel formation region interposed therebetween. Can be configured such that an extension region is formed in a region of the semiconductor layer located between each source / drain region and the channel formation region and below the gap, if necessary. .

【0015】尚、本発明の半導体装置の製造方法におい
ては、前記工程(A)においては、基体の表面にゲート
絶縁膜を形成し、次いで、ゲート絶縁膜上に導電材料
層、研磨ストップ層を順次形成し、その後、研磨ストッ
プ層及び導電材料層をパターニングし、前記工程(B)
においては、導電体層及び研磨ストップ層の側壁に、自
己整合的にサイドウオールを形成し、前記工程(C)に
おいては、全面に第1の絶縁層を形成した後、サイドウ
オールの頂部が露出するまで、第1の絶縁層を化学的/
機械的研磨法(CMP法)にて研磨する構成とすること
ができる。あるいは又、前記工程(C)においては、高
密度プラズマCVD法(HDP−CVD法)に基づき、
導電体層の頂面及びサイドウオールの頂部には第1の絶
縁層が堆積しない条件で、第1の絶縁層を形成すること
もできる。更には、前記工程(C)においては、全面に
第1の絶縁層を形成した後、サイドウオールの頂部が露
出するまで、第1の絶縁層をエッチバック法やPACE
(Plasma Assisted ChemicalEtching)加工にて除去
し、サイドウオールの頂部が露出した第1の絶縁層を全
面に形成してもよい。局所プラズマエッチング法の一種
であるPACE加工は、例えば、P. B. Mumola et el.,
2nd Inter. Symp. on Semiconductor Wafer Bonding S
cience, Technology and Application (The Electroche
mical Society, Pennungton, NJ, 1994.)等に教示され
た方法に従って行うことができる。
In the method of manufacturing a semiconductor device according to the present invention, in the step (A), a gate insulating film is formed on the surface of the base, and then a conductive material layer and a polishing stop layer are formed on the gate insulating film. Are sequentially formed, and thereafter, the polishing stop layer and the conductive material layer are patterned, and the step (B) is performed.
In (2), sidewalls are formed in a self-aligning manner on sidewalls of the conductor layer and the polishing stop layer. In the step (C), after forming a first insulating layer on the entire surface, the top of the sidewall is exposed. Until the first insulating layer is chemically /
The polishing may be performed by a mechanical polishing method (CMP method). Alternatively, in the step (C), based on a high-density plasma CVD method (HDP-CVD method),
The first insulating layer can be formed under the condition that the first insulating layer is not deposited on the top surface of the conductor layer and the top of the sidewall. Further, in the step (C), after the first insulating layer is formed on the entire surface, the first insulating layer is subjected to an etch back method or a PACE until the top of the sidewall is exposed.
(Plasma Assisted Chemical Etching) may be removed to form a first insulating layer on the entire surface where the top of the sidewall is exposed. PACE processing, which is a type of local plasma etching, is described in, for example, PB Mumola et el.,
2nd Inter. Symp. On Semiconductor Wafer Bonding S
cience, Technology and Application (The Electroche
mical Society, Pennungton, NJ, 1994.) and the like.

【0016】本発明の半導体装置の製造方法において、
導電体層を電界効果型トランジスタにおけるゲート電極
とする場合、工程(A)と工程(B)の間で、半導体層
に不純物を導入する第1回目の不純物導入工程を実行
し、工程(B)と工程(C)の間で、半導体層に不純物
を導入する第2回目の不純物導入工程を実行し、以て、
ゲート電極の下方の半導体層の領域にチャネル形成領域
を形成し、該チャネル形成領域を挟んで、半導体層にソ
ース/ドレイン領域を形成し、各ソース/ドレイン領域
とチャネル形成領域との間に位置し、且つ、空隙の下方
に位置する半導体層の領域に、エクステンション領域を
形成する構成とすることができる。あるいは又、工程
(A)と工程(B)の間で、半導体層に不純物を導入す
る不純物導入工程を実行し、以て、ゲート電極の下方の
半導体層の領域にチャネル形成領域を形成し、該チャネ
ル形成領域を挟んで、半導体層にソース/ドレイン領域
を形成する構成とすることができる。
In the method for manufacturing a semiconductor device according to the present invention,
When the conductor layer is used as a gate electrode in a field-effect transistor, a first impurity introduction step of introducing an impurity into the semiconductor layer is performed between the step (A) and the step (B), and the step (B) is performed. And a second impurity introduction step of introducing an impurity into the semiconductor layer between the step (C) and the step (C).
A channel formation region is formed in a region of the semiconductor layer below the gate electrode, and a source / drain region is formed in the semiconductor layer with the channel formation region interposed therebetween, and a source / drain region is formed between each source / drain region and the channel formation region. In addition, an extension region can be formed in a region of the semiconductor layer located below the gap. Alternatively, an impurity introduction step of introducing an impurity into the semiconductor layer is performed between the step (A) and the step (B), thereby forming a channel formation region in a region of the semiconductor layer below the gate electrode, A structure in which source / drain regions are formed in the semiconductor layer with the channel formation region interposed therebetween can be employed.

【0017】あるいは又、本発明の半導体装置あるいは
本発明の半導体装置の製造方法における基体と導電体層
の組合せとして、基体を絶縁材料層とし、導電体層を絶
縁材料層上に形成された配線とする組合せを挙げること
ができる。この場合、絶縁材料層は、例えば半導体基板
や半導体層の上若しくは上方に形成されている。
Alternatively, as a combination of the substrate and the conductor layer in the semiconductor device of the present invention or the method of manufacturing the semiconductor device of the present invention, a wiring in which the substrate is an insulating material layer and the conductor layer is formed on the insulating material layer Can be listed. In this case, the insulating material layer is formed, for example, on or above the semiconductor substrate or the semiconductor layer.

【0018】基体を構成する半導体層は、例えばシリコ
ン半導体基板それ自体から構成されていてもよいし、支
持体表面に形成された絶縁膜上に形成されている、所謂
SOI(Semiconductor-On-Insulator)層から構成され
ていてもよい。半導体層がシリコン半導体基板それ自体
から構成されている場合には、半導体装置は所謂バルク
半導体装置となるし、SOI層から構成されている場合
には、半導体装置は所謂SOI型半導体装置となる。半
導体層は、Siから構成されていてもよいし、Si−G
e混晶系から構成されていてもよい。
The semiconductor layer constituting the substrate may be composed of, for example, a silicon semiconductor substrate itself, or a so-called SOI (Semiconductor-On-Insulator) formed on an insulating film formed on the surface of the support. ) Layers. When the semiconductor layer is composed of the silicon semiconductor substrate itself, the semiconductor device is a so-called bulk semiconductor device. When the semiconductor layer is composed of the SOI layer, the semiconductor device is a so-called SOI semiconductor device. The semiconductor layer may be composed of Si or Si-G
It may be composed of an e mixed crystal system.

【0019】SOI層の形成方法として、 半導体基板と支持基板とを絶縁膜を介して張り合わ
せた後、半導体基板を裏面から研削、研磨することによ
って、支持基板から成る支持体と、絶縁膜と、研削、研
磨後の半導体基板から成る半導体層を得る、基板張り合
わせ法 半導体基板上に絶縁膜を形成した後、半導体基板に
水素イオンをイオン注入し、剥離層を半導体基板内部に
形成した後、半導体基板と支持基板とを絶縁膜を介して
張り合わせ、次いで、熱処理を行うことによって剥離層
から半導体基板を剥離(劈開)し、残された半導体基板
を裏面から研削、研磨することによって、支持基板から
成る支持体と、絶縁膜と、研削、研磨後の半導体基板か
ら成る半導体層を得る、スマート・カット法 半導体基板の内部に酸素イオンをイオン注入した
後、熱処理を行うことによって、半導体基板の内部に絶
縁膜を形成し、絶縁膜の下に半導体基板の一部から成る
支持体を、また、絶縁膜の上に半導体基板の一部から成
る半導体層を、それぞれ得るSIMOX(Separation b
y IMplanted OXygen)法 支持体に相当する半導体基板上に形成された絶縁膜
上に気相又は固相で単結晶半導体層を形成することによ
って、半導体基板から成る支持体と、絶縁膜と、単結晶
半導体層から成る半導体層を得る方法 陽極酸化によって半導体基板の表面を部分的に多孔
質化して絶縁膜を形成することによって、絶縁膜の下に
半導体基板の一部から成る支持体を、また、絶縁膜の上
に半導体基板の一部から成る半導体層を、それぞれ得る
方法 を挙げることができる。
As a method for forming the SOI layer, a semiconductor substrate and a support substrate are bonded together via an insulating film, and then the semiconductor substrate is ground and polished from the back surface, whereby a support made of the support substrate, an insulating film, Substrate bonding method to obtain a semiconductor layer consisting of a semiconductor substrate after grinding and polishing. After forming an insulating film on the semiconductor substrate, implanting hydrogen ions into the semiconductor substrate and forming a peeling layer inside the semiconductor substrate. The substrate and the supporting substrate are bonded to each other with an insulating film interposed therebetween, and then the semiconductor substrate is separated (cleaved) from the separation layer by performing a heat treatment, and the remaining semiconductor substrate is ground and polished from the back surface, so that the substrate is separated from the supporting substrate. Smart cut method to obtain a semiconductor layer consisting of a support, an insulating film, and a semiconductor substrate after grinding and polishing. After that, a heat treatment is performed to form an insulating film inside the semiconductor substrate, a support consisting of a part of the semiconductor substrate below the insulating film, and a part of the semiconductor substrate above the insulating film. SIMOX (Separation b)
y IMplanted OXygen) method By forming a single crystal semiconductor layer in a gas phase or a solid phase on an insulating film formed on a semiconductor substrate corresponding to a support, a support consisting of a semiconductor substrate, an insulating film, A method of obtaining a semiconductor layer composed of a crystalline semiconductor layer By forming an insulating film by partially making the surface of the semiconductor substrate porous by anodic oxidation, a support comprising a part of the semiconductor substrate under the insulating film, And a method for obtaining a semiconductor layer composed of a part of a semiconductor substrate on an insulating film.

【0020】導電体層がゲート電極から構成されている
場合、ゲート電極は、少なくともポリシリコン層から構
成されていればよい。即ち、ゲート電極は、ポリシリコ
ン層1層から構成されていてもよいし、ポリシリコン層
とシリサイド層の2層構造(ポリサイド構造)であって
もよいし、ポリシリコン層とタングステン等の金属層の
2層構造であってもよい。導電体層が配線から構成され
ている場合、配線は、導電性のポリシリコン層、金属
層、合金層、金属化合物層、これらの積層構造から構成
することができる。
When the conductor layer is composed of a gate electrode, the gate electrode only needs to be composed of at least a polysilicon layer. That is, the gate electrode may be composed of one polysilicon layer, may have a two-layer structure (polycide structure) of a polysilicon layer and a silicide layer, or may have a polysilicon layer and a metal layer such as tungsten. It may have a two-layer structure. When the conductor layer is formed of a wiring, the wiring can be formed of a conductive polysilicon layer, a metal layer, an alloy layer, a metal compound layer, or a laminated structure of these.

【0021】第1の絶縁層を構成する材料として、比誘
電率εが比較的低い材料、酸化シリコン(SiO2)の
他、SOG(Spin On Glass)、PSG(PhosphoSilica
te Glass)、BPSG(Boro-PhosphoSilicate Glas
s)、BSG、AsSG、PbSG、SbSG、NS
G、LTO(Low Temperature Oxide、低温CVD−S
iO2)、HTO(High Temperature Oxide、高温CV
D−SiO2)、比誘電率が3.5以下の低誘電率絶縁
材料(例えば、ポリアリールエーテル、シクロパーフル
オロカーボンポリマー、ベンゾシクロブテン)、ポリイ
ミド等の有機高分子材料、あるいはこれらの材料を積層
したものを挙げることができる。
The first insulating layer is made of a material having a relatively low relative dielectric constant ε, silicon oxide (SiO 2 ), SOG (Spin On Glass), PSG (PhosphoSilica).
te Glass), BPSG (Boro-PhosphoSilicate Glas)
s), BSG, AsSG, PbSG, SbSG, NS
G, LTO (Low Temperature Oxide, Low Temperature CVD-S
iO 2 ), HTO (High Temperature Oxide, high temperature CV)
D-SiO 2 ), a low dielectric constant insulating material having a relative dielectric constant of 3.5 or less (for example, polyaryl ether, cycloperfluorocarbon polymer, benzocyclobutene), an organic polymer material such as polyimide, or a material such as these. Laminated ones can be mentioned.

【0022】第2の絶縁層の形成時、空隙を埋めないよ
うに導電体層上及び第1の絶縁層上に第2の絶縁層を形
成する必要があるので、第2の絶縁層をステップカバレ
ッジの低いCVD法で、言い換えれば、供給律速のCV
D法で、具体的には、常圧CVD法あるいはプラズマC
VD法にて製膜することが望ましい。第2の絶縁層を構
成する材料として、比誘電率εが比較的低い材料、酸化
シリコン(SiO2)の他、SOG(Spin On Glass)、
PSG(PhosphoSilicate Glass)、BPSG(Boro-Ph
osphoSilicate Glass)、BSG、AsSG、PbS
G、SbSG、NSG、LTO、比誘電率が3.5以下
の低誘電率絶縁材料(例えば、ポリアリールエーテル、
シクロパーフルオロカーボンポリマー、ベンゾシクロブ
テン)、ポリイミド等の有機高分子材料、あるいはこれ
らの材料を積層したものを挙げることができる。
When forming the second insulating layer, it is necessary to form the second insulating layer on the conductor layer and on the first insulating layer so as not to fill the voids. Low-coverage CVD method, in other words, supply-limited CV
D method, specifically, normal pressure CVD method or plasma C method
It is desirable to form a film by the VD method. As a material forming the second insulating layer, a material having a relatively low relative dielectric constant ε, silicon oxide (SiO 2 ), SOG (Spin On Glass),
PSG (PhosphoSilicate Glass), BPSG (Boro-Ph
osphoSilicate Glass), BSG, AsSG, PbS
G, SbSG, NSG, LTO, a low dielectric constant insulating material having a relative dielectric constant of 3.5 or less (for example, polyarylether,
Organic polymer materials such as cycloperfluorocarbon polymer, benzocyclobutene) and polyimide, or a laminate of these materials can be used.

【0023】サイドウオールは、最終的には半導体装置
から除去されてしまう。従って、例えばエッチング法に
てサイドウオールを除去し、第1の絶縁層と導電体層と
の間に空隙を形成する場合、第1の絶縁層を構成する材
料とサイドウオールを構成する材料との間にエッチング
選択比のある材料からサイドウオールを構成すればよ
い。具体的には、サイドウオールを構成する材料とし
て、窒化シリコン(SiN)やポリシリコンを挙げるこ
とができる。
The sidewalls are eventually removed from the semiconductor device. Therefore, when the sidewall is removed by, for example, an etching method to form a gap between the first insulating layer and the conductor layer, the material forming the first insulating layer and the material forming the sidewall are mixed. The sidewall may be made of a material having an etching selectivity therebetween. Specifically, as a material forming the sidewall, silicon nitride (SiN) or polysilicon can be given.

【0024】研磨ストップ層は、第1の絶縁層を構成す
る材料と研磨ストップ層を構成する材料との間に研磨選
択比のある材料から構成すればよい。具体的には、研磨
ストップ層を構成する材料として、窒化シリコン(Si
N)を挙げることができる。
The polishing stop layer may be made of a material having a polishing selectivity between the material forming the first insulating layer and the material forming the polishing stop layer. Specifically, as a material constituting the polishing stop layer, silicon nitride (Si
N).

【0025】エクステンション領域の不純物濃度は、ソ
ース/ドレイン領域の不純物濃度よりも低い場合もある
し、同等の場合もあるし、高い場合もある。要は、エク
ステンション領域の不純物濃度は、半導体装置に要求さ
れる特性に基づいて決定すればよい。エクステンション
領域の接合深さ(半導体層表面からエクステンション領
域底部までの深さ)は、ソース/ドレイン領域の接合深
さ(半導体層表面からソース/ドレイン領域底部までの
深さ)よりも浅いことが要求される。
The impurity concentration of the extension region may be lower, equal to, or higher than the impurity concentration of the source / drain region. In short, the impurity concentration of the extension region may be determined based on characteristics required for the semiconductor device. The junction depth of the extension region (the depth from the surface of the semiconductor layer to the bottom of the extension region) must be smaller than the junction depth of the source / drain region (the depth from the surface of the semiconductor layer to the bottom of the source / drain region). Is done.

【0026】本発明においては、第1の絶縁層と導電体
層の側壁との間に空隙(比誘電率εは約1)が形成され
ているので、導電体層の側壁と基体との間に生じる寄生
容量の値や、隣接する導電体層間の寄生容量の値を減少
させることができる。
In the present invention, since a gap (relative permittivity ε is about 1) is formed between the first insulating layer and the side wall of the conductor layer, the gap is formed between the side wall of the conductor layer and the base. And the value of the parasitic capacitance between adjacent conductor layers can be reduced.

【0027】[0027]

【発明の実施の形態】以下、図面を参照して、発明の実
施の形態(以下、実施の形態と略称する)に基づき本発
明を説明する。
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS The present invention will be described below with reference to the drawings based on embodiments of the present invention (hereinafter, abbreviated as embodiments).

【0028】(実施の形態1)実施の形態1は、本発明
の半導体装置及びその製造方法に関する。図5に模式的
な一部断面図を示すように、実施の形態1の半導体装置
は、基体10上に形成され、パターニングされた導電体
層15、及び、導電体層15上を含む基体10に形成さ
れた絶縁層を備えている。絶縁層は、第1の絶縁層31
と、第1の絶縁層31上に形成された第2の絶縁層33
とから成る。そして、第1の絶縁層31の厚さは、導電
体層15の厚さよりも厚く、第1の絶縁層31と導電体
層15の側壁との間に空隙32が形成されている。空隙
32の比誘電率εは約1である。
(Embodiment 1) Embodiment 1 relates to a semiconductor device of the present invention and a method of manufacturing the same. As shown in a schematic partial cross-sectional view in FIG. 5, the semiconductor device according to the first embodiment is formed on a base 10, and is formed by patterning a conductive layer 15 and a base 10 including the conductive layer 15. And an insulating layer formed on the substrate. The insulating layer is a first insulating layer 31
And a second insulating layer 33 formed on the first insulating layer 31
Consisting of The thickness of the first insulating layer 31 is larger than the thickness of the conductor layer 15, and a gap 32 is formed between the first insulating layer 31 and the side wall of the conductor layer 15. The relative permittivity ε of the gap 32 is about 1.

【0029】実施の形態1においては、基体を半導体層
(より具体的には、シリコン半導体基板10)とし、導
電体層を電界効果型トランジスタ(FET)におけるゲ
ート電極15とする。基体であるシリコン半導体基板1
0と導電体層であるゲート電極15との間には、ゲート
絶縁膜12が形成されている。また、ゲート電極15の
下方のシリコン半導体基板10の領域にはチャネル形成
領域24が形成され、チャネル形成領域24を挟んで、
シリコン半導体基板10にはソース/ドレイン領域23
が形成されている。更には、各ソース/ドレイン領域2
3とチャネル形成領域24との間に位置し、且つ、空隙
32の下方に位置するシリコン半導体基板10の領域に
は、エクステンション領域20が形成されている。ゲー
ト電極15は、不純物を含有するポリシリコン層13
と、シリサイド層14の2層から構成されたポリサイド
構造を有する。尚、参照番号11は素子分離領域、参照
番号16はオフセット酸化膜、参照番号34はコンタク
トホール、参照番号35は配線である。
In the first embodiment, the base is a semiconductor layer (more specifically, a silicon semiconductor substrate 10), and the conductor layer is a gate electrode 15 in a field effect transistor (FET). Silicon semiconductor substrate 1 as base
A gate insulating film 12 is formed between the gate electrode 15 and the gate electrode 15 which is a conductor layer. Further, a channel formation region 24 is formed in a region of the silicon semiconductor substrate 10 below the gate electrode 15, and the channel formation region 24 is interposed therebetween.
The source / drain regions 23 are formed in the silicon semiconductor substrate 10.
Are formed. Further, each source / drain region 2
An extension region 20 is formed in a region of the silicon semiconductor substrate 10 located between the channel formation region 3 and the channel formation region 24 and below the gap 32. The gate electrode 15 is formed of a polysilicon layer 13 containing impurities.
And a polycide structure composed of two layers of a silicide layer 14. Reference numeral 11 denotes an element isolation region, reference numeral 16 denotes an offset oxide film, reference numeral 34 denotes a contact hole, and reference numeral 35 denotes a wiring.

【0030】以下、図5に示した半導体装置の製造方法
を、図1〜図4を参照して説明する。
Hereinafter, a method of manufacturing the semiconductor device shown in FIG. 5 will be described with reference to FIGS.

【0031】[工程−100]先ず、基体であるシリコ
ン半導体基板10上に、パターニングされた導電体層で
あるゲート電極15を形成する。具体的には、先ず、シ
リコン半導体基板10に公知の方法でトレンチ構造を有
する素子分離領域11を形成し、次いでウエルイオン注
入、チャネルストップイオン注入、閾値調整イオン注入
を行う。尚、素子分離領域11は、LOCOS構造を有
していてもよいし、LOCOS構造とトレンチ構造の組
み合わせであってもよい。その後、シリコン半導体基板
10の表面を例えば熱酸化することによって厚さ約3.
5nmのゲート絶縁膜12を形成する(図1の(A)参
照)。次に、例えば厚さ70nmのポリシリコン層1
3、厚さ70nmのタングステンシリサイドから成るシ
リサイド層14を公知のCVD法に基づき全面に形成す
る。その後、イオン注入法に基づき、ポリシリコン層1
3に不純物を導入し(図1の(B)参照)、次いで、導
入された不純物の活性化のためにアニール処理を施す。
尚、nチャネル型の半導体装置(N−MOS)を形成す
るためのポリシリコン層13にはリン等のn型不純物を
導入し、pチャネル型の半導体装置(P−MOS)を形
成するためのポリシリコン層13にはボロン等のp型不
純物を導入する。場合によっては、予め不純物を含有す
るポリシリコン層13を形成してもよい。
[Step-100] First, a gate electrode 15 as a patterned conductor layer is formed on a silicon semiconductor substrate 10 as a base. Specifically, first, an element isolation region 11 having a trench structure is formed in the silicon semiconductor substrate 10 by a known method, and then well ion implantation, channel stop ion implantation, and threshold adjustment ion implantation are performed. Note that the element isolation region 11 may have a LOCOS structure or a combination of a LOCOS structure and a trench structure. Thereafter, the surface of the silicon semiconductor substrate 10 is subjected to, for example, thermal oxidation to a thickness of about
A gate insulating film 12 of 5 nm is formed (see FIG. 1A). Next, for example, a polysilicon layer 1 having a thickness of 70 nm
3. A silicide layer 14 made of tungsten silicide having a thickness of 70 nm is formed on the entire surface by a known CVD method. Then, the polysilicon layer 1 is formed based on the ion implantation method.
Impurities are introduced into 3 (see FIG. 1B), and then an annealing process is performed to activate the introduced impurities.
Note that an n-type impurity such as phosphorus is introduced into the polysilicon layer 13 for forming an n-channel type semiconductor device (N-MOS) to form a p-channel type semiconductor device (P-MOS). A p-type impurity such as boron is introduced into the polysilicon layer 13. In some cases, the polysilicon layer 13 containing impurities may be formed in advance.

【0032】その後、厚さ約0.15μmの酸化シリコ
ン(SiO2)から成るオフセット酸化膜16を全面に
CVD法にて堆積させ、次いで、オフセット酸化膜16
上にCVD法にて厚さ約0.1μmの窒化シリコン(S
iN)から成る研磨ストップ層17を堆積させる。オフ
セット酸化膜16は、後の工程でシリコン半導体基板1
0にソース/ドレイン領域を形成するとき、ポリシリコ
ン層13に不純物が導入されることを防止するために形
成する。その後、研磨ストップ層17、オフセット酸化
膜16、シリサイド層14及び不純物が導入されたポリ
シリコン層13をリソグラフィ技術及びドライエッチン
グ技術に基づきパターニングする。こうして、不純物が
導入されたポリシリコン層13とタングステンシリサイ
ドから成るシリサイド層14が積層されたポリサイド構
造を有するゲート電極15を得ることができる(図1の
(C)参照)。ゲート電極15の上には、オフセット酸
化膜16及び研磨ストップ層17が形成されている。
Thereafter, an offset oxide film 16 made of silicon oxide (SiO 2 ) having a thickness of about 0.15 μm is deposited on the entire surface by the CVD method.
A silicon nitride (S) having a thickness of about 0.1 μm
A polishing stop layer 17 of iN) is deposited. The offset oxide film 16 is used for the silicon semiconductor substrate 1 in a later step.
When the source / drain regions are formed at 0, they are formed to prevent impurities from being introduced into the polysilicon layer 13. Thereafter, the polishing stop layer 17, the offset oxide film 16, the silicide layer 14, and the doped polysilicon layer 13 are patterned based on the lithography technique and the dry etching technique. Thus, a gate electrode 15 having a polycide structure in which the polysilicon layer 13 into which the impurities are introduced and the silicide layer 14 made of tungsten silicide can be obtained (see FIG. 1C). An offset oxide film 16 and a polishing stop layer 17 are formed on the gate electrode 15.

【0033】[工程−110]次に、半導体層であるシ
リコン半導体基板10に不純物をイオン注入法にて導入
する第1回目の不純物導入工程を実行し、エクステンシ
ョン領域20を形成する(図2の(A)参照)。具体的
には、例えば、CMOSを製造する場合には、pチャネ
ル型半導体装置を形成すべきシリコン半導体基板10の
領域をレジスト材料(図示せず)で被覆し、n型不純物
であるヒ素(As)をシリコン半導体基板10にイオン
注入する。次いで、レジスト材料を除去し、nチャネル
型半導体装置を形成すべきシリコン半導体基板10の領
域をレジスト材料(図示せず)で被覆し、p型不純物で
あるホウ素(B)をシリコン半導体基板10にイオン注
入した後、レジスト材料を除去する。その後、導入され
た不純物の活性化熱処理をRTA(Rapid Thermal Anne
aling)法にて行う。
[Step-110] Next, a first impurity introduction step of introducing an impurity into the silicon semiconductor substrate 10 as a semiconductor layer by an ion implantation method is executed to form an extension region 20 (FIG. 2). (A)). Specifically, for example, when manufacturing a CMOS, a region of the silicon semiconductor substrate 10 on which a p-channel semiconductor device is to be formed is covered with a resist material (not shown), and arsenic (As) which is an n-type impurity is formed. ) Is ion-implanted into the silicon semiconductor substrate 10. Next, the resist material is removed, a region of the silicon semiconductor substrate 10 where an n-channel type semiconductor device is to be formed is covered with a resist material (not shown), and boron (B) as a p-type impurity is applied to the silicon semiconductor substrate 10. After the ion implantation, the resist material is removed. Thereafter, activation heat treatment for the introduced impurities is performed by RTA (Rapid Thermal Anneal).
aling) method.

【0034】[工程−120]その後、必須ではない
が、厚さ約10nmの酸化シリコン膜21を全面にLP
−CVD法にて製膜することが望ましい。酸化シリコン
膜21を形成することによって、サイドウオールを形成
するときに、シリコン半導体基板10に損傷が発生する
ことを防止することができる。また、酸化シリコン膜2
1をゲート電極15の側壁に最終的に残しておけば、ゲ
ート電極15を構成するポリシリコン層13に変質等が
生じることを防止し得る。酸化シリコン膜21の製膜条
件を、以下の表1に例示する。
[Step-120] Thereafter, although not essential, a silicon oxide film 21 having a thickness of about 10 nm is
-It is desirable to form a film by a CVD method. By forming the silicon oxide film 21, it is possible to prevent the silicon semiconductor substrate 10 from being damaged when the sidewall is formed. In addition, the silicon oxide film 2
If 1 is finally left on the side wall of the gate electrode 15, the polysilicon layer 13 forming the gate electrode 15 can be prevented from being altered or the like. The conditions for forming the silicon oxide film 21 are exemplified in Table 1 below.

【0035】[表1] 使用ガス:TEOS=300sccm 圧力 :93Pa 基板温度:700゜C[Table 1] Gas used: TEOS = 300 sccm Pressure: 93 Pa Substrate temperature: 700 ° C.

【0036】次に、酸化シリコン膜21上に厚さ約0.
1μmの窒化シリコン層を堆積させる。窒化シリコン層
の製膜条件を、以下の表2に例示する。その後、窒化シ
リコン層を、以下の表3に例示する条件のエッチバック
法にて選択的に除去し、導電体層であるゲート電極15
並びにオフセット酸化膜16及び研磨ストップ層17の
側壁に、自己整合的にサイドウオール22を形成する
(図2の(B)参照)。サイドウオール22の下部にお
ける厚さ(シリコン半導体基板10の表面と平行な方向
における厚さ)を約0.1μm、サイドウオール22の
高さ(ゲート電極15の側壁に沿った高さ)を約0.3
μmとした。尚、シリコン半導体基板10の表面にも酸
化シリコン膜21が形成されているので、窒化シリコン
層のエッチバック時、シリコン半導体基板10に損傷が
発生することを防止することができる。
Next, on the silicon oxide film 21, a thickness of about 0.
Deposit a 1 μm layer of silicon nitride. Table 2 below shows examples of conditions for forming the silicon nitride layer. Thereafter, the silicon nitride layer is selectively removed by an etch-back method under the conditions exemplified in Table 3 below, and the gate electrode 15 serving as a conductor layer is removed.
In addition, sidewalls 22 are formed on the side walls of the offset oxide film 16 and the polishing stop layer 17 in a self-aligned manner (see FIG. 2B). The thickness at the lower portion of the sidewall 22 (the thickness in the direction parallel to the surface of the silicon semiconductor substrate 10) is about 0.1 μm, and the height of the sidewall 22 (the height along the side wall of the gate electrode 15) is about 0 μm. .3
μm. In addition, since the silicon oxide film 21 is also formed on the surface of the silicon semiconductor substrate 10, it is possible to prevent the silicon semiconductor substrate 10 from being damaged when the silicon nitride layer is etched back.

【0037】[表2] 窒化シリコン層の製膜条件 使用ガス:SiH2Cl2/NH3=50/500sccm 圧力 :35Pa 基板温度:760゜C[Table 2] Film forming conditions for silicon nitride layer Gas used: SiH 2 Cl 2 / NH 3 = 50/500 sccm Pressure: 35 Pa Substrate temperature: 760 ° C

【0038】[表3] 窒化シリコン層のエッチバック条件 使用ガス :CF4/Ar=50/950sccm 圧力 :105Pa 基板温度 :0゜C RFパワー:200W[Table 3] Etch-back conditions for silicon nitride layer Gas used: CF 4 / Ar = 50/950 sccm Pressure: 105 Pa Substrate temperature: 0 ° C. RF power: 200 W

【0039】[工程−130]その後、半導体層である
シリコン半導体基板10に不純物を導入する第2回目の
不純物導入工程を実行し、ゲート電極15の下方のシリ
コン半導体基板10の領域にチャネル形成領域24を形
成し、チャネル形成領域24を挟んで、シリコン半導体
基板10にソース/ドレイン領域23を形成する(図2
の(C)参照)。エクステンション領域20は、各ソー
ス/ドレイン領域23とチャネル形成領域24との間に
位置し、且つ、サイドウオール22の下方に位置するシ
リコン半導体基板10の領域に形成されている。具体的
には、例えば、CMOSを製造する場合には、pチャネ
ル型半導体装置を形成すべきシリコン半導体基板10の
領域をレジスト材料(図示せず)で被覆し、n型不純物
であるヒ素(As)をシリコン半導体基板10にイオン
注入する。次いで、レジスト材料を除去し、nチャネル
型半導体装置を形成すべきシリコン半導体基板10の領
域をレジスト材料(図示せず)で被覆し、p型不純物で
あるホウ素(B)をシリコン半導体基板10にイオン注
入した後、レジスト材料を除去する。その後、導入され
た不純物の活性化熱処理をRTA法にて行う。
[Step-130] Thereafter, a second impurity introduction step of introducing an impurity into the silicon semiconductor substrate 10 as a semiconductor layer is performed, and a channel formation region is formed in a region of the silicon semiconductor substrate 10 below the gate electrode 15. 24, and the source / drain regions 23 are formed in the silicon semiconductor substrate 10 with the channel formation region 24 interposed therebetween.
(C)). The extension region 20 is formed between the source / drain regions 23 and the channel forming region 24 and in a region of the silicon semiconductor substrate 10 located below the sidewall 22. Specifically, for example, when manufacturing a CMOS, a region of the silicon semiconductor substrate 10 on which a p-channel semiconductor device is to be formed is covered with a resist material (not shown), and arsenic (As) which is an n-type impurity is formed. ) Is ion-implanted into the silicon semiconductor substrate 10. Next, the resist material is removed, a region of the silicon semiconductor substrate 10 where an n-channel type semiconductor device is to be formed is covered with a resist material (not shown), and boron (B) as a p-type impurity is applied to the silicon semiconductor substrate 10. After the ion implantation, the resist material is removed. After that, heat treatment for activating the introduced impurities is performed by the RTA method.

【0040】[工程−140]その後、サイドウオール
22の頂部が露出した第1の絶縁層31を全面に形成す
る。具体的には、酸化シリコン(SiO2)から成る第
1の絶縁層31をCVD法にて全面に形成した後(図3
の(A)参照)、サイドウオール22の頂部が露出する
まで、第1の絶縁層をCMP法にて研磨する(図3の
(B)参照)。研磨ストップ層17が形成されているの
で、第1の絶縁層31の過剰な研磨を抑制することがで
きる。サイドウオール22の露出した頂部の幅は約0.
1μmである。
[Step-140] After that, the first insulating layer 31 with the top of the sidewall 22 exposed is formed on the entire surface. More specifically, after a first insulating layer 31 made of silicon oxide (SiO 2 ) is formed on the entire surface by a CVD method (FIG. 3).
(A), and the first insulating layer is polished by the CMP method until the top of the sidewall 22 is exposed (see FIG. 3B). Since the polishing stop layer 17 is formed, excessive polishing of the first insulating layer 31 can be suppressed. The width of the exposed top of the side wall 22 is about 0.
1 μm.

【0041】[工程−150]次いで、サイドウオール
22を除去し、第1の絶縁層31と導電体層であるゲー
ト電極15との間に空隙32を形成する(図4の(A)
参照)。具体的には、窒化シリコン(SiN)から構成
されたサイドウオール22及び研磨ストップ層17を、
以下の表4に例示するウエットエッチング法に基づき除
去する。酸化シリコンと窒化シリコンとの間には高いエ
ッチング選択比があるので、第1の絶縁層31は殆どエ
ッチングされることがない。場合によっては、サイドウ
オール22を除去した後、露出した酸化シリコン膜21
をフッ酸系の溶液を用いて除去してもよい。このとき、
第1の絶縁層31も若干エッチングされるが、問題とな
るようなエッチング量ではない。
[Step-150] Next, the sidewalls 22 are removed, and a gap 32 is formed between the first insulating layer 31 and the gate electrode 15 which is a conductor layer (FIG. 4A).
reference). Specifically, the sidewall 22 and the polishing stop layer 17 made of silicon nitride (SiN) are
It is removed based on the wet etching method exemplified in Table 4 below. Since there is a high etching selectivity between silicon oxide and silicon nitride, the first insulating layer 31 is hardly etched. In some cases, after removing the sidewalls 22, the exposed silicon oxide film 21 is removed.
May be removed using a hydrofluoric acid-based solution. At this time,
Although the first insulating layer 31 is also slightly etched, the etching amount is not a problematic amount.

【0042】[表4] 使用溶液:熱リン酸液 温度 :155゜C 処理時間:80分[Table 4] Solution used: Hot phosphoric acid solution Temperature: 155 ° C Processing time: 80 minutes

【0043】[工程−160]その後、空隙32を埋め
ないように、導電体層であるゲート電極15上及び第1
の絶縁層31上に第2の絶縁層33を形成する(図4の
(B)参照)。具体的には、PSGから成る第2の絶縁
層33を、ステップカバレッジの低いCVD法で、言い
換えれば、供給律速のCVD法で、具体的には、以下の
表5に例示する常圧CVD法にて製膜する。空隙32の
頂部の幅は約0.1μmであること、及び、常圧CVD
法にて第2の絶縁層33を形成するので、空隙が第2の
絶縁層33によって埋め込まれることはない。
[Step-160] After that, the gate electrode 15 and the first
A second insulating layer 33 is formed on the insulating layer 31 (see FIG. 4B). Specifically, the second insulating layer 33 made of PSG is formed by a CVD method having a low step coverage, in other words, by a supply-controlled CVD method, specifically, a normal pressure CVD method exemplified in Table 5 below. The film is formed. The width of the top of the gap 32 is about 0.1 μm, and normal pressure CVD
Since the second insulating layer 33 is formed by the method, the void is not filled with the second insulating layer 33.

【0044】[表5] 使用ガス:SiH4/PH3/O2/N2=35/2.8/
670/22000sccm 圧力 :大気圧 基板温度:390゜C
[Table 5] Gas used: SiH 4 / PH 3 / O 2 / N 2 = 35 / 2.8 /
670 / 22000sccm Pressure: Atmospheric pressure Substrate temperature: 390 ° C

【0045】[工程−170]その後、ソース/ドレイ
ン領域23の上方の第2の絶縁層33及び第1の絶縁層
31に開口部を形成し、開口部内を含む第2の絶縁層3
3上に配線材料層を形成し、かかる配線材料層をパター
ニングすることによって、第2の絶縁層33上に配線3
5を形成し、開口部内にコンタクトホール34を形成す
る。尚、開口部内に、ポリシリコンや金属、金属化合物
のコンタクトプラグをCVD法及びCMP法、あるいは
CVD法及びエッチバック法にて形成し、次いで、第2
の絶縁層33上に配線35を形成してもよい。こうし
て、図5に示した構造を有する半導体装置を得ることが
できる。
[Step-170] Thereafter, an opening is formed in the second insulating layer 33 and the first insulating layer 31 above the source / drain region 23, and the second insulating layer 3 including the inside of the opening is formed.
3 is formed on the second insulating layer 33 by patterning the wiring material layer.
5, and a contact hole 34 is formed in the opening. In the opening, a contact plug of polysilicon, metal, or metal compound is formed by a CVD method and a CMP method, or a CVD method and an etch-back method.
The wiring 35 may be formed on the insulating layer 33. Thus, a semiconductor device having the structure shown in FIG. 5 can be obtained.

【0046】(実施の形態2)実施の形態2は、実施の
形態1の変形である。図7の(B)に模式的な一部断面
図を示すように、実施の形態2の半導体装置は、基体1
10上に形成され、パターニングされた導電体層11
5、及び、導電体層115上を含む基体110に形成さ
れた絶縁層を備えている。絶縁層は、第1の絶縁層13
1と、第1の絶縁層131上に形成された第2の絶縁層
133とから成る。そして、第1の絶縁層131の厚さ
は、導電体層115の厚さと略等しく、第1の絶縁層1
31と導電体層115の側壁との間に空隙132が形成
されている。空隙132の比誘電率εは約1である。実
施の形態2においては、基体をシリコン半導体基板(図
示せず)上に形成された絶縁材料層110とし、導電体
層を配線115とした。
(Embodiment 2) Embodiment 2 is a modification of Embodiment 1. As shown in a schematic partial cross-sectional view in FIG. 7B, the semiconductor device according to the second embodiment includes a substrate 1
Conductive layer 11 formed on and patterned on 10
5 and an insulating layer formed on the base 110 including the conductor layer 115. The insulating layer is a first insulating layer 13
1 and a second insulating layer 133 formed on the first insulating layer 131. The thickness of the first insulating layer 131 is substantially equal to the thickness of the conductor layer 115,
A gap 132 is formed between 31 and the side wall of conductor layer 115. The relative permittivity ε of the void 132 is about 1. In the second embodiment, the base is the insulating material layer 110 formed on the silicon semiconductor substrate (not shown), and the conductor layer is the wiring 115.

【0047】以下、図7の(B)に示した半導体装置の
製造方法を、図6及び図7を参照して説明する。
Hereinafter, a method of manufacturing the semiconductor device shown in FIG. 7B will be described with reference to FIGS.

【0048】[工程−200]先ず、基体である絶縁材
料層110上に、TiN層/Al−Cu層/TiN層/
Ti層(=50/400/20/20nm)の積層構成
を有する配線(導電体層)115を、スパッタ法、リソ
グラフィ技術及びドライエッチング技術に基づき形成す
る(図6の(A)参照)。ここで、Ti層が最下層であ
る。尚、図においては、配線115を1層で表した。
[Step-200] First, a TiN layer / Al—Cu layer / TiN layer /
A wiring (conductor layer) 115 having a stacked structure of a Ti layer (= 50/400/20/20 nm) is formed based on a sputtering method, a lithography technique, and a dry etching technique (see FIG. 6A). Here, the Ti layer is the lowermost layer. In the drawing, the wiring 115 is represented by one layer.

【0049】[工程−210]その後、配線保護のため
に全面に薄い(例えば厚さ約10nm)SiO2膜(図
示せず)を形成し、次いで、このSiO2膜上に厚さ約
0.1μmの窒化シリコン層を堆積させる。プラズマC
VD法による窒化シリコン層の製膜条件を、以下の表6
に例示する。その後、窒化シリコン層を、表3に例示し
たと同様の条件のエッチバック法にて選択的に除去し、
導電体層である配線115の側壁に、自己整合的にサイ
ドウオール122を形成する(図6の(B)参照)。サ
イドウオール22の下部における厚さ(絶縁材料層11
0の表面と平行な方向における厚さ)を約0.1μm、
サイドウオール122の高さ(配線115の側壁に沿っ
た高さ)を約0.5μmとした。
[Step-210] Thereafter, a thin (for example, about 10 nm thick) SiO 2 film (not shown) is formed on the entire surface to protect the wiring, and then a thickness of about 0.1 nm is formed on the SiO 2 film. Deposit a 1 μm layer of silicon nitride. Plasma C
Table 6 shows the conditions for forming the silicon nitride layer by the VD method.
An example is shown below. Thereafter, the silicon nitride layer was selectively removed by an etch-back method under the same conditions as illustrated in Table 3,
A sidewall 122 is formed in a self-aligning manner on the side wall of the wiring 115 which is a conductor layer (see FIG. 6B). The thickness at the lower portion of the sidewall 22 (the insulating material layer 11
0 in the direction parallel to the surface) is about 0.1 μm,
The height of the sidewall 122 (height along the side wall of the wiring 115) was set to about 0.5 μm.

【0050】[表6] 窒化シリコン層の製膜条件 使用ガス :SiH4/NH3/N2=290/90/4
000sccm 圧力 :500Pa RFパワー:700W 基板温度 :400゜C
[Table 6] Film forming conditions of silicon nitride layer Gas used: SiH 4 / NH 3 / N 2 = 290/90/4
000sccm Pressure: 500Pa RF Power: 700W Substrate Temperature: 400 ° C

【0051】[工程−220]その後、サイドウオール
122の頂部が露出した第1の絶縁層131を全面に形
成する。具体的には、酸化シリコン(SiO2)から成
る第1の絶縁層131をCVD法にて全面に形成した
後、サイドウオール122の頂部が露出するまで、第1
の絶縁層131をCMP法にて研磨する(図6の(C)
参照)。サイドウオール122の露出した頂部の幅は約
0.1μmである。
[Step-220] After that, the first insulating layer 131 in which the top of the sidewall 122 is exposed is formed on the entire surface. Specifically, after the first insulating layer 131 made of silicon oxide (SiO 2 ) is formed on the entire surface by the CVD method, the first insulating layer 131 is kept until the top of the sidewall 122 is exposed.
Is polished by the CMP method (FIG. 6C).
reference). The width of the exposed top of the sidewall 122 is about 0.1 μm.

【0052】[工程−230]次いで、サイドウオール
122を除去し、第1の絶縁層131と導電体層である
配線115との間に空隙132を形成する(図7の
(A)参照)。具体的には、窒化シリコン(SiN)か
ら構成されたサイドウオール122を、表4に例示した
ウエットエッチング法に基づき除去する。酸化シリコン
と窒化シリコンとの間には高いエッチング選択比がある
ので、第1の絶縁層131は殆どエッチングされること
がない。
[Step-230] Next, the sidewall 122 is removed, and a gap 132 is formed between the first insulating layer 131 and the wiring 115 serving as a conductor layer (see FIG. 7A). Specifically, the sidewall 122 made of silicon nitride (SiN) is removed based on the wet etching method illustrated in Table 4. Since there is a high etching selectivity between silicon oxide and silicon nitride, the first insulating layer 131 is hardly etched.

【0053】[工程−240]その後、空隙132を埋
めないように、導電体層である配線115上及び第1の
絶縁層131上に第2の絶縁層133を形成する(図7
の(B)参照)。具体的には、PSGから成る第2の絶
縁層133を、ステップカバレッジの低いCVD法で、
言い換えれば、供給律速のCVD法で、具体的には、表
5に例示した常圧CVD法にて製膜する。空隙132の
頂部の幅は約0.1μmであること、及び、常圧CVD
法にて第2の絶縁層133を形成するので、空隙が第2
の絶縁層133によって埋め込まれることはない。
[Step-240] After that, a second insulating layer 133 is formed on the wiring 115 serving as a conductor layer and on the first insulating layer 131 so as not to fill the void 132 (FIG. 7).
(B)). Specifically, the second insulating layer 133 made of PSG is formed by a low step coverage CVD method.
In other words, the film is formed by the supply-limited CVD method, specifically, the normal pressure CVD method exemplified in Table 5. The width of the top of the gap 132 is about 0.1 μm, and normal pressure CVD
Since the second insulating layer 133 is formed by the method,
Is not buried by the insulating layer 133.

【0054】以上、本発明を、実施の形態に基づき説明
したが、本発明はこれらに限定されるものではない。実
施の形態にて説明した半導体装置の構造、製造条件、使
用した材料は例示であり、適宜変更することができる。
Although the present invention has been described based on the embodiments, the present invention is not limited to these embodiments. The structure, manufacturing conditions, and materials used of the semiconductor device described in the embodiment are merely examples, and can be changed as appropriate.

【0055】実施の形態1にて、ソース/ドレイン領域
及びエクステンション領域をシリコン半導体基板に形成
したが、[工程−110]において、エクステンション
領域を形成する代わりに、ソース/ドレイン領域を形成
し、[工程−130]を省略した構造を有する半導体装
置を製造することもできる。これによって、ゲート電極
の下方の半導体層の領域にチャネル形成領域が形成さ
れ、チャネル形成領域を挟んで、半導体層にソース/ド
レイン領域が形成された、所謂シングル・ドレイン構造
を有する半導体装置を製造することができる。
In the first embodiment, the source / drain region and the extension region are formed on the silicon semiconductor substrate. In [Step-110], instead of forming the extension region, the source / drain region is formed. A semiconductor device having a structure in which [Step-130] is omitted can also be manufactured. Accordingly, a semiconductor device having a so-called single-drain structure in which a channel formation region is formed in a region of the semiconductor layer below the gate electrode and source / drain regions are formed in the semiconductor layer with the channel formation region interposed therebetween. can do.

【0056】ゲート電極の構造はポリサイド構造に限定
されず、ポリシリコン層単層構造、ポリシリコン層と金
属層(例えば、タングステン層)の2層構造とすること
もできる。ポリシリコン層と金属化合物層(例えば、W
N層)と金属層(例えば、タングステン層)の3層構造
とすることもできる。オフセット酸化膜16や研磨スト
ップ層17の形成、酸化シリコン膜21の形成は必須で
はなく、適宜省略することが可能である。
The structure of the gate electrode is not limited to the polycide structure, but may be a single-layer structure of a polysilicon layer or a two-layer structure of a polysilicon layer and a metal layer (for example, a tungsten layer). A polysilicon layer and a metal compound layer (for example, W
It may have a three-layer structure of an N layer) and a metal layer (for example, a tungsten layer). The formation of the offset oxide film 16 and the polishing stop layer 17 and the formation of the silicon oxide film 21 are not essential and can be omitted as appropriate.

【0057】実施の形態においては、サイドウオール2
2,122を1層の窒化シリコン層から構成したが、そ
の代わりに、導電体層側から、酸化シリコン層、ポリシ
リコン層の2層構成、酸化シリコン層、窒化シリコン層
の2層構成とすることもできる。これらの場合、[工程
−150]において、ポリシリコン層あるいは窒化シリ
コン層から構成されたサイドウオールの部分を除去すれ
ばよい。
In the embodiment, the sidewall 2
2, 122 is composed of a single silicon nitride layer, but instead has a two-layer configuration of a silicon oxide layer and a polysilicon layer, and a two-layer configuration of a silicon oxide layer and a silicon nitride layer from the conductor layer side. You can also. In these cases, in [Step-150], the sidewall portion formed of the polysilicon layer or the silicon nitride layer may be removed.

【0058】[0058]

【発明の効果】本発明の半導体装置にあっては、第1の
絶縁層と導電体層の側壁との間に空隙(比誘電率ε:約
1)が形成されているので、例えば、ゲート電極の側壁
とソース/ドレイン領域との間に生じる寄生容量である
フリンジ容量の値を小さくすることができるし、ゲート
電極とコンタクトホールとの間に生じる寄生容量も小さ
くすることができる。あるいは又、例えば、配線間の寄
生容量を減少させることができる。
According to the semiconductor device of the present invention, a gap (relative permittivity ε: about 1) is formed between the first insulating layer and the side wall of the conductor layer. The value of the fringe capacitance, which is the parasitic capacitance generated between the side wall of the electrode and the source / drain region, can be reduced, and the parasitic capacitance generated between the gate electrode and the contact hole can be reduced. Alternatively, for example, the parasitic capacitance between wirings can be reduced.

【0059】本発明の半導体装置の製造方法にあって
は、プロセスの途中で窒化シリコン等の寸法制御性の良
い材料からサイドウオールを形成し、かかるサイドウオ
ールを除去するので、確実に、且つ、所望の形状、寸法
を有する空隙を形成することができる。
In the method of manufacturing a semiconductor device according to the present invention, a sidewall is formed from a material having good dimensional control such as silicon nitride during the process, and the sidewall is removed. A void having a desired shape and dimensions can be formed.

【0060】以上の結果として、例えば、チャネル形成
領域近傍のシリコン半導体基板における不純物濃度プロ
ファイルを高い精度にて制御しつつ、短チャネル効果を
抑制し、しかも、駆動能力に優れた、低寄生容量の半導
体装置を得ることができ、半導体装置の高速化、低消費
電力化が可能となる。
As a result of the above, for example, while controlling the impurity concentration profile in the silicon semiconductor substrate in the vicinity of the channel formation region with high accuracy, the short channel effect is suppressed, and the driving capability is excellent and the low parasitic capacitance is excellent. A semiconductor device can be obtained, and high speed and low power consumption of the semiconductor device can be achieved.

【図面の簡単な説明】[Brief description of the drawings]

【図1】発明の実施の形態1の半導体装置の製造方法を
説明するためのシリコン半導体基板等の模式的な一部断
面図である。
FIG. 1 is a schematic partial cross-sectional view of a silicon semiconductor substrate and the like for describing a method of manufacturing a semiconductor device according to a first embodiment of the present invention;

【図2】図1に引き続き、発明の実施の形態1の半導体
装置の製造方法を説明するためのシリコン半導体基板等
の模式的な一部断面図である。
FIG. 2 is a schematic partial cross-sectional view of a silicon semiconductor substrate and the like for explaining the method for manufacturing the semiconductor device of the first embodiment of the invention, following FIG. 1;

【図3】図2に引き続き、発明の実施の形態1の半導体
装置の製造方法を説明するためのシリコン半導体基板等
の模式的な一部断面図である。
FIG. 3 is a schematic partial cross-sectional view of the silicon semiconductor substrate and the like for explaining the method for manufacturing the semiconductor device of the first embodiment of the invention, following FIG. 2;

【図4】図3に引き続き、発明の実施の形態1の半導体
装置の製造方法を説明するためのシリコン半導体基板等
の模式的な一部断面図である。
FIG. 4 is a schematic partial cross-sectional view of a silicon semiconductor substrate and the like for explaining the method for manufacturing the semiconductor device of the first embodiment of the invention, following FIG. 3;

【図5】図4に引き続き、発明の実施の形態1の半導体
装置の製造方法を説明するためのシリコン半導体基板等
の模式的な一部断面図である。
FIG. 5 is a schematic partial cross-sectional view of the silicon semiconductor substrate and the like for explaining the method for manufacturing the semiconductor device of the first embodiment of the invention, following FIG. 4;

【図6】発明の実施の形態2の半導体装置の製造方法を
説明するためのシリコン半導体基板等の模式的な一部断
面図である。
FIG. 6 is a schematic partial cross-sectional view of a silicon semiconductor substrate or the like for describing a method of manufacturing a semiconductor device according to a second embodiment of the present invention.

【図7】図6に引き続き、発明の実施の形態2の半導体
装置の製造方法を説明するためのシリコン半導体基板等
の模式的な一部断面図である。
FIG. 7 is a schematic partial cross-sectional view of a silicon semiconductor substrate and the like for explaining the method for manufacturing the semiconductor device of the second embodiment of the invention, following FIG. 6;

【図8】従来の半導体装置の模式的な一部断面図、及
び、従来の半導体装置における問題点を説明するための
半導体装置の一部を拡大した模式図である。
FIG. 8 is a schematic partial cross-sectional view of a conventional semiconductor device and an enlarged schematic diagram of a part of the semiconductor device for describing a problem in the conventional semiconductor device.

【符号の説明】[Explanation of symbols]

10・・・シリコン半導体基板、11・・・素子分離領
域、12・・・ゲート絶縁膜、13・・・ポリシリコン
層、14・・・シリサイド層、15・・・ゲート電極、
16・・・オフセット酸化膜、17・・・研磨ストップ
層、20・・・エクステンション領域、21・・・酸化
シリコン膜、22・・・サイドウオール、23・・・ソ
ース/ドレイン領域、24・・・チャネル形成領域、3
1・・・第1の絶縁層、32・・・空隙、33・・・第
2の絶縁層、34・・・コンタクトホール、35・・・
配線、110・・・絶縁材料層、115・・・配線、1
22・・・サイドウオール、131・・・第1の絶縁
層、132・・・空隙、133・・・第2の絶縁層
DESCRIPTION OF SYMBOLS 10 ... Silicon semiconductor substrate, 11 ... Element isolation region, 12 ... Gate insulating film, 13 ... Polysilicon layer, 14 ... Silicide layer, 15 ... Gate electrode,
16 ... offset oxide film, 17 ... polishing stop layer, 20 ... extension region, 21 ... silicon oxide film, 22 ... side wall, 23 ... source / drain region, 24 ... .Channel forming region, 3
DESCRIPTION OF SYMBOLS 1 ... 1st insulating layer, 32 ... air gap, 33 ... 2nd insulating layer, 34 ... contact hole, 35 ...
Wiring, 110: insulating material layer, 115: wiring, 1
22 ... side wall, 131 ... first insulating layer, 132 ... void, 133 ... second insulating layer

───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.7 識別記号 FI テーマコート゛(参考) H01L 27/092 H01L 29/78 621 29/786 Fターム(参考) 5F032 AA09 AA13 AA35 AC01 BB01 CA17 CA20 5F033 HH09 HH18 HH33 MM08 PP15 QQ08 QQ09 QQ10 QQ11 QQ12 QQ19 QQ25 QQ35 QQ48 QQ59 QQ65 QQ73 RR04 RR30 SS03 SS04 SS13 SS15 TT02 TT08 VV06 XX24 5F040 DA00 DA01 DA02 DA11 DB03 DC01 EB12 EC01 EC02 EC04 EC07 EC12 EC13 EF02 EH03 EH05 EH08 EJ04 EK01 EK05 FA03 FA04 FA05 FA12 FA16 FA17 FA18 FA19 FB02 FC00 FC22 5F048 AA08 AC03 BA01 BA16 BB06 BB07 BB08 BB09 BB12 BB13 BC06 BF03 BF16 BG12 BG14 DA18 DA19 DA20 DA21 DA24 DA25 DA30 5F110 AA02 BB04 CC02 DD05 DD13 DD24 EE01 EE04 EE05 EE09 EE14 EE15 EE33 EE45 EE50 FF02 FF23 GG01 GG02 GG12 HJ01 HJ13 HJ23 HL08 HL24 HM15 NN13 NN14 NN15 NN23 NN24 NN35 NN66 QQ04 QQ05 QQ17 QQ19 ──────────────────────────────────────────────────続 き Continued on the front page (51) Int.Cl. 7 Identification symbol FI Theme coat ゛ (Reference) H01L 27/092 H01L 29/78 621 29/786 F-term (Reference) 5F032 AA09 AA13 AA35 AC01 BB01 CA17 CA20 5F033 HH09 HH18 HH33 MM08 PP15 QQ08 QQ09 QQ10 QQ11 QQ12 QQ19 QQ25 QQ35 QQ48 QQ59 QQ65 QQ73 RR04 RR30 SS03 SS04 SS13 SS15 TT02 TT08 VV06 XX24 5F040 DA00 DA01 DA02 DA11 DB03 DC01 EB12 EC03 EC04 EC04 EC03 EC04 EC04 EC04 FA12 FA16 FA17 FA18 FA19 FB02 FC00 FC22 5F048 AA08 AC03 BA01 BA16 BB06 BB07 BB08 BB09 BB12 BB13 BC06 BF03 BF16 BG12 BG14 DA18 DA19 DA20 DA21 DA24 DA25 DA30 5F110 AA02 BB04 CC02 DD05 DD13 EE05 EE03 EE01 EE01 EE50 GG02 GG12 HJ01 HJ13 HJ23 HL08 HL24 HM15 NN13 NN14 NN15 NN23 NN24 NN35 NN66 QQ04 QQ05 QQ17 QQ19

Claims (9)

【特許請求の範囲】[Claims] 【請求項1】(イ)基体上に形成され、パターニングさ
れた導電体層、及び、 (ロ)該導電体層上を含む基体に形成された絶縁層、を
備えた半導体装置であって、 絶縁層は、第1の絶縁層と、該第1の絶縁層上に形成さ
れた第2の絶縁層とから成り、 第1の絶縁層の厚さは、導電体層の厚さと略等しいか、
導電体層の厚さよりも厚く、 第1の絶縁層と導電体層の側壁との間に空隙が形成され
ていることを特徴とする半導体装置。
1. A semiconductor device comprising: (a) a conductive layer formed on a substrate and patterned; and (b) an insulating layer formed on the substrate including the conductive layer. The insulating layer includes a first insulating layer and a second insulating layer formed on the first insulating layer. The thickness of the first insulating layer is substantially equal to the thickness of the conductor layer. ,
A semiconductor device having a thickness larger than a thickness of a conductor layer, wherein a gap is formed between a first insulating layer and a side wall of the conductor layer.
【請求項2】基体は半導体層であり、導電体層は電界効
果型トランジスタにおけるゲート電極であり、基体と導
電体層との間にゲート絶縁膜が形成されていることを特
徴とする請求項1に記載の半導体装置。
2. The semiconductor device according to claim 1, wherein the base is a semiconductor layer, the conductive layer is a gate electrode in a field effect transistor, and a gate insulating film is formed between the base and the conductive layer. 2. The semiconductor device according to 1.
【請求項3】ゲート電極の下方の半導体層の領域にはチ
ャネル形成領域が形成され、該チャネル形成領域を挟ん
で、半導体層にはソース/ドレイン領域が形成されてい
ることを特徴とする請求項2に記載の半導体装置。
3. The semiconductor device according to claim 1, wherein a channel formation region is formed in a region of the semiconductor layer below the gate electrode, and source / drain regions are formed in the semiconductor layer with the channel formation region interposed therebetween. Item 3. The semiconductor device according to item 2.
【請求項4】各ソース/ドレイン領域とチャネル形成領
域との間に位置し、且つ、空隙の下方に位置する半導体
層の領域には、エクステンション領域が形成されている
ことを特徴とする請求項3に記載の半導体装置。
4. An extension region is formed in a region of the semiconductor layer located between each source / drain region and a channel formation region and below a gap. 4. The semiconductor device according to 3.
【請求項5】(A)基体上に、パターニングされた導電
体層を形成する工程と、 (B)導電体層の側壁に、自己整合的にサイドウオール
を形成する工程と、 (C)サイドウオールの頂部が露出した第1の絶縁層を
全面に形成する工程と、 (D)サイドウオールを除去し、第1の絶縁層と導電体
層との間に空隙を形成する工程と、 (E)空隙を埋めないように、導電体層上及び第1の絶
縁層上に第2の絶縁層を形成する工程、を備えているこ
とを特徴とする半導体装置の製造方法。
5. A step of forming a patterned conductor layer on a substrate, a step of forming a sidewall on the side wall of the conductor layer in a self-aligned manner, (E) forming a first insulating layer on the entire surface where the top of the wall is exposed; (D) forming a gap between the first insulating layer and the conductor layer by removing the side wall; A) forming a second insulating layer on the conductor layer and on the first insulating layer so as not to fill the voids.
【請求項6】基体は半導体層であり、導電体層は電界効
果型トランジスタにおけるゲート電極であり、基体と導
電体層との間にゲート絶縁膜が形成されていることを特
徴とする請求項5に記載の半導体装置の製造方法。
6. The semiconductor device according to claim 1, wherein the base is a semiconductor layer, the conductive layer is a gate electrode in a field effect transistor, and a gate insulating film is formed between the base and the conductive layer. 6. The method for manufacturing a semiconductor device according to item 5.
【請求項7】前記工程(A)においては、基体の表面に
ゲート絶縁膜を形成し、次いで、ゲート絶縁膜上に導電
材料層、研磨ストップ層を順次形成し、その後、研磨ス
トップ層及び導電材料層をパターニングし、 前記工程(B)においては、導電体層及び研磨ストップ
層の側壁に、自己整合的にサイドウオールを形成し、 前記工程(C)においては、全面に第1の絶縁層を形成
した後、サイドウオールの頂部が露出するまで、第1の
絶縁層を化学的/機械的研磨法にて研磨することを特徴
とする請求項6に記載の半導体装置の製造方法。
7. In the step (A), a gate insulating film is formed on the surface of the base, a conductive material layer and a polishing stop layer are sequentially formed on the gate insulating film. In the step (B), sidewalls are formed in a self-aligned manner on the side walls of the conductor layer and the polishing stop layer. In the step (C), the first insulating layer is formed on the entire surface. 7. The method according to claim 6, wherein after forming the first insulating layer, the first insulating layer is polished by a chemical / mechanical polishing method until the top of the sidewall is exposed.
【請求項8】工程(A)と工程(B)の間で、半導体層
に不純物を導入する第1回目の不純物導入工程を実行
し、工程(B)と工程(C)の間で、半導体層に不純物
を導入する第2回目の不純物導入工程を実行し、以て、
ゲート電極の下方の半導体層の領域にチャネル形成領域
を形成し、該チャネル形成領域を挟んで、半導体層にソ
ース/ドレイン領域を形成し、各ソース/ドレイン領域
とチャネル形成領域との間に位置し、且つ、空隙の下方
に位置する半導体層の領域に、エクステンション領域を
形成することを特徴とする請求項6に記載の半導体装置
の製造方法。
8. A first impurity introduction step for introducing an impurity into a semiconductor layer is performed between step (A) and step (B), and a semiconductor impurity is introduced between step (B) and step (C). Performing a second impurity introduction step of introducing impurities into the layer,
A channel formation region is formed in a region of the semiconductor layer below the gate electrode, and a source / drain region is formed in the semiconductor layer with the channel formation region interposed therebetween, and a source / drain region is formed between each source / drain region and the channel formation region. 7. The method according to claim 6, wherein an extension region is formed in a region of the semiconductor layer located below the gap.
【請求項9】工程(A)と工程(B)の間で、半導体層
に不純物を導入する不純物導入工程を実行し、以て、ゲ
ート電極の下方の半導体層の領域にチャネル形成領域を
形成し、該チャネル形成領域を挟んで、半導体層にソー
ス/ドレイン領域を形成することを特徴とする請求項6
に記載の半導体装置の製造方法。
9. A step of introducing an impurity into a semiconductor layer between the steps (A) and (B), thereby forming a channel formation region in a region of the semiconductor layer below the gate electrode. 7. A source / drain region is formed in the semiconductor layer with the channel forming region interposed therebetween.
13. The method for manufacturing a semiconductor device according to item 5.
JP29118099A 1999-10-13 1999-10-13 Semiconductor device and manufacturing method thereof Pending JP2001111051A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP29118099A JP2001111051A (en) 1999-10-13 1999-10-13 Semiconductor device and manufacturing method thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP29118099A JP2001111051A (en) 1999-10-13 1999-10-13 Semiconductor device and manufacturing method thereof

Publications (1)

Publication Number Publication Date
JP2001111051A true JP2001111051A (en) 2001-04-20

Family

ID=17765506

Family Applications (1)

Application Number Title Priority Date Filing Date
JP29118099A Pending JP2001111051A (en) 1999-10-13 1999-10-13 Semiconductor device and manufacturing method thereof

Country Status (1)

Country Link
JP (1) JP2001111051A (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002359369A (en) * 2001-06-01 2002-12-13 Sony Corp Method for manufacturing semiconductor device
JP2009194305A (en) * 2008-02-18 2009-08-27 Toshiba Corp Semiconductor memory device and method for manufacturing the same
JP2010171166A (en) * 2009-01-22 2010-08-05 Sony Corp Semiconductor device and method of manufacturing the same
US9331072B2 (en) 2014-01-28 2016-05-03 Samsung Electronics Co., Ltd. Integrated circuit devices having air-gap spacers defined by conductive patterns and methods of manufacturing the same
WO2020255805A1 (en) * 2019-06-20 2020-12-24 ソニーセミコンダクタソリューションズ株式会社 Semiconductor device and method for manufacture of semiconductor device

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002359369A (en) * 2001-06-01 2002-12-13 Sony Corp Method for manufacturing semiconductor device
JP2009194305A (en) * 2008-02-18 2009-08-27 Toshiba Corp Semiconductor memory device and method for manufacturing the same
JP4703669B2 (en) * 2008-02-18 2011-06-15 株式会社東芝 Semiconductor memory device and manufacturing method thereof
US8253199B2 (en) 2008-02-18 2012-08-28 Kabushiki Kaisha Toshiba Semiconductor memory device having cavity portions
US8629528B2 (en) 2008-02-18 2014-01-14 Kabushiki Kaisha Toshiba Semiconductor memory device and method for manufacturing the same
JP2010171166A (en) * 2009-01-22 2010-08-05 Sony Corp Semiconductor device and method of manufacturing the same
US9331072B2 (en) 2014-01-28 2016-05-03 Samsung Electronics Co., Ltd. Integrated circuit devices having air-gap spacers defined by conductive patterns and methods of manufacturing the same
US9911851B2 (en) 2014-01-28 2018-03-06 Samsung Electronics Co., Ltd. Integrated circuit devices having air-gap spacers above gate electrodes
WO2020255805A1 (en) * 2019-06-20 2020-12-24 ソニーセミコンダクタソリューションズ株式会社 Semiconductor device and method for manufacture of semiconductor device

Similar Documents

Publication Publication Date Title
JP4774568B2 (en) Manufacturing method of semiconductor device
US6429477B1 (en) Shared body and diffusion contact structure and method for fabricating same
US7329953B2 (en) Structure for reducing leakage currents and high contact resistance for embedded memory and method for making same
US7422946B2 (en) Independently accessed double-gate and tri-gate transistors in same process flow
US6930347B2 (en) Semiconductor memory device having electrical connection by side contact
JP3510923B2 (en) Method for manufacturing semiconductor device
JP2002118255A (en) Semiconductor device and manufacturing method thereof
JPH11274496A (en) Field-effect transistor having improved implant and its manufacture
TWI232002B (en) Integrated metal-insulator-metal capacitor and metal gate transistor
US7391096B2 (en) STI structure
JP2002198443A (en) Semiconductor device and its fabricating method
JPH1174527A (en) Semiconductor device and its manufacture
US7235838B2 (en) Semiconductor device substrate with embedded capacitor
US6429069B1 (en) SOI DRAM with buried capacitor under the digit lines utilizing a self aligning penetrating storage node contact formation
KR100233802B1 (en) Semiconductor device and manufacturing process thereof
JP5076168B2 (en) Manufacturing method of semiconductor device
JP2001111051A (en) Semiconductor device and manufacturing method thereof
JP2001035860A (en) Hybrid 5f2 cell layout for buried surface strap aligned with vertical transistor
JP2008244229A (en) Semiconductor device manufacturing method and semiconductor device
JPH09312331A (en) Semiconductor device and manufacture thereof
JP2001196461A (en) Semiconductor device and its manufacturing method
JP2003124338A (en) Semiconductor device and its manufacturing method
US7439125B2 (en) Contact structure for a stack DRAM storage capacitor
JPH1197529A (en) Manufacture of semiconductor device
US7214980B2 (en) Semiconductor device with a self-aligned contact (SAC) structure