JP2001077116A - Manufacture of semiconductor device - Google Patents

Manufacture of semiconductor device

Info

Publication number
JP2001077116A
JP2001077116A JP25109999A JP25109999A JP2001077116A JP 2001077116 A JP2001077116 A JP 2001077116A JP 25109999 A JP25109999 A JP 25109999A JP 25109999 A JP25109999 A JP 25109999A JP 2001077116 A JP2001077116 A JP 2001077116A
Authority
JP
Japan
Prior art keywords
wiring
insulating film
barrier layer
interlayer insulating
polishing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP25109999A
Other languages
Japanese (ja)
Inventor
Mika Fujii
美香 藤井
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sony Corp
Original Assignee
Sony Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sony Corp filed Critical Sony Corp
Priority to JP25109999A priority Critical patent/JP2001077116A/en
Publication of JP2001077116A publication Critical patent/JP2001077116A/en
Pending legal-status Critical Current

Links

Landscapes

  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

PROBLEM TO BE SOLVED: To provide a reliable groove wiring technology, wherein erosion or dishing in a polishing process is suppressed, related to such groove wiring technology as Ta or W material is used for a barrier layer, using copper (Cu) for wiring material. SOLUTION: A process where an interlayer insulating film 15 is formed, a process where a recessed part 17 of the inter-layer insulating film 15 in which a wiring material layer 22 (conductor) is embedded is formed of, for example, a wiring channel 18 and connection hole 19, and a process where a barrier layer 21 is formed on the internal surface of the recessed part 17 as well as on the surface of the interlayer insulating film 15 and then the wiring material layer 22 is formed on the barrier layer 21 so as to fill the recessed part 17, are provided. Here, before the barrier layer 21 is formed, a plurality of channels 16 are formed on the surface of the interlayer insulating film 15.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、半導体装置の製造
方法に関し、詳しくは溝配線を形成する半導体装置の製
造方法に関する。
The present invention relates to a method for manufacturing a semiconductor device, and more particularly to a method for manufacturing a semiconductor device in which a trench wiring is formed.

【0002】[0002]

【従来の技術】LSIの高速化、微細化の要求が高まっ
ているなか、銅を用いた溝配線技術が盛んに検討され、
一部実用化されている。銅配線は、従来のアルミニウム
系配線よりも低抵抗でかつエレクトロマイグレーション
耐性に優れている。また、溝配線に形成することで、層
間絶縁膜表面の平坦化や微細配線の形成が比較的容易に
行える。
2. Description of the Related Art As demands for higher speed and finer LSI have been increasing, trench wiring technology using copper has been actively studied.
Some have been put to practical use. Copper wiring has lower resistance and better electromigration resistance than conventional aluminum-based wiring. Further, by forming the trench wiring, the surface of the interlayer insulating film can be flattened and the fine wiring can be formed relatively easily.

【0003】溝配線の形成技術は、以下のようなプロセ
スによる。すなわち、図4の(1)に示すように、酸化
膜からなる層間絶縁膜111に溝112を形成する。次
いで図4の(2)に示すように、溝112の内面にバリ
ア層113を形成した後、バリア層113を介して溝1
12の内部に配線材料層114の銅を埋め込む。この工
程では、例えばスパッタリングもしくはCVD法により
バリア層113を形成するため、その成膜上の特性から
層間絶縁膜111の表面にもバリア層113が形成され
る。その後、化学的機械研磨(以下、単に研磨という)
等によって溝112以外の部分に堆積した配線材料層1
14、バリア層113を除去し、図4の(3)に示すよ
うに、溝112の内部にのみバリア層113を介して配
線材料層114を残して配線115を形成する。
[0003] The trench wiring is formed by the following process. That is, as shown in FIG. 4A, the groove 112 is formed in the interlayer insulating film 111 made of an oxide film. Next, as shown in FIG. 4B, after forming a barrier layer 113 on the inner surface of the groove 112, the groove 1 is formed via the barrier layer 113.
The copper of the wiring material layer 114 is buried in the inside of the substrate 12. In this step, since the barrier layer 113 is formed by, for example, sputtering or a CVD method, the barrier layer 113 is also formed on the surface of the interlayer insulating film 111 due to its film formation characteristics. After that, chemical mechanical polishing (hereinafter simply called polishing)
Wiring material layer 1 deposited on portions other than groove 112 by, for example,
14, the barrier layer 113 is removed, and as shown in FIG. 4C, the wiring 115 is formed only in the groove 112 while leaving the wiring material layer 114 via the barrier layer 113.

【0004】ところで、銅は熱処理により層間絶縁膜
(酸化膜)中に容易に拡散するという性質を持つ。その
ため、銅の拡散を防止するためのバリア層を銅と酸化膜
との間に設ける必要がある。バリア層の材料としては、
従来のアルミニウム系配線で用いられてきた窒化チタン
が導入のし易さ、研磨のし易さ(研磨レートが銅とほぼ
同等)等の理由で一般的である。一方、バリア性として
は、タンタル(Ta)、窒化タンタル(TaN)、窒化
ケイ化タンタル(TaSiN)等のタンタル系材料や、
タングステン(W)、窒化タングステン(WN)、窒化
ケイ化タングステン(WSiN)等のタングステン系材
料の方が優れている。
[0004] By the way, copper has a property that it is easily diffused into an interlayer insulating film (oxide film) by heat treatment. Therefore, it is necessary to provide a barrier layer for preventing the diffusion of copper between the copper and the oxide film. As a material of the barrier layer,
Titanium nitride, which has been used in conventional aluminum-based wiring, is generally used because it is easy to introduce and easy to polish (polishing rate is almost equal to copper). On the other hand, as the barrier properties, tantalum-based materials such as tantalum (Ta), tantalum nitride (TaN), and tantalum nitride silicide (TaSiN);
Tungsten-based materials such as tungsten (W), tungsten nitride (WN), and tungsten nitride silicide (WSiN) are superior.

【0005】[0005]

【発明が解決しようとする課題】しかしながら、バリア
層にタンタル系材料もしくはタングステン系材料を用い
た場合には、それらの材料に対して銅の研磨速度が一桁
程度大きいため、溝配線形成時の銅の研磨工程において
バリア層の研磨中に銅の研磨も進行する。その結果、図
5の(1)に示すように、配線115の面積が大きい領
域、特に配線115の線幅の広い領域ではエロージョン
が発生する。また図5の(2)に示すように、配線11
5の密度が高い領域ではディッシングが発生する。これ
により、配線抵抗の上昇やばらつきの悪化という問題が
生じ、配線の信頼性が著しく低下する。
However, when a tantalum-based material or a tungsten-based material is used for the barrier layer, the polishing rate of copper is higher by about one order of magnitude than those materials, and therefore, when the trench wiring is formed. In the copper polishing step, copper polishing also proceeds during polishing of the barrier layer. As a result, as shown in FIG. 5A, erosion occurs in a region where the area of the wiring 115 is large, particularly in a region where the line width of the wiring 115 is large. In addition, as shown in FIG.
In the region where the density is high, dishing occurs. As a result, there arises a problem that the wiring resistance is increased and the variation is deteriorated, and the reliability of the wiring is significantly reduced.

【0006】上記問題に対し、銅の研磨が終了したとき
にスラリーを代えてバリア層を研磨するという2段階研
磨方法も検討されてはいるが、2段階研磨は、銅の研磨
終点を判定することが難しいこと、複数のスラリーを使
用することによりプロセスコストが高くなること等の問
題を有している。
To solve the above problem, a two-step polishing method in which the slurry is changed and the barrier layer is polished when the polishing of copper is completed has been studied. However, in the two-step polishing, the end point of copper polishing is determined. It is difficult to use such a slurry, and the use of a plurality of slurries increases the process cost.

【0007】[0007]

【課題を解決するための手段】本発明は、上記課題を解
決するためになされた半導体装置の製造方法であり、す
なわち、層間絶縁膜を形成する工程と、前記層間絶縁膜
に導電体が埋め込まれる凹部を形成する工程と、前記凹
部の内面および前記層間絶縁膜表面にバリア層を形成し
た後に前記凹部を埋め込むように前記バリア層上に導電
体を形成する工程とを備えた半導体層装置の製造方法に
おいて、前記バリア層を形成する前に、前記層間絶縁膜
の表面に複数の溝を形成する工程を備えた製造方法であ
る。
SUMMARY OF THE INVENTION The present invention is directed to a method of manufacturing a semiconductor device which has been made to solve the above-mentioned problems, that is, a step of forming an interlayer insulating film, and a step of embedding a conductor in the interlayer insulating film. Forming a conductive layer on the barrier layer so as to fill the recess after forming a barrier layer on the inner surface of the recess and the surface of the interlayer insulating film. In the manufacturing method, a step of forming a plurality of grooves in a surface of the interlayer insulating film before forming the barrier layer is provided.

【0008】上記半導体装置の製造方法では、バリア層
を形成する前に層間絶縁膜の表面に複数の溝を形成する
ことから、層間絶縁膜上ではその複数の溝を形成した領
域にバリア層が形成されることになる。その後、配線材
料層を堆積した後、層間絶縁膜上の余分な配線材料層、
バリア層を研摩によって除去する工程を行った際に、層
間絶縁膜の表面に形成された溝によって凹凸のある状態
の所に成膜されたバリア層は、研摩されやすくなり、銅
との研摩選択比が変わらなくなる。そのため、配線の面
積が大きい領域ではエロージョンが発生しにくくなり、
配線の密度が高い領域ではディッシングが発生しにくく
なる。
In the above method of manufacturing a semiconductor device, a plurality of grooves are formed on the surface of the interlayer insulating film before forming the barrier layer. Therefore, the barrier layer is formed on the interlayer insulating film in a region where the plurality of grooves are formed. Will be formed. Then, after depositing a wiring material layer, an extra wiring material layer on the interlayer insulating film,
When performing the step of removing the barrier layer by polishing, the barrier layer formed in the place with unevenness due to the groove formed on the surface of the interlayer insulating film is easily polished, and the polishing layer with copper is selected. The ratio does not change. Therefore, erosion hardly occurs in a region having a large wiring area,
In a region where the wiring density is high, dishing hardly occurs.

【0009】[0009]

【発明の実施の形態】本発明に係わる実施の形態の一例
を、図1の製造工程断面図によって説明する。
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS One example of an embodiment according to the present invention will be described with reference to FIG.

【0010】図1の(1)に示すように、通常のLSI
処理により半導体基板(図示せず)にトランジスタ、キ
ャパシタ等の半導体素子(図示せず)を形成した後、そ
れらを覆う絶縁膜(図示せず)を形成して基板10を構
成する。次いで、既存の配線形成技術によって、上記基
板10上に第1の配線11を形成した後、その第1の配
線11を覆う層間絶縁膜12を形成する。この層間絶縁
膜12は、例えばプラズマCVD法によって酸化シリコ
ンを例えば600nmの厚さに堆積して形成される。続
いて、上記層間絶縁膜12上に無機マスク13を、例え
ばプラズマエンハンスメントCVD法により窒化シリコ
ンを50nmの厚さに堆積して形成する。この無機マス
ク13は、窒化シリコンで形成することにより、後の熱
工程で銅の拡散酸化を防止する効果も有する。
[0010] As shown in FIG.
After a semiconductor element (not shown) such as a transistor and a capacitor is formed on a semiconductor substrate (not shown) by processing, an insulating film (not shown) covering them is formed to constitute the substrate 10. Next, a first wiring 11 is formed on the substrate 10 by an existing wiring forming technique, and then an interlayer insulating film 12 covering the first wiring 11 is formed. The interlayer insulating film 12 is formed by depositing silicon oxide to a thickness of, for example, 600 nm by a plasma CVD method, for example. Subsequently, an inorganic mask 13 is formed on the interlayer insulating film 12 by depositing silicon nitride to a thickness of 50 nm by, for example, a plasma enhancement CVD method. By forming the inorganic mask 13 from silicon nitride, it also has an effect of preventing copper from being oxidized and diffused in a subsequent heat process.

【0011】次いで、通常のレジスト塗布技術により、
レジスト膜(図示せず)を形成した後、通常のリソグラ
フィー技術によってレジスト膜に接続孔を開口するため
の孔パターンを形成してレジストマスク(図示せず)を
形成する。そのレジストマスクを用いて無機マスク13
をエッチングして、開口部14を形成する。この開口部
14は、例えば、径が0.3μmに形成される。その
後、上記レジストマスクを除去する。
Next, by a normal resist coating technique,
After forming a resist film (not shown), a hole pattern for opening a connection hole in the resist film is formed by a normal lithography technique to form a resist mask (not shown). Using the resist mask, the inorganic mask 13
Is etched to form an opening 14. The opening 14 has a diameter of, for example, 0.3 μm. After that, the resist mask is removed.

【0012】次いで図1の(2)に示すように、上記無
機マスク13上と上記開口部14上に、配線間の層間絶
縁膜15を、例えば450nmの厚さに形成する。この
層間絶縁膜15はその膜厚を研摩工程でのエロージョン
量を見込んだ厚さにしておく。ここでは、配線の厚さが
400nm、20nmの研摩マージンを含むエロージョ
ンを50nmとした。
Next, as shown in FIG. 1B, an interlayer insulating film 15 between wirings is formed on the inorganic mask 13 and the opening 14 to a thickness of, for example, 450 nm. The thickness of the interlayer insulating film 15 is set in consideration of the erosion amount in the polishing step. Here, the erosion including a polishing margin of a wiring thickness of 400 nm and a 20 nm was 50 nm.

【0013】次に、図1の(3)に示すように、通常の
レジスト塗布技術により、レジスト膜(図示せず)を形
成した後、通常のリソグラフィー技術によってレジスト
膜に溝パターンを形成してレジストマスク(図示せず)
を形成する。そのレジストマスクを用いて配線間の層間
絶縁膜15の上層をエッチングして、複数の溝16を例
えば縞状に形成する。この溝16は、例えば深さが0.
1μmの0.1μmラインアンドスペースで形成する。
その後、上記レジストマスクを除去する。なお、上記溝
16は、図示したように層間絶縁膜15の配線溝が形成
される部分を除く表面領域に形成しても、または層間絶
縁膜15の表面の全体にわたって形成してもよい。
Next, as shown in FIG. 1C, after forming a resist film (not shown) by a normal resist coating technique, a groove pattern is formed in the resist film by a normal lithography technique. Resist mask (not shown)
To form By using the resist mask, the upper layer of the interlayer insulating film 15 between the wirings is etched to form a plurality of grooves 16 in, for example, a stripe shape. The groove 16 has, for example, a depth of 0.
It is formed by 1 μm 0.1 μm line and space.
After that, the resist mask is removed. The groove 16 may be formed in a surface area of the interlayer insulating film 15 excluding the portion where the wiring groove is formed as shown in the figure, or may be formed over the entire surface of the interlayer insulating film 15.

【0014】次に、図1の(4)に示すように、通常の
レジスト塗布技術により、レジスト膜(図示せず)を形
成した後、通常のリソグラフィー技術によってレジスト
膜に配線溝を開口するための配線溝パターンを形成した
レジストマスク(図示せず)を形成する。そのレジスト
マスクを用いて配線間の層間絶縁膜15をエッチング
し、凹部17の一部となる配線溝18を形成する。この
配線溝18は、例えば、幅が0.5μmに形成される。
このエッチングでは、上記無機マスク13がエッチング
ストッパとなり、配線溝18の底部を決定する。
Next, as shown in FIG. 1D, after a resist film (not shown) is formed by a normal resist coating technique, a wiring groove is formed in the resist film by a normal lithography technique. A resist mask (not shown) having the wiring groove pattern formed thereon is formed. Using the resist mask, the interlayer insulating film 15 between the wirings is etched to form a wiring groove 18 which becomes a part of the concave portion 17. The wiring groove 18 is formed to have a width of, for example, 0.5 μm.
In this etching, the inorganic mask 13 serves as an etching stopper, and determines the bottom of the wiring groove 18.

【0015】次いで上記無機マスク13をエッチングマ
スクに用いて上記エッチングを進め、層間絶縁膜12を
エッチングして第1の配線に達する接続孔19を形成す
る。このようにして、配線溝18の底部に凹部17の下
部となる接続孔19が形成される。その後、上記レジス
トマスクを除去する。このように、凹部17は、配線溝
18とその底部に形成した接続孔19とからなる。
Next, the above etching is advanced by using the inorganic mask 13 as an etching mask, and the interlayer insulating film 12 is etched to form a connection hole 19 reaching the first wiring. In this way, a connection hole 19 which is a lower portion of the concave portion 17 is formed at the bottom of the wiring groove 18. After that, the resist mask is removed. As described above, the recess 17 includes the wiring groove 18 and the connection hole 19 formed at the bottom thereof.

【0016】次に、プレ加熱処理を行った後、スパッタ
エッチング処理を行う。このプレ加熱処理では、例えば
基板10の裏面側よりガス加熱方式を用いて加熱を行っ
た。その熱処理条件は、一例として、500℃のアルゴ
ンガスを1kPaの圧力で基板の裏面に1分間吹きつけ
ることで行った。またスパッタエッチング処理では、図
1の(5)に示すように、上記層間絶縁膜15上に形成
された溝16の両側に形成された凸状部15cをエッチ
ングして、その断面形状を尖らせる。このスパッタエッ
チング条件は、一例として、DCパワーを1.0kW、
プロセスガスにアルゴン(例えば供給流量を50scc
mとする)を用い、スパッタエッチング雰囲気の圧力を
0.2Pa、温度を200℃、処理時間を30秒とし
た。このように断面形状を尖らせることにより、その表
面に形成されるバリア層の研摩を容易にする。
Next, after performing a pre-heating process, a sputter etching process is performed. In this preheating treatment, for example, heating was performed from the back side of the substrate 10 using a gas heating method. As an example, the heat treatment was performed by blowing argon gas at 500 ° C. at a pressure of 1 kPa onto the back surface of the substrate for 1 minute. In the sputter etching process, as shown in FIG. 1 (5), the convex portions 15c formed on both sides of the groove 16 formed on the interlayer insulating film 15 are etched to sharpen the cross-sectional shape. . The sputter etching conditions are, for example, a DC power of 1.0 kW,
Argon (for example, a supply flow rate of 50 scc)
m), the pressure of the sputter etching atmosphere was 0.2 Pa, the temperature was 200 ° C., and the processing time was 30 seconds. Such sharpening of the cross-sectional shape facilitates polishing of the barrier layer formed on the surface.

【0017】次いで、上記配線溝18および接続孔19
の各内面にバリア層21を形成する。その際、層間絶縁
膜15の表面、すなわち溝16の内面にもバリア層21
が形成される。このバリア層21は、例えばDCマグネ
トロンスパッタ法により、窒化タンタルを50nmの厚
さに堆積して形成する。この成膜条件は、一例として、
プロセスガスにアルゴン(例えば供給流量を50scc
mとする)と窒素(例えば供給流量を35sccmとす
る)とを用い、成膜雰囲気の圧力を0.4Pa、成膜温
度を200℃、DCパワーを12kWに設定した。
Next, the wiring groove 18 and the connection hole 19 are formed.
The barrier layer 21 is formed on each of the inner surfaces. At this time, the barrier layer 21 is also formed on the surface of the interlayer insulating film 15, that is, on the inner surface of the groove 16.
Is formed. The barrier layer 21 is formed by depositing tantalum nitride to a thickness of 50 nm by, for example, DC magnetron sputtering. This film formation condition is, for example,
Argon (for example, a supply flow rate of 50 scc)
m) and nitrogen (for example, the supply flow rate is 35 sccm), the pressure of the film formation atmosphere was set to 0.4 Pa, the film formation temperature was set to 200 ° C., and the DC power was set to 12 kW.

【0018】上記バリア層21には、窒化タンタル(T
aN)を用いたが、その他の材料として、例えば、タン
タル(Ta)、窒化ケイ化タンタル(TaSiN)のよ
うなタンタル系の材料、タングステン(W)、窒化タン
グステン(WN)、窒化ケイ化タングステン(WSi
N)のようなタングステン系の材料を用いることも可能
である。または、これら材料を適宜組み合わせた積層膜
でバリア層21を形成することも可能である。
The barrier layer 21 is made of tantalum nitride (T
aN), but other materials such as tantalum (Ta), tantalum-based materials such as tantalum nitride silicide (TaSiN), tungsten (W), tungsten nitride (WN), and tungsten nitride silicide ( WSi
It is also possible to use a tungsten-based material such as N). Alternatively, the barrier layer 21 can be formed of a laminated film in which these materials are appropriately combined.

【0019】引き続き、図1の(6)に示すように、上
記バリア層21の成膜に連続して、例えばDCマグネト
ロンスパッタ法により、配線材料層(導電体)22を、
例えば銅を1.0μmの厚さに堆積して形成する。この
成膜条件は、一例として、プロセスガスにアルゴン(例
えば供給流量を100sccmとする)を用い、成膜雰
囲気の圧力を0.4Pa、成膜温度を200℃、DCパ
ワーを15kWに設定した。ここでは、配線材料層22
を銅を堆積して形成したが、例えば銅−ジルコニウム合
金のような銅合金で形成することも可能である。
Subsequently, as shown in FIG. 1 (6), following the formation of the barrier layer 21, a wiring material layer (conductor) 22 is formed by, for example, DC magnetron sputtering.
For example, it is formed by depositing copper to a thickness of 1.0 μm. As an example of the film forming conditions, as a process gas, argon (for example, a supply flow rate is set to 100 sccm) is used as the process gas, the pressure of the film forming atmosphere is set to 0.4 Pa, the film forming temperature is set to 200 ° C., and the DC power is set to 15 kW. Here, the wiring material layer 22
Is formed by depositing copper, but may be formed by a copper alloy such as a copper-zirconium alloy.

【0020】上記のような成膜条件で銅を成膜した場合
には、上記配線溝18および接続孔19の内部に空洞2
3が生じる。そこで、図1の(7)に示すように、例え
ば高真空雰囲気で基板10を加熱して、リフロー法によ
り配線溝18および接続孔19の各内部に配線材料層2
2の銅を埋め込む。そのリフロー条件としては、500
℃のアルゴンガスを基板10の裏面より1.0kPaの
圧力で1分間吹き付けることにより行う。
When copper is formed under the above-described film forming conditions, the cavity 2 is formed inside the wiring groove 18 and the connection hole 19.
3 results. Therefore, as shown in FIG. 1 (7), for example, the substrate 10 is heated in a high vacuum atmosphere, and the wiring material layer 2 is formed inside each of the wiring groove 18 and the connection hole 19 by the reflow method.
2 copper is embedded. The reflow condition is 500
This is performed by blowing argon gas at a temperature of 1.0 ° C. from the back surface of the substrate 10 at a pressure of 1.0 kPa for 1 minute.

【0021】なお、上記実施の形態では、配線溝18お
よび接続孔19への配線材料層22の形成をDCマグネ
トロンスパッタ法による成膜とリフロー法による埋め込
みとを用いて行ったが、上記リフロー法に代えて高圧リ
フロー法を用いることも可能である。また、配線溝18
および接続孔19への配線材料層22の埋め込みを電解
メッキ法により行うこともできる。
In the above-described embodiment, the wiring material layer 22 is formed in the wiring groove 18 and the connection hole 19 by using the film formation by the DC magnetron sputtering method and the embedding by the reflow method. Alternatively, a high-pressure reflow method can be used. The wiring groove 18
The embedding of the wiring material layer 22 in the connection holes 19 can also be performed by an electrolytic plating method.

【0022】例えば高圧リフロー条件は、一例として、
基板温度を450℃に設定し、高圧リフロー雰囲気を7
0MPaのアルゴン雰囲気とし、リフロー時間を1分間
に設定した。これにより、配線材料層22に高圧をかけ
て配線材料層22を配線溝18および接続孔19に押し
込む。
For example, the high-pressure reflow conditions are as follows.
The substrate temperature was set to 450 ° C and the high-pressure reflow atmosphere was set to 7
The atmosphere was set to an argon atmosphere of 0 MPa, and the reflow time was set to 1 minute. Thus, the wiring material layer 22 is pressed into the wiring groove 18 and the connection hole 19 by applying a high voltage to the wiring material layer 22.

【0023】また、上記電解メッキ法により銅の埋め込
みを行うには、まず上記バリア層21を形成した後、引
き続きDCマグネトロンスパッタ法により、密着層を、
例えば銅を200nmの厚さに形成する。この成膜条件
は、一例として、プロセスガスにアルゴン(例えば供給
流量を100sccmとする)を用い、成膜雰囲気の圧
力を0.4Pa、成膜温度を200℃、DCパワーを1
5kWに設定した。
In order to bury copper by the electrolytic plating method, the barrier layer 21 is first formed, and then the adhesion layer is formed by DC magnetron sputtering.
For example, copper is formed to a thickness of 200 nm. As an example of the film formation conditions, as an example, argon (a supply flow rate is set to 100 sccm) as a process gas, a pressure of a film formation atmosphere is 0.4 Pa, a film formation temperature is 200 ° C., and a DC power is 1
It was set to 5 kW.

【0024】その後、電解メッキ法により配線材料層2
2を例えば1.0μmの厚さに形成する。この電解メッ
キ条件は、一例として、メッキ液に硫酸銅(CuS
4 )〔67g/dm3 〕と硫酸(H2 SO4 )〔17
0g/dm3 〕と塩酸(HCl)〔70ppm〕とを用
い、添加剤に界面活性剤を用い、メッキ液の温度を20
℃、印加電流を+9Aに設定した。
Thereafter, the wiring material layer 2 is formed by electrolytic plating.
2 is formed to a thickness of, for example, 1.0 μm. The electroplating conditions are, for example, as follows.
O 4 ) [67 g / dm 3 ] and sulfuric acid (H 2 SO 4 ) [17
0 g / dm 3 ] and hydrochloric acid (HCl) [70 ppm], a surfactant as an additive, and a plating solution temperature of 20 g / dm 3 ].
° C and the applied current were set to + 9A.

【0025】その後、研摩(例えば化学的機械研磨)に
よって、層間絶縁膜15上の余分な配線材料層22およ
びバリア層21を除去して、図1の(8)に示すよう
に、配線溝18の内部にバリア層21を介して配線材料
層22からなる第2の配線24を形成するともに、接続
孔17の内部にバリア層21を介して配線材料層22か
らなるプラグ25を形成する。上記研磨の際、バリア層
21は、層間絶縁膜15の微細な凹凸を設けた表面に形
成されているので、研摩し易い状態となっている。その
結果、銅に対する窒化タンタルの研摩選択比が低減さ
れ、配線材料層22とバリア層21とが均一に研磨され
ることになる。
After that, the extra wiring material layer 22 and the barrier layer 21 on the interlayer insulating film 15 are removed by polishing (for example, chemical mechanical polishing), and the wiring groove 18 is formed as shown in FIG. A second wiring 24 made of a wiring material layer 22 is formed inside the semiconductor device via a barrier layer 21, and a plug 25 made of the wiring material layer 22 is formed inside the connection hole 17 via the barrier layer 21. At the time of the above polishing, the barrier layer 21 is formed on the surface of the interlayer insulating film 15 on which fine irregularities are provided, so that it is in a state of being easily polished. As a result, the polishing selectivity of tantalum nitride to copper is reduced, and the wiring material layer 22 and the barrier layer 21 are polished uniformly.

【0026】上記研摩条件は、一例として、スラリーに
過酸化水素水を添加したアルミナを含むスラリーを用
い、研摩パッドに不織布と独立発泡体との積層構造のも
のを用い、研磨圧力を100g/cm2 、研摩定盤の回
転数を30rpm、研摩ヘッドの回転数を30rpm、
研摩液の流量を100cm3 /分、研摩雰囲気の温度を
25℃〜30℃に設定した。
The polishing conditions are, for example, a slurry containing alumina to which hydrogen peroxide solution is added, a polishing pad having a laminated structure of a nonwoven fabric and an independent foam, and a polishing pressure of 100 g / cm. 2 , the rotation speed of the polishing platen is 30 rpm, the rotation speed of the polishing head is 30 rpm,
The flow rate of the polishing liquid was set at 100 cm 3 / min, and the temperature of the polishing atmosphere was set at 25 ° C. to 30 ° C.

【0027】なお、多層配線を形成するには、上記
(1)〜(8)によって説明した、層間絶縁膜12の形
成工程から配線材料層22とバリア層21との研摩工程
迄を繰り返し行えばよい。その結果、図2に示すよう
に、層間絶縁膜12および層間絶縁膜15内に第1の配
線11上に接続するプラグ25を介して第2の配線24
が形成され、層間絶縁膜42および層間絶縁膜45内に
第2の配線24上に接続するプラグ55を介して第3の
配線54が形成される。
In order to form a multilayer wiring, the steps from the step of forming the interlayer insulating film 12 to the step of polishing the wiring material layer 22 and the barrier layer 21 described in the above (1) to (8) are repeated. Good. As a result, as shown in FIG. 2, the second wiring 24 is formed in the interlayer insulating film 12 and the interlayer insulating film 15 through the plug 25 connected to the first wiring 11.
Is formed, and a third wiring 54 is formed in the interlayer insulating film 42 and the interlayer insulating film 45 via a plug 55 connected to the second wiring 24.

【0028】上記半導体装置の製造方法では、バリア層
21を形成する前に層間絶縁膜15の表面に複数の溝1
6を形成することから、層間絶縁膜15上ではその複数
の溝16を形成した領域にバリア層21が形成されるこ
とになる。その後、配線材料層22を堆積した後、層間
絶縁膜15上の余分な配線材料層22、バリア層21を
研摩によって除去する工程を行った際に、層間絶縁膜1
5の表面に形成された溝16によって凹凸のある状態の
所に成膜されたバリア層21は、研摩されやすくなり、
配線材料層22の銅との研摩選択比がほとんど変わらな
くなる。そのため、配線の面積が大きい領域ではエロー
ジョンが発生しにくくなり、配線の密度が高い領域では
ディッシングが発生しにくくなる。
In the method of manufacturing a semiconductor device, a plurality of grooves 1 are formed on the surface of the interlayer insulating film 15 before the barrier layer 21 is formed.
By forming 6, the barrier layer 21 is formed on the interlayer insulating film 15 in the region where the plurality of grooves 16 are formed. After that, after the wiring material layer 22 is deposited, a step of removing the excess wiring material layer 22 and the barrier layer 21 on the interlayer insulating film 15 by polishing is performed.
The barrier layer 21 formed in a place having irregularities by the groove 16 formed on the surface of the surface 5 is easily polished,
The polishing selection ratio of the wiring material layer 22 to copper hardly changes. Therefore, erosion hardly occurs in a region having a large wiring area, and dishing hardly occurs in a region having a high wiring density.

【0029】上記実施の形態においては図3の(1)に
示すように、層間絶縁膜15の表面に複数の溝16を縞
状に形成したが、例えば図3の(2)に示すように、層
間絶縁膜15の表面に例えば0.1μm幅の溝31を縦
横に形成することで、例えば0.1μm角の島状のパタ
ーン32を複数形成してもよい。
In the above embodiment, as shown in FIG. 3A, a plurality of grooves 16 are formed in the surface of the interlayer insulating film 15 in a stripe pattern. For example, as shown in FIG. Alternatively, a plurality of, for example, 0.1 μm square island-shaped patterns 32 may be formed in the surface of the interlayer insulating film 15 by forming grooves 31 having a width of, for example, 0.1 μm vertically and horizontally.

【0030】また、図示はしないが縞状に溝16を形成
する領域と格子状に溝31を形成する領域とを形成して
もよい。
Although not shown, a region where the grooves 16 are formed in a stripe pattern and a region where the grooves 31 are formed in a lattice pattern may be formed.

【0031】上記実施の形態では、デュアルダマシン法
による溝配線と接続孔とを形成する場合を説明したが、
本発明のバリア層21を形成する前に、層間絶縁膜の表
面に複数の溝を形成しておく方法は、以下の場合にも適
用することができる。
In the above embodiment, the case where the trench wiring and the connection hole are formed by the dual damascene method has been described.
The method of forming a plurality of grooves on the surface of the interlayer insulating film before forming the barrier layer 21 of the present invention can be applied to the following cases.

【0032】層間絶縁膜に形成された接続孔にバリア
層および導電層を埋め込み、その後、層間絶縁膜上の余
分な導電層およびバリア層を研摩によって除去する製造
方法に適用することができる。
The present invention can be applied to a manufacturing method in which a barrier layer and a conductive layer are buried in connection holes formed in an interlayer insulating film, and thereafter, unnecessary conductive layers and barrier layers on the interlayer insulating film are removed by polishing.

【0033】層間絶縁膜に形成された配線溝にバリア
層および導電層を埋め込み、その後、層間絶縁膜上の余
分な導電層およびバリア層を研摩によって除去する製造
方法に適用することができる。
The present invention can be applied to a manufacturing method in which a barrier layer and a conductive layer are buried in a wiring groove formed in an interlayer insulating film, and then the unnecessary conductive layer and the barrier layer on the interlayer insulating film are removed by polishing.

【0034】なお、層間絶縁膜15の表面に占める溝1
6の面積的割合を面積率と称することにすれば、その面
積率が40%〜60%程度になるように形成することが
好ましい。一方、面積率が上記範囲外の場合にはバリア
層21の十分な研摩効果が引き出せなくなる。
The groove 1 occupying the surface of the interlayer insulating film 15
If the area ratio of No. 6 is referred to as an area ratio, it is preferable that the area ratio be formed to be about 40% to 60%. On the other hand, if the area ratio is out of the above range, a sufficient polishing effect of the barrier layer 21 cannot be obtained.

【0035】[0035]

【発明の効果】以上、説明したように本発明によれば、
層間絶縁膜上に複数の溝を形成し、その表面にバリア層
が形成されるので、バリア層の研摩工程で、そのバリア
層の除去が容易になる。それによって、配線材料層とバ
リア層の研摩選択比が実質的に下がり、配線材料層とバ
リア層とをより均一に研摩することができるようにな
る。その結果、配線の面積が大きい領域ではエロージョ
ンが発生しにくくなり、配線の密度が高い領域ではディ
ッシングが発生しにくくなるので、所望の配線断面を有
する配線を形成することができ、配線抵抗の上昇がな
く、配線抵抗のばらつきの小さい溝配線を形成すること
ができる。
As described above, according to the present invention,
Since a plurality of grooves are formed on the interlayer insulating film and a barrier layer is formed on the surface thereof, the removal of the barrier layer is facilitated in the step of polishing the barrier layer. Thereby, the polishing selectivity between the wiring material layer and the barrier layer is substantially reduced, and the wiring material layer and the barrier layer can be polished more uniformly. As a result, erosion hardly occurs in a region where the wiring area is large, and dishing hardly occurs in a region where the wiring density is high. Therefore, a wiring having a desired wiring cross section can be formed, and the wiring resistance increases. Therefore, it is possible to form a trench wiring having a small variation in wiring resistance.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明に係わる実施の形態を示す製造工程断面
図である。
FIG. 1 is a manufacturing process sectional view showing an embodiment according to the present invention.

【図2】多層配線構造を示す概略構成断面図である。FIG. 2 is a schematic configuration sectional view showing a multilayer wiring structure.

【図3】層間絶縁膜表面に形成される溝形状を説明する
概略斜視断面図である。
FIG. 3 is a schematic perspective sectional view illustrating a groove shape formed on the surface of an interlayer insulating film.

【図4】従来の製造方法を示す製造工程断面図である。FIG. 4 is a cross-sectional view of a manufacturing process showing a conventional manufacturing method.

【図5】課題の説明図である。FIG. 5 is an explanatory diagram of a problem.

【符号の説明】[Explanation of symbols]

15…層間絶縁膜、16…溝、17…凹部、21…バリ
ア層、22…配線材料層(導電体)
Reference numeral 15: interlayer insulating film, 16: groove, 17: concave portion, 21: barrier layer, 22: wiring material layer (conductor)

───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.7 識別記号 FI テーマコート゛(参考) H01L 21/90 C Fターム(参考) 4M104 BB17 BB18 BB27 BB28 BB32 BB33 CC01 DD37 DD61 DD75 FF17 FF18 FF22 HH12 5F033 HH11 HH12 HH19 HH21 HH28 HH30 HH32 HH33 HH34 JJ11 JJ12 JJ19 JJ21 JJ28 JJ30 JJ32 JJ33 JJ34 KK11 KK12 KK19 KK21 KK28 KK30 KK32 KK33 KK34 MM02 MM12 MM13 NN06 NN07 PP15 PP27 QQ09 QQ14 QQ28 QQ37 QQ48 QQ73 QQ75 QQ85 QQ86 RR04 RR06 SS15 XX01 XX10 ──────────────────────────────────────────────────続 き Continued on the front page (51) Int.Cl. 7 Identification symbol FI Theme coat ゛ (Reference) H01L 21/90 C F term (Reference) 4M104 BB17 BB18 BB27 BB28 BB32 BB33 CC01 DD37 DD61 DD75 FF17 FF18 FF22 HH12 5F033 HH11 HH12 HH19 HH21 HH28 HH30 HH32 HH33 HH34 JJ11 JJ12 JJ19 JJ21 JJ28 JJ30 JJ32 JJ33 JJ34 KK11 KK12 KK19 KK21 KK28 KK30 KK32 KK33 KK34 MM02 MM12 MM13 NN06 Q08 Q14 Q07 Q48

Claims (4)

【特許請求の範囲】[Claims] 【請求項1】 層間絶縁膜を形成する工程と、 前記層間絶縁膜に導電体が埋め込まれる凹部を形成する
工程と、 前記凹部の内面および前記層間絶縁膜表面にバリア層を
形成した後に前記凹部を埋め込むように前記バリア層上
に導電体を形成する工程とを備えた半導体層装置の製造
方法において、 前記バリア層を形成する前に、前記層間絶縁膜の表面に
複数の溝を形成する工程を備えたことを特徴とする半導
体装置の製造方法。
A step of forming a recess in which a conductor is embedded in the interlayer insulating film; and a step of forming a barrier layer on an inner surface of the recess and a surface of the interlayer insulating film. Forming a conductor on the barrier layer so as to fill the barrier layer. Forming a plurality of grooves in the surface of the interlayer insulating film before forming the barrier layer A method for manufacturing a semiconductor device, comprising:
【請求項2】 前記溝の側壁を傾斜面に形成することを
特徴とする請求項1記載の半導体装置の製造方法。
2. The method according to claim 1, wherein a side wall of the groove is formed on an inclined surface.
【請求項3】 前記凹部は、配線溝、接続孔、もしくは
配線溝と接続孔とからなることを特徴とする請求項1記
載の半導体装置の製造方法。
3. The method according to claim 1, wherein the recess comprises a wiring groove, a connection hole, or a wiring groove and a connection hole.
【請求項4】 前記層間絶縁膜の表面に形成する溝を、
縞状に形成する、もしくは格子状に形成する、もしくは
縞状および格子状に形成することを特徴とする請求項1
記載の半導体装置の製造方法。
4. A groove formed on a surface of the interlayer insulating film,
2. The device according to claim 1, wherein the device is formed in a stripe shape, a grid shape, or a stripe shape and a grid shape.
The manufacturing method of the semiconductor device described in the above.
JP25109999A 1999-09-06 1999-09-06 Manufacture of semiconductor device Pending JP2001077116A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP25109999A JP2001077116A (en) 1999-09-06 1999-09-06 Manufacture of semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP25109999A JP2001077116A (en) 1999-09-06 1999-09-06 Manufacture of semiconductor device

Publications (1)

Publication Number Publication Date
JP2001077116A true JP2001077116A (en) 2001-03-23

Family

ID=17217640

Family Applications (1)

Application Number Title Priority Date Filing Date
JP25109999A Pending JP2001077116A (en) 1999-09-06 1999-09-06 Manufacture of semiconductor device

Country Status (1)

Country Link
JP (1) JP2001077116A (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010153897A (en) * 2010-02-22 2010-07-08 Fujitsu Semiconductor Ltd Method for producing semiconductor device
JP2014022694A (en) * 2012-07-23 2014-02-03 Fujitsu Ltd Semiconductor device and manufacturing method of the same

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010153897A (en) * 2010-02-22 2010-07-08 Fujitsu Semiconductor Ltd Method for producing semiconductor device
JP2014022694A (en) * 2012-07-23 2014-02-03 Fujitsu Ltd Semiconductor device and manufacturing method of the same

Similar Documents

Publication Publication Date Title
US6417094B1 (en) Dual-damascene interconnect structures and methods of fabricating same
JP4106101B2 (en) Method for configuring planarized interconnect layer and semiconductor device
US6071809A (en) Methods for forming high-performing dual-damascene interconnect structures
JP4049978B2 (en) Metal wiring formation method using plating
JP3647853B1 (en) Wiring structure of semiconductor device and manufacturing method thereof
US7208404B2 (en) Method to reduce Rs pattern dependence effect
JP3501265B2 (en) Method for manufacturing semiconductor device
JPH10178096A (en) Method for manufacturing aluminum contact
JP2001102452A (en) Method for forming copper dual damascene structure body on semiconductor base layer surface
US20050275941A1 (en) Metal-filled openings for submicron devices and methods of manufacture thereof
KR100899060B1 (en) Forming a semiconductor structure using a combination of planarizing methods and electropolishing
JP2000323479A (en) Semiconductor device and its manufacture
JP2003163266A (en) Semiconductor device and manufacturing method thereof
JP2000208516A (en) Semiconductor device having multilayer wiring structure and manufacture thereof
US20020142582A1 (en) Method for forming copper lines for semiconductor devices
JP2002359244A (en) Method for manufacturing semiconductor device
JP2002299343A (en) Method of manufacturing semiconductor device
TW432515B (en) Manufacturing method of copper damascene
JP2001077116A (en) Manufacture of semiconductor device
JP2001284355A (en) Semiconductor device and its manufacturing method
JP2000012540A (en) Formation of groove wiring
JP4207749B2 (en) Wiring structure of semiconductor device and manufacturing method thereof
US20020068438A1 (en) Method for fabricating semiconductor devices
JP2001044196A (en) Semiconductor device
JPH11102909A (en) Forming method of copper alloy wiring