JP2001077087A - 半導体装置の製造方法およびエッチング方法 - Google Patents

半導体装置の製造方法およびエッチング方法

Info

Publication number
JP2001077087A
JP2001077087A JP24733999A JP24733999A JP2001077087A JP 2001077087 A JP2001077087 A JP 2001077087A JP 24733999 A JP24733999 A JP 24733999A JP 24733999 A JP24733999 A JP 24733999A JP 2001077087 A JP2001077087 A JP 2001077087A
Authority
JP
Japan
Prior art keywords
etching
insulating film
film
conductive layer
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP24733999A
Other languages
English (en)
Inventor
Tadayuki Kimura
忠之 木村
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sony Corp
Original Assignee
Sony Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sony Corp filed Critical Sony Corp
Priority to JP24733999A priority Critical patent/JP2001077087A/ja
Publication of JP2001077087A publication Critical patent/JP2001077087A/ja
Pending legal-status Critical Current

Links

Landscapes

  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

(57)【要約】 【課題】コンタクトホールのテーパ形状化、ボーイング
形状化を生じることなく、安定で信頼性の高いコンタク
トを形成する。 【解決手段】 トランジスタが形成されたSi基板1上
に層間絶縁膜9、多結晶Si膜10を順次形成する。多
結晶Si膜10に開口を形成し、その内壁に内壁膜12
aを形成する。多結晶Si膜10および内壁膜12aを
マスクとして、マグネトロンエッチング装置を用いた異
方性エッチングと、このエッチングで生じたフロロカー
ボンポリマー14を除去するアッシングとを3回程度繰
り返し行うことにより、層間絶縁膜9にソース/ドレイ
ン領域6に達するコンタクトホール13を形成する。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】この発明は、半導体装置の製
造方法およびエッチング方法に関し、特に、多結晶シリ
コンからなるマスクを用いたエッチングに適用して好適
なものである。
【0002】
【従来の技術】近年、ULSIの高集積化は3年で次世
代に進み、デザインルールは前世代の7割の縮小化が行
われている。そして、この縮小化に伴い半導体装置の高
速化も実現されてきた。とりわけ微細なデザインルール
が適用されるMOSトランジスタなどの半導体装置にお
いては、半導体装置の製造工程における微細加工技術の
進歩、特に、光露光技術の高解像力化により、高集積化
が達成されてきた。
【0003】また、光露光技術の高解像力化は、デザイ
ンルールに対応した寸法精度や重ね合わせ精度を満足し
つつ、露光装置、レジスト材料、レジストプロセスの高
性能化により達成されてきた。
【0004】しかしながら、上述のうち、露光装置につ
いては、ステッパの位置合わせのばらつきの改善が困難
になっている。位置合わせのばらつきが大きいと、位置
合わせの設計余裕を大きくせざるを得ない。その結果、
セルサイズの縮小化は困難になる。したがって、位置合
わせの設計余裕を小さくすることができ、セルサイズの
縮小化を可能にする技術の開発が求められている。
【0005】このような、セルサイズの縮小化を可能に
する技術の一つとして、エッチングマスクとして従来の
レジストマスクを用いる代わりに、多結晶シリコン(S
i)などの材料を用いるエッチング技術が注目されてい
る。このエッチング技術によれば、多結晶Siなどの材
料を用いて、現在の露光装置の能力以上の非常に微細な
コンタクト形状を有する耐エッチングマスクとすること
によって、微細なコンタクトホールを形成し、位置合わ
せの設計余裕を小さくすることができる。そして、この
エッチング技術を用いたコンタクト形成技術は便宜上P
SC(Poly Silicon Shrunken Contact) 技術と呼ばれ
る。
【0006】このようなPSC技術を用いて製造された
半導体装置について以下に具体的に説明する。
【0007】すなわち、図12に示すように、LOCO
S法により形成された素子分離絶縁膜(図示せず)によ
りSi基板101表面が素子分離されている。素子分離
された活性領域の表面にゲート絶縁膜102が設けられ
ている。ゲート絶縁膜102上に多結晶Siからなるゲ
ート電極103が設けられている。ゲート電極103の
側壁面には酸化シリコン(SiO2 )からなるサイドウ
ォール104が設けられている。また、Si基板101
中に、ゲート電極103に対して自己整合的に低濃度の
ソース/ドレイン領域105aが形成されている。ま
た、ゲート電極103およびサイドウォール104に対
して自己整合的に高濃度のソース/ドレイン領域105
bが形成されている。高濃度のソース/ドレイン領域1
05bと低濃度のソース/ドレイン領域105aとによ
り、LDD(Lightly Doped Drain)構造のソース/ドレ
イン領域105が形成されている。そして、これらのゲ
ート電極103、ゲート絶縁膜102、LDD構造のソ
ース/ドレイン領域105およびSi基板101中のチ
ャネル形成領域により、MOS電界効果トランジスタが
構成されている。
【0008】また、ゲート電極103およびサイドウォ
ール104を覆うように、SiO2からなる層間絶縁膜
106が設けられている。層間絶縁膜106にはソース
/ドレイン領域105に達するコンタクトホール107
が形成されている。コンタクトホール107には導電材
料からなるコンタクトプラグ108が埋め込まれてい
る。層間絶縁膜106上にはコンタクトプラグ108と
接続した所定形状の上層配線109が設けられている。
これによって、上層配線109とソース/ドレイン領域
105とがコンタクトプラグ108を通じて接続されて
いる。
【0009】次に、以上のようにして構成された半導体
装置の製造方法について説明する。すなわち、図13A
に示すように、まず、LOCOS法によりSi基板10
1上に素子分離絶縁膜(図示せず)を形成することによ
って、Si基板101表面の素子分離を行う。次に、素
子分離絶縁膜により分離された活性領域において、例え
ば熱酸化法によりゲート絶縁膜102を形成する。次
に、化学気相成長(CVD)法により全面に膜厚が20
0nmの多結晶Si膜111を形成する。
【0010】次に、図13Bに示すように、リソグラフ
ィ工程により、多結晶Si膜111上にゲート電極形状
のレジストパターン112を形成する。次に、このレジ
ストパターン112をマスクとして反応性イオンエッチ
ング(RIE)法などの異方性のエッチング法により、
多結晶Si膜111をエッチングすることによりゲート
電極103を形成する。その後、レジストパターン11
2を除去する。
【0011】次に、図13Cに示すように、Si基板1
01中にゲート電極104をマスクとして導電性不純物
をイオン注入することにより、Si基板1の上部にゲー
ト電極に対して自己整合的に低濃度のソース/ドレイン
領域105aが形成される。
【0012】次に、図14Aに示すように、ゲート電極
103を覆うようにして、Si基板101上の全面に膜
厚が100nmのSiO2 膜113を形成する。
【0013】次に、図14Bに示すように、RIE法に
よる全面エッチバックを行うことにより、ゲート電極1
03の側壁にサイドウォール104を残す。
【0014】次に、図14Cに示すように、ゲート電極
103およびサイドウォール104をマスクとして、S
i基板101中に高濃度に導電性不純物をイオン注入す
ることにより、Si基板101の上部にゲ−ト電極10
3およびサイドウォール104に対して、自己整合的に
高濃度のソース/ドレイン領域105bを形成する。低
濃度のソース/ドレイン領域105aおよび高濃度のソ
ース/ドレイン領域105bによりLDD構造のソース
/ドレイン領域105が形成される。
【0015】次に、図15Aに示すように、ゲート電極
103およびサイドウォール104を覆うようにして、
全面に膜厚が1000nmのSiO2 からなる層間絶縁
膜106を形成する。次に、層間絶縁膜106上に膜厚
が300nmの多結晶Si膜114を形成する。次に、
リソグラフィ工程により多結晶Si膜114上に開口1
15aを有するレジストパターン115を形成する。
【0016】次に、図15Bに示すように、レジストパ
ターン115をマスクとして、RIE法などの異方性の
エッチング法により多結晶Si膜114をエッチングす
る。これにより、開口114aが形成される。この開口
114aの開口径φ1 は0.32μmである。その後、
レジストパターン115を除去する。
【0017】次に、図16Aに示すように、CVD法に
より、多結晶Si膜114を覆うように膜厚が150n
mの多結晶Si膜116を形成する。
【0018】次に、図16Bに示すように、RIE法な
どの異方性のエッチング法により全面エッチバックを行
うことにより、開口114aの内壁面に多結晶Si膜1
16の一部を残して、内壁膜116aを形成する。ここ
で、内壁膜116aにより構成される開口116bの開
口径φ2 は0.1μmとなる。
【0019】次に、図17Aに示すように、マグネトロ
ンエッチング装置などのエッチング装置を用い、多結晶
Si膜114および内壁膜116aをマスクとして、R
IE法により層間絶縁膜106のエッチングを行う。こ
れにより、層間絶縁膜106にコンタクトホール117
が形成される。ここで、層間絶縁膜106のエッチング
条件を挙げると、エッチングガスとしてCHF3 ガスと
COガスとの混合ガスを用い、それらの流量をそれぞれ
30sccm、170sccmとし、圧力を4.0P
a、RFパワーを1500Wとする。
【0020】しかしながら、このエッチングの進行に伴
って、コンタクトホールの側壁にはプラズマ重合により
フロロカーボンポリマー118が堆積してしまう。そし
て、図17Bに示すように、エッチングを進行させて、
ゲート電極103間のソース/ドレイン領域105の表
面が露出するまで層間絶縁膜106をエッチングする
と、多結晶Si膜114、内壁膜116aおよびコンタ
クトホール117の露出面に形成されるフロロカーボン
ポリマー118の堆積により、コンタクトホール117
はテーパ形状に形成されてしまう。
【0021】そこで、このフロロカーボンポリマー11
8を除去するために、図18Aに示すように、酸素(O
2 )プラズマを用いたアッシングを行う。
【0022】次に、図18Bに示すように、CVD法に
よりコンタクトホール107を埋め込むようにして多結
晶Si膜114上に多結晶Si膜119を形成する。
【0023】次に、図19Aに示すように、RIE法な
どの異方性のエッチング法により、層間絶縁膜106上
の多結晶Si膜119、内壁膜116aおよび多結晶S
i膜114を順次除去する。
【0024】次に、図19Bに示すように、層間絶縁膜
106上にコンタクトプラグ108に接続するようにし
て、アルミニウム(Al)などの金属膜を形成した後、
この金属膜を配線形状にパターンニングすることによ
り、上層配線109を形成する。
【0025】以上のようにして製造される半導体装置に
おいて、ゲート電極103間の間隔Rは次のようにして
求められた。すなわち、リソグラフィ工程において形成
されるレジストパターンの合わせずれの最大値が0.0
7μmであり、ゲート電極103とコンタクトプラグ1
08との間の必要最小距離を0.05μmとすると、コ
ンタクト径が0.3μmでは、ゲート電極103間の必
要最小限の間隔は、 R/2−0.07−0.3/2=0.05 R=0.54 となり、ゲート電極103間の間隔として、0.54μ
mの間隔があれば、ゲート電極103とコンタクトホー
ル108とのショートを防止することができる。また、
コンタクト径を0.1μmとして上述した計算を行う
と、ゲート電極103間の間隔Rは0.34μmとな
る。
【0026】このように、多結晶Si膜114にコンタ
クト形成用の開口115aを形成し、その内壁面に多結
晶Siからなる内壁膜116aを形成し、これらの多結
晶Si膜114および内壁膜116aをマスクとして層
間絶縁膜106をエッチングすることにより、層間絶縁
膜106に微細なコンタクトホール107を形成するこ
とができ、チップサイズの縮小が可能になる。
【0027】
【発明が解決しようとする課題】しかしながら、コンタ
クトホール107を形成する場合には、次のような問題
が生じる。すなわち、上述したように、多結晶Si膜1
14および内壁膜116aをマスクとして、異方性のエ
ッチング法によるエッチングを行うと、エッチングガス
のプラズマ重合によりマスクの側壁やエッチングの面に
フロロカーボンポリマー118が堆積される(図17A
参照)。
【0028】このフロロカーボンポリマー118の堆積
はエッチングの進行に伴って進行する。そのため、エッ
チングにより形成されるコンタクトホール107がテー
パ形状に形成され、図19Bに示すようなコンタクト形
状になってしまう。このような加工形状では、ソース/
ドレイン領域105bとコンタクトプラグ108とのコ
ンタクト面積が小さくなるのみならず、層間絶縁膜10
6の膜厚ばらつきによりコンタクトホール107がソー
ス/ドレイン領域105bにまで達せず、いわゆるエッ
チストップと呼ばれる現象が生じる場合がある。
【0029】この場合、ソース/ドレイン領域105b
と上層配線109とが導通しないため、デバイス不良が
発生して歩留まりが低下する。
【0030】そこで、フロロカーボンポリマー118の
堆積を防止するために、エッチングガスにO2 ガスを添
加してエッチングを行う方法が考えられた。このO2
スをエッチングガスに添加する方法によれば、図20A
に示すように、フロロカーボンポリマー118が酸素ラ
ジカルにより除去されるので、エッチングの開始直後は
比較的良好な形状となる。
【0031】しかしながら、このエッチングが進行する
と、最終的には、図20Bに示すように、コンタクトホ
ール107の加工形状がボーイング形状になるという現
象が生じる。この現象は、エッチングガスの全流量に対
する酸素流量比が0.5%程度と微量であっても発生
し、やはりエッチングによる加工形状がボーイング形状
になってしまう。
【0032】そして、図21Aに示すように、CVD法
によりこのボーイング形状のコンタクトホール107を
埋め込むようにして、多結晶Si膜114上の全面に多
結晶Si膜119を形成すると、コンタクトホール10
7内の多結晶Siに「す」と呼ばれる空洞部120が生
じる。
【0033】その後、図21Bに示すように、異方性の
エッチング法により、多結晶Si膜119、114およ
び内壁膜116aの全面エッチバックを行うと、コンタ
クトホール107の内部の空洞部(す)120を通じて
ソース/ドレイン領域105bまでがエッチングされて
しまう。
【0034】さらに、図22に示すように、層間絶縁膜
106上に上層配線109を形成すると、Si基板10
1とソース/ドレイン領域105bとがショートしてし
まう。これによって、トランジスタが動作できず、デバ
イス不良が発生するという問題が生じる。
【0035】したがって、この発明の目的は、微細なコ
ンタクトホールや微細な接続孔などの開口を形成する際
に、その開口のテーパ形状化、ボーイング形状化を防止
することができ、エッチストップ、配線ショートあるい
はコンタクト抵抗の増加などの問題を引き起こすことな
く、安定で信頼性の高いコンタクトを有する半導体装置
の製造方法およびエッチング方法を提供することにあ
る。
【0036】
【課題を解決するための手段】上記目的を達成するため
に、この発明の第1の発明は、第1の導電層上に形成さ
れた絶縁膜のエッチングを行うようにした半導体装置の
製造方法において、絶縁膜をエッチングする第1のエッ
チングと、第1のエッチングの際に生じる堆積物を除去
する第2のエッチングとを順次繰り返し行うことによ
り、絶縁膜に第1の導電層に達する第1の開口を形成す
るようにしたことを特徴とするものである。
【0037】この第1の発明において、自己整合コンタ
クト構造を有する半導体装置を製造するために、好適に
は、半導体基板上に第1の導電層を形成する工程と、第
1の導電層上に絶縁膜を形成する工程と、絶縁膜上にエ
ッチングマスクを形成する工程と、このエッチングマス
クを用いて第1のエッチングおよび第2のエッチングを
繰り返し行うことにより、絶縁膜にコンタクトホールを
形成する工程と、コンタクトホールの内部に第1の導電
層と接続するように第2の導電層を埋め込む工程と、絶
縁膜上に第2の導電層に接続するように第3の導電層を
形成する工程とを有する。また、この第1の発明におい
て、絶縁膜上に第4の導電層を形成する工程と、第4の
導電層に開口を形成する工程と、この開口の内壁に内壁
膜を形成する工程とを有し、第4の導電層および内壁膜
により、第1のエッチングにおけるエッチングマスクを
構成する。また、この第1の発明において、典型的に
は、第4の導電層および内壁膜は、多結晶シリコン、非
晶質シリコン、タングステン、アルミニウムおよびチタ
ンからなる群より選ばれた材料からなる。
【0038】この第1の発明において、好適には、基板
上に第1の導電層を形成する工程と、第1の導電層上に
絶縁膜を形成する工程と、絶縁膜上にエッチングマスク
を形成する工程と、このエッチングマスクを用いて第1
のエッチングおよび第2のエッチングを繰り返し行うこ
とにより、絶縁膜に第1の開口を形成する工程と、第1
の開口の内部に第1の導電層と接続するように第2の導
電層を埋め込む工程と、絶縁膜上に第2の導電層に接続
するように第3の導電層を形成する工程とを有する。ま
た、この第1の発明において、絶縁膜上に第4の導電層
を形成する工程と、第4の導電層に第2の開口を形成す
る工程と、第2の開口の内壁に内壁膜を形成する工程と
を有し、第4の導電層および内壁膜によりエッチングマ
スクを構成する。そして、この第4の導電層および内壁
膜は、多結晶シリコン、非晶質シリコン、タングステ
ン、アルミニウムおよびチタンからなる群より選ばれた
材料からなる。
【0039】この発明の第2の発明は、基板上に設けら
れた絶縁膜をエッチングする第1のエッチングと、第1
のエッチングにおいて絶縁膜に堆積する堆積物を除去す
る第2のエッチングとを順次繰り返し行うことにより、
絶縁膜に第1の開口を形成するようにしたことを特徴と
するエッチング方法である。
【0040】この第2の発明において、典型的には、絶
縁膜上に導電層を形成する工程と、導電層に第2の開口
を形成する工程と、第2の開口の内壁に内壁膜を形成す
る工程とを有し、導電層と内壁膜とにより、第1のエッ
チングにおけるエッチングマスクを構成する。
【0041】この発明において、典型的には、第1の開
口はコンタクトホール(contact hole)または接続孔(via
hole)である。
【0042】この発明において、典型的には、絶縁膜に
第1の開口を形成する際に、第1のエッチングと第2の
エッチングとを2回以上順次繰り返し行うようにする。
【0043】この発明において、典型的には、第1のエ
ッチングにより、絶縁膜を200nm以上400nm以
下エッチングした後、第2のエッチングを行うようにす
る。なお、この発明において、第1のエッチングにおい
ては、エッチレートなどの要因により変化するが、第1
のエッチングを30秒以上60秒以下行った後、第2の
エッチングを行うようにする。
【0044】この発明において、典型的には、第1のエ
ッチングを、構成元素としてフッ素および/または炭素
を含むガスを用いて行うようにする。このガスとして
は、構成元素としてフッ素を含むガスと構成元素として
炭素を含むガスとの混合ガス、構成元素として炭素およ
びフッ素をともに含むガス、あるいはこれらのガスの混
合ガスが用いられる。また、この発明において、具体的
には、第1のエッチングを、CHF3 ガスとおよびCO
ガスの混合ガスを用いて行うようにする。
【0045】上述のように構成されたこの発明による半
導体装置の製造方法およびエッチング方法によれば、絶
縁膜のエッチングを行う第1のエッチングの工程と、第
1のエッチングの際に堆積する堆積物を除去する第2の
エッチングの工程とを順次繰り返し行うことによって、
開口を形成するようにしていることにより、堆積物を除
去した状態で絶縁膜をエッチングすることができるの
で、この堆積物に起因する開口のテーパー形状化やボー
イング形状化を防止することができる。
【0046】
【発明の実施の形態】以下、この発明の一実施形態につ
いて図面を参照しながら説明する。図1〜図7は、この
一実施形態による半導体装置の製造方法を示す。
【0047】この発明の一実施形態による半導体装置の
製造方法においては、まず、図1Aに示すように、例え
ばLOCOS法により形成された素子分離領域(図示せ
ず)を有するSi基板1上に、例えば熱酸化法によりゲ
ート絶縁膜2を形成する。次に、ゲート絶縁膜2上に、
例えばCVD法により多結晶Siからなるゲート電極用
層3を形成する。
【0048】次に、図1Bに示すように、リソグラフィ
工程により、ゲート電極用層3上にゲート電極形状を有
するレジストパターン4を形成する。次に、このレジス
トパターン4をマスクとして、例えば反応性イオンエッ
チング(RIE)法などの異方性のエッチング法によ
り、ゲート電極用層3およびゲート絶縁膜2を順次エッ
チングする。これにより、ゲート電極5が形成される。
その後、レジストパターン4を除去する。
【0049】次に、図1Cに示すように、ゲート電極5
をマスクとして、Si基板1中に導電性不純物を低濃度
にイオン注入することにより、ゲート電極5に対して自
己整合的に低濃度のソース/ドレイン領域6aを形成す
る。
【0050】次に、図2Aに示すように、例えばTEO
Sガスを用いたCVD法により、ゲート電極5およびゲ
ート絶縁膜2を覆うようにして全面にSiO2 膜7を形
成する。
【0051】次に、図2Bに示すように、例えばRIE
法などの異方性のエッチング法により全面エッチバック
を行うことによって、ゲート絶縁膜2およびゲート電極
5の側壁面にサイドウォール8を形成する。
【0052】次に、図2Cに示すように、ゲート電極5
およびサイドウォール8をマスクとしてSi基板1中に
導電性不純物を高濃度にイオン注入することにより、高
濃度のソース/ドレイン領域6bを形成する。これらの
低濃度のソース/ドレイン領域6aと高濃度のソース/
ドレイン領域とにより、LDD構造のソース/ドレイン
領域6が形成される。
【0053】次に、図3Aに示すように、例えばCVD
法により、全面にSiO2 膜9および多結晶Si膜10
を順次形成する。SiO2 膜9の膜厚は例えば1000
nmであり、多結晶Si膜10の膜厚は例えば300n
mである。次に、リソグラフィ工程によりコンタクトホ
ールの形成領域に開口を有するレジストパターン11を
形成する。
【0054】次に、図3Bに示すように、このレジスト
パターン11をマスクとして、例えばRIE法などの異
方性のエッチング法により多結晶Si膜10をエッチン
グする。これにより、多結晶Si膜10の部分に開口1
0aが形成される。この開口10aの開口径φ3 は、例
えば0.32μmである。その後、レジストパターン1
1を除去する。
【0055】次に、図4Aに示すように、例えばCVD
法により、多結晶Si膜10を覆うようにして全面に多
結晶Si膜12を形成する。この多結晶Si膜12の膜
厚は、例えば150nmである。
【0056】次に、図4Bに示すように、例えばRIE
法などのエッチング法により、全面エッチバックを行
う。これによって、多結晶Si膜10の開口10aの内
壁に多結晶Si膜12の一部が残されて、内壁膜12a
が形成される。ここで、内壁膜12aにより構成される
開口12bの開口径φ4 は例えば0.1μmとなる。
【0057】次に、図5Aに示すように、多結晶Si膜
10および内壁膜12aをマスクとして、層間絶縁膜9
の1回目のエッチングを行う。これにより、コンタクト
ホール13が形成される。この段階において、コンタク
トホール13の深さが200〜400nm程度になるよ
うにエッチングされ、この一実施形態においてはコンタ
クトホール13の深さは例えば400nm程度である。
ここで、このエッチング条件の一例を挙げると、エッチ
ング装置としてマグネトロンエッチング装置を用い、エ
ッチングガスとしてCHF3 ガスとCOガスとの混合ガ
スを用い、それらの流量をそれぞれ30sccm、17
0sccmとし、RFパワーを1500W、圧力を4P
aとする。このとき、層間絶縁膜9のエッチングの際の
プラズマ重合により、露出面にフロロカーボンポリマー
14が堆積する。
【0058】次に、図5Bに示すように、上述のエッチ
ングにおけると同様のマグネトロンエッチング装置を用
いて、露出面に堆積したフロロカーボンポリマーを、ア
ッシングすることにより除去する。ここで、このアッシ
ング条件の一例を挙げると、プロセスガスとしてO2
スおよびArガスの混合ガスを用い、それらの流量をそ
れぞれ10sccmおよび50sccmとし、RFパワ
ーを500W、圧力を5.3Pa、アッシング時間を1
0秒とする。このように、フロロカーボンポリマー14
のアッシングを前の工程におけると同じ装置を用いて行
うことにより、エッチングプロセスのスループットを改
善することができる。
【0059】次に、図6Aに示すように、多結晶Si膜
10および内壁膜12aをマスクとして、上述のエッチ
ング条件下における層間絶縁膜9のエッチングと、上述
のアッシング条件下におけるフロロカーボンポリマー1
4のアッシングとを、ゲート電極5に挟まれたソース/
ドレイン領域6の表面が露出するまで順次繰り返し行
う。これにより、層間絶縁膜9の部分にソース/ドレイ
ン領域6に達するコンタクトホール13が形成される。
【0060】次に、図6Bに示すように、例えばCVD
法により、コンタクトホール13の内部に埋め込むよう
にして、全面に多結晶Si膜15を形成する。この多結
晶Si膜15の膜厚は例えば150nmである。
【0061】次に、図7Aに示すように、例えばRIE
法などの異方性のエッチング法により、層間絶縁膜9の
表面が露出するまで全面エッチバックを行うことによ
り、多結晶Si膜15、多結晶Si膜10および内壁膜
12aを除去する。これによって、コンタクトホール1
3の内部に多結晶Siからなるコンタクトプラグ16が
形成される。
【0062】次に、図7Bに示すように、例えばCVD
法により、層間絶縁膜9上に例えば膜厚が200nmの
多結晶Si膜を形成する。その後、リソグラフィ工程お
よびエッチング工程によりこの多結晶Si膜をパターン
ニングすることにより、所定形状の上層配線17を形成
する。
【0063】その後、従来公知の方法により層間絶縁
膜、接続孔、接続孔プラグおよび配線を順次形成するこ
とにより、所望の半導体装置が製造される。
【0064】図8は、上述の半導体装置の製造に適用し
た、この発明によるエッチング方法において、エッチン
グ条件を、 エッチングガス:CHF3 ガス、30sccm COガス、 170sccm RFパワー :1500W 圧力 :4Pa とした時の、エッチング速度(Etch rate (nm/min))の
コンタクトホール径(C/H(μm))依存性を、3分
間連続してエッチングを継続した場合(t=3min)
と、1分間エッチングを行った後にアッシングを行う工
程を3回行った場合(t=1*3min)とについて測
定した結果を示す。また、図9は、テーパ角(Taper an
gle (degree))のコンタクトホール径(C/H(μ
m))依存性を、3分間連続してエッチングを継続した
場合(t=3min)と、1分間エッチングを行った後
にアッシングを行う工程を3回行った場合(t=1*3
min)とについて測定した結果を示す。なお、アッシ
ング条件は、 とする。
【0065】図8より、コンタクトホール径の増加とと
もに、エッチング速度は向上していることが分かる。さ
らに、従来技術におけるエッチングを3分間連続して行
った場合のエッチング速度に比して、この発明における
1分間エッチングを行った後にアッシングを行う工程を
順次3回繰り返した場合のエッチング速度は、コンタク
トホール径が0.28μmのときには同程度であるが、
コンタクトホール径が小さくなるほど、それらの差が顕
著になり、この発明におけるエッチングのエッチング速
度の方が著しく大きくなることが分かる。なお、従来技
術によるエッチングにおいては、開口径が0.16μm
以下のコンタクトホールでは途中でエッチングが進行し
なくなり、いわゆるエッチストップが生じていた。
【0066】また、図9より、コンタクトホール径の増
加とともに、テーパ角は小さくなる(垂直形状からずれ
る)ことが分かる。さらに、従来技術によるエッチング
方法によりエッチングした場合のテーパ角に比して、こ
の発明によるエッチング方法によりエッチングした場合
のテーパ角は、コンタクトホール径が0.28μmのと
きにはともに約86.6°と同程度であるが、コンタク
トホール径が小さくなるほど、それらの差が大きくな
り、この発明によるエッチング方法を用いてコンタクト
ホールを形成した場合の加工形状は、より垂直形状に近
くなることが分かる。具体的には、コンタクトホール径
が0.1μmの場合、従来技術によるエッチング方法に
よって形成したコンタクトホールのテーパ角が87°程
度であるのに対し、この発明によるエッチング方法によ
り形成したコンタクトホールのテーパ角は89°であ
り、ほぼ垂直形状が得られることがわかる。
【0067】また、図10Aは、従来技術によるエッチ
ング方法を用いて絶縁膜に開口を形成した場合の加工形
状を示す走査型電子顕微鏡(SEM)写真であり、図1
0Bは、この発明によるエッチング方法を用いてエッチ
ング工程とアッシング工程とを3回繰り返して絶縁膜に
開口を形成した場合の加工形状を示すSEM写真であ
る。また、図11は、この発明によるエッチング方法を
用い、エッチング工程とアッシング工程とを4回繰り返
して絶縁膜をエッチングした場合の加工形状を示すSE
M写真である。
【0068】図10Aに示す従来技術のエッチング方法
によるエッチングの加工形状に比して、図10Bに示す
この発明のエッチング方法によるエッチングの加工形状
は、その形状がより垂直形状となり、加工形状が著しく
改善されていることが分かる。また、図11に示すよう
に、この発明によるエッチング方法によって、エッチン
グ工程とアッシング工程とを4回繰り返した場合、図1
0Bにおけるよりエッチングがさらに進行していること
が分かり、エッチストップが生じていないことが分か
る。
【0069】以上説明したように、この発明の一実施形
態によれば、層間絶縁膜9のエッチングと、このエッチ
ングの際に生じるフロロカーボンポリマー14のアッシ
ングとを、ソース/ドレイン領域6の表面が露出するま
で順次繰り返し行っていることにより、エッチングの途
中でエッチストップが生じることなく、コンタクトホー
ル13を形成することができ、さらに、このコンタクト
ホール13がテーパ形状にならず、その加工形状をほぼ
垂直形状とすることができる。そのため、コンタクトホ
ール13の底面積が縮小化されるのを防止することがで
き、ソース/ドレイン領域6との十分なコンタクト面積
を確保することができるので、コンタクト抵抗の抑制を
図ることができる。また、コンタクトホール13をほぼ
垂直形状に形成することができ、ボーイング形状になる
のを防止することができるので、コンタクトホール13
内に導電材料を埋め込んだ後に、その内部に生じる
「す」の発生を防止することができ、これによって、拡
散層と基板との短絡(ショート)を防止することができ
る。したがって、エッチストップ、配線ショートおよび
コンタクト抵抗の増加などの問題を引き起こすことな
く、安定で信頼性の高い自己整合コンタクトを有する半
導体装置を製造することができる。
【0070】以上、この発明の一実施形態について具体
的に説明したが、この発明は、上述の一実施形態に限定
されるものではなく、この発明の技術的思想に基づく各
種の変形が可能である。例えば、この発明はダイナミッ
クRAM(DRAM)あるいはスタティックRAM(S
RAM)などのMOSトランジスタを有する半導体装置
や、バイポーラ系の半導体装置、あるいはA/Dコンバ
ータなど、自己整合的に形成されるコンタクトホールを
有する半導体装置であれば、いかなる装置にも適用する
ことができる。
【0071】また、例えば上述の一実施形態において挙
げた数値、材料、エッチング条件はあくまでも例に過ぎ
ず、必要に応じてこれと異なる数値、材料、エッチング
条件を用いてもよい。
【0072】また、例えば上述の一実施形態において、
上層配線15としてAl膜を用いているが、上層配線1
5として、配線に用いられる全ての導電材料を用いるこ
とが可能であり、例えば、不純物がドープされた多結晶
Si膜や非晶質Si膜、あるいはまた、例えば上述の一
実施形態において、フロロカーボンポリマー14のアッ
シングを、通常のフォトレジストを除去するためのプラ
ズマアッシング装置を用いて行うことも可能である。
【0073】また、例えば上述の一実施形態において、
ゲート電極5は単層構造を有しているが、ポリサイド構
造などの2層構造、あるいは3層以上の構造としてもよ
い。また、上層配線15においても同様の積層構造とし
てもよい。
【0074】
【発明の効果】以上説明したように、この発明の第1の
発明による半導体装置の製造方法によれば、エッチスト
ップ、配線ショート、あるいはコンタクト抵抗の増加な
どの問題を引き起こすことなく、安定で信頼性の高いコ
ンタクトを有する半導体装置を製造することができる。
【0075】この発明の第2の発明によるエッチング方
法によれば、エッチストップを生じることなく、接続孔
やコンタクトホールなどの開口をほぼ垂直形状なるよう
に形成することができ、開口のボーイング形状化やテー
パ形状化を防止することができるので、エッチングにお
ける開口の加工形状の大幅な改善を図ることができる。
【図面の簡単な説明】
【図1】この発明の一実施形態による半導体装置の製造
方法を説明するための断面図である。
【図2】この発明の一実施形態による半導体装置の製造
方法を説明するための断面図である。
【図3】この発明の一実施形態による半導体装置の製造
方法を説明するための断面図である。
【図4】この発明の一実施形態による半導体装置の製造
方法を説明するための断面図である。
【図5】この発明の一実施形態による半導体装置の製造
方法を説明するための断面図である。
【図6】この発明の一実施形態による半導体装置の製造
方法を説明するための断面図である。
【図7】この発明の一実施形態による半導体装置の製造
方法を説明するための断面図である。
【図8】この発明の一実施形態によるエッチング特性と
従来技術によるエッチング特性とを示すグラフである。
【図9】この発明の一実施形態によるエッチング特性と
従来技術によるエッチング特性とを示すグラフである。
【図10】従来技術およびこの発明によるエッチング方
法を用いて、絶縁膜をエッチングした場合のSEM写真
を示す図面代用写真である。
【図11】この発明によるエッチング方法を用いて、絶
縁膜をエッチングした場合のSEM写真を示す図面代用
写真である。
【図12】従来技術の製造方法により製造された半導体
装置を示す断面図である。
【図13】従来技術による半導体装置の製造方法を説明
するための断面図である。
【図14】従来技術による半導体装置の製造方法を説明
するための断面図である。
【図15】従来技術による半導体装置の製造方法を説明
するための断面図である。
【図16】従来技術による半導体装置の製造方法を説明
するための断面図である。
【図17】従来技術による半導体装置の製造方法を説明
するための断面図である。
【図18】従来技術による半導体装置の製造方法を説明
するための断面図である。
【図19】従来技術による半導体装置の製造方法を説明
するための断面図である。
【図20】従来技術による半導体装置の製造方法の問題
点を説明するための断面図である。
【図21】従来技術による半導体装置の製造方法の問題
点を説明するための断面図である。
【図22】従来技術による半導体装置の製造方法の問題
点を説明するための断面図である。
【符号の説明】
1・・・Si基板、5・・・ゲート電極、8・・・サイ
ドウォール、9・・・層間絶縁膜、10、12、15・
・・多結晶Si膜、10a・・・開口、12a・・・内
壁膜、13・・・コンタクトホール、14・・・フロロ
カーボンポリマー、16・・・コンタクトプラグ
フロントページの続き Fターム(参考) 4M104 AA01 BB01 CC01 DD04 DD08 DD16 DD43 GG06 GG08 GG14 GG15 GG16 HH13 5F004 AA03 AA09 BA13 BD01 CA02 CA03 DA16 DA26 DB02 DB03 EA04 EA14 EA28 EB03 5F033 HH04 JJ04 KK01 NN40 PP09 QQ09 QQ10 QQ13 QQ15 QQ16 QQ21 QQ27 QQ37 RR04 SS11 TT08 VV16 WW02 XX00 XX02 5F040 DA15 EC07 EF02 EH03 EH08 FB04 FC21

Claims (19)

    【特許請求の範囲】
  1. 【請求項1】 第1の導電層上に形成された絶縁膜のエ
    ッチングを行うようにした半導体装置の製造方法におい
    て、 上記絶縁膜をエッチングする第1のエッチングと、上記
    第1のエッチングの際に生じる堆積物を除去する第2の
    エッチングとを順次繰り返し行うことにより、上記絶縁
    膜に上記第1の導電層に達する第1の開口を形成するよ
    うにしたことを特徴とする半導体装置の製造方法。
  2. 【請求項2】 上記絶縁膜が酸化シリコン膜であること
    を特徴とする請求項1記載の半導体装置の製造方法。
  3. 【請求項3】 上記絶縁膜に上記第1の開口を形成する
    際に、上記第1のエッチングと上記第2のエッチングと
    を2回以上順次繰り返すようにしたことを特徴とする請
    求項1記載の半導体装置の製造方法。
  4. 【請求項4】 上記第1のエッチングにより、上記絶縁
    膜を200nm以上400nm以下エッチングした後、
    上記第2のエッチングを行うようにしたことを特徴とす
    る請求項1記載の半導体装置の製造方法。
  5. 【請求項5】 半導体基板上に上記第1の導電層を形成
    する工程と、上記第1の導電層上に上記絶縁膜を形成す
    る工程と、上記絶縁膜上にエッチングマスクを形成する
    工程と、上記エッチングマスクを用いて上記第1のエッ
    チングおよび上記第2のエッチングを繰り返し行い、上
    記絶縁膜に上記第1の開口を形成する工程と、上記第1
    の開口の内部に上記第1の導電層に接続する第2の導電
    層を埋め込む工程と、上記絶縁膜上に上記第2の導電層
    に接続するように第3の導電層を形成する工程とを有す
    ることを特徴とする請求項1記載の半導体装置の製造方
    法。
  6. 【請求項6】 上記絶縁膜上に第4の導電層を形成する
    工程と、上記第4の導電層に第2の開口を形成する工程
    と、上記第2の開口の内壁に内壁膜を形成する工程とを
    有し、上記第4の導電層および上記内壁膜により上記エ
    ッチングマスクを構成するようにしたことを特徴とする
    請求項5記載の半導体装置の製造方法。
  7. 【請求項7】 上記第4の導電層が多結晶シリコン、非
    晶質シリコン、タングステン、アルミニウムおよびチタ
    ンからなる群より選ばれた材料からなることを特徴とす
    る請求項6記載の半導体装置の製造方法。
  8. 【請求項8】 上記内壁膜が多結晶シリコン、非晶質シ
    リコン、タングステン、アルミニウムおよびチタンから
    なる群より選ばれた材料からなることを特徴とする請求
    項6記載の半導体装置の製造方法。
  9. 【請求項9】 上記第1のエッチングを、CHF3 ガス
    およびCOガスの混合ガスを用いて行うようにしたこと
    を特徴とする請求項1記載の半導体装置の製造方法。
  10. 【請求項10】 上記第1のエッチングを、構成元素と
    してフッ素および/または炭素を含むガスを用いて行う
    ようにしたことを特徴とする請求項1記載の半導体装置
    の製造方法。
  11. 【請求項11】 基板上に設けられた絶縁膜をエッチン
    グする第1のエッチングと、上記第1のエッチングにお
    いて上記絶縁膜に堆積する堆積物を除去する第2のエッ
    チングとを順次繰り返し行うことにより、上記絶縁膜に
    第1の開口を形成するようにしたことを特徴とするエッ
    チング方法。
  12. 【請求項12】 上記絶縁膜が酸化シリコン膜であるこ
    とを特徴とする請求項11記載のエッチング方法。
  13. 【請求項13】 上記絶縁膜に上記第1の開口を形成す
    る際に、上記第1のエッチングを2回以上行うようにし
    たことを特徴とする請求項11記載のエッチング方法。
  14. 【請求項14】 上記第1のエッチングにより、上記絶
    縁膜を200nm以上400nm以下エッチングした
    後、上記第2のエッチングを行うようにしたことを特徴
    とする請求項11記載のエッチング方法。
  15. 【請求項15】 上記絶縁膜上に導電層を形成する工程
    と、上記導電層に第2の開口を形成する工程と、上記第
    2の開口の内壁に内壁膜を形成する工程とを有し、上記
    導電層と上記内壁膜とにより、上記第1のエッチングに
    おけるエッチングマスクを構成するようにしたことを特
    徴とする請求項11記載のエッチング方法。
  16. 【請求項16】 上記導電層が多結晶シリコン、非晶質
    シリコン、タングステン、アルミニウムおよびチタンか
    らなる群より選ばれた材料からなることを特徴とする請
    求項15記載のエッチング方法。
  17. 【請求項17】 上記内壁膜が多結晶シリコン、非晶質
    シリコン、タングステン、アルミニウムおよびチタンか
    らなる群より選ばれた材料からなることを特徴とする請
    求項15記載のエッチング方法。
  18. 【請求項18】 上記第1のエッチングを、CHF3
    スとCOガスとの混合ガスを用いて行うようにしたこと
    を特徴とする請求項11記載のエッチング方法。
  19. 【請求項19】 上記第1のエッチングを、構成元素と
    してフッ素および/または炭素を含むガスを用いて行う
    ようにしたことを特徴とする請求項11記載のエッチン
    グ方法。
JP24733999A 1999-09-01 1999-09-01 半導体装置の製造方法およびエッチング方法 Pending JP2001077087A (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP24733999A JP2001077087A (ja) 1999-09-01 1999-09-01 半導体装置の製造方法およびエッチング方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP24733999A JP2001077087A (ja) 1999-09-01 1999-09-01 半導体装置の製造方法およびエッチング方法

Publications (1)

Publication Number Publication Date
JP2001077087A true JP2001077087A (ja) 2001-03-23

Family

ID=17161946

Family Applications (1)

Application Number Title Priority Date Filing Date
JP24733999A Pending JP2001077087A (ja) 1999-09-01 1999-09-01 半導体装置の製造方法およびエッチング方法

Country Status (1)

Country Link
JP (1) JP2001077087A (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100485159B1 (ko) * 2003-01-30 2005-04-22 동부아남반도체 주식회사 반도체 소자의 접속홀 형성 방법
JP2014060210A (ja) * 2012-09-14 2014-04-03 Fujifilm Corp ドライエッチング方法および圧電デバイスの製造方法
CN110190027A (zh) * 2019-07-02 2019-08-30 武汉新芯集成电路制造有限公司 半导体器件的制作方法
US11508732B2 (en) 2020-01-02 2022-11-22 Samsung Electronics Co., Ltd. Semiconductor devices having air spacer

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100485159B1 (ko) * 2003-01-30 2005-04-22 동부아남반도체 주식회사 반도체 소자의 접속홀 형성 방법
JP2014060210A (ja) * 2012-09-14 2014-04-03 Fujifilm Corp ドライエッチング方法および圧電デバイスの製造方法
CN110190027A (zh) * 2019-07-02 2019-08-30 武汉新芯集成电路制造有限公司 半导体器件的制作方法
US11508732B2 (en) 2020-01-02 2022-11-22 Samsung Electronics Co., Ltd. Semiconductor devices having air spacer

Similar Documents

Publication Publication Date Title
US6306772B1 (en) Deep trench bottle-shaped etching using Cl2 gas
JP5122106B2 (ja) 炭素含有膜エッチング方法及びこれを利用した半導体素子の製造方法
US6548385B1 (en) Method for reducing pitch between conductive features, and structure formed using the method
EP0349070A2 (en) A method of manufacturing a semiconductor device
TWI384529B (zh) 用於arc材料之cd縮小之蝕刻製程
JP2004031944A (ja) 非常に幅の狭いトランジスタ・ゲート素子をフォトリソグラフィにより形成する方法
US7402524B2 (en) Post high voltage gate oxide pattern high-vacuum outgas surface treatment
JPH09205145A (ja) 集積回路及びその製造方法
US7371692B2 (en) Method for manufacturing a semiconductor device having a W/WN/polysilicon layered film
KR100512904B1 (ko) 반도체소자의 제조방법
US6417083B1 (en) Methods for manufacturing semiconductor devices
US8125069B2 (en) Semiconductor device and etching apparatus
US6797596B2 (en) Sacrificial deposition layer as screening material for implants into a wafer during the manufacture of a semiconductor device
US6537906B1 (en) Methods for fabricating semiconductor devices
US7339240B2 (en) Dual-gate integrated circuit semiconductor device
JP2001077087A (ja) 半導体装置の製造方法およびエッチング方法
US20090102025A1 (en) Semiconductor device and method for manufacturing the same, dry-etching process, method for making electrical connections, and etching apparatus
US20060003571A1 (en) Method for forming contact hole in semiconductor device
US8076235B2 (en) Semiconductor device and fabrication method thereof
US7498226B2 (en) Method for fabricating semiconductor device with step gated asymmetric recess
US7125775B1 (en) Method for forming hybrid device gates
KR0139072B1 (ko) 접촉구멍에 플러그를 형성하는 공정을 갖는 반도체 장치 제조방법
JP3708157B2 (ja) 半導体装置の製造方法
KR20050068363A (ko) 하드 마스크를 이용한 미세 패턴 형성 방법
KR100649025B1 (ko) 플래시 메모리 소자의 제조방법

Legal Events

Date Code Title Description
RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20041222

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20050111

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060307

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20080606

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080617

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20081104