JP2000353679A - ケミカルメカニカル平坦化システム - Google Patents

ケミカルメカニカル平坦化システム

Info

Publication number
JP2000353679A
JP2000353679A JP2000134992A JP2000134992A JP2000353679A JP 2000353679 A JP2000353679 A JP 2000353679A JP 2000134992 A JP2000134992 A JP 2000134992A JP 2000134992 A JP2000134992 A JP 2000134992A JP 2000353679 A JP2000353679 A JP 2000353679A
Authority
JP
Japan
Prior art keywords
polishing
platen
web
roller
disposed
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2000134992A
Other languages
English (en)
Inventor
James V Tietz
ヴイ. ティエッツ ジェイムズ
Shijian Li
リー シジアン
Manoocher Birang
ビラング マヌーチャ
M White John
エム. ホワイト ジョン
Lawrence M Rosenberg
エム. ローゼンバーグ ローレンス
Martin Scales
スケイルズ マーティ
Ramin Emami
エマミ ラミン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2000353679A publication Critical patent/JP2000353679A/ja
Pending legal-status Critical Current

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B21/00Machines or devices using grinding or polishing belts; Accessories therefor
    • B24B21/04Machines or devices using grinding or polishing belts; Accessories therefor for grinding plane surfaces
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/04Lapping machines or devices; Accessories designed for working plane surfaces
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/11Lapping tools
    • B24B37/12Lapping plates for working plane surfaces
    • B24B37/16Lapping plates for working plane surfaces characterised by the shape of the lapping plate surface, e.g. grooved
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B1/00Processes of grinding or polishing; Use of auxiliary equipment in connection with such processes
    • B24B1/04Processes of grinding or polishing; Use of auxiliary equipment in connection with such processes subjecting the grinding or polishing tools, the abrading or polishing medium or work to vibration, e.g. grinding with ultrasonic frequency
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B21/00Machines or devices using grinding or polishing belts; Accessories therefor
    • B24B21/18Accessories
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/11Lapping tools
    • B24B37/12Lapping plates for working plane surfaces
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/11Lapping tools
    • B24B37/20Lapping pads for working plane surfaces
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B49/00Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation
    • B24B49/14Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation taking regard of the temperature during grinding
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B55/00Safety devices for grinding or polishing machines; Accessories fitted to grinding or polishing machines for keeping tools or parts of the machine in good working condition
    • B24B55/02Equipment for cooling the grinding surfaces, e.g. devices for feeding coolant
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B57/00Devices for feeding, applying, grading or recovering grinding, polishing or lapping agents
    • B24B57/02Devices for feeding, applying, grading or recovering grinding, polishing or lapping agents for feeding of fluid, sprayed, pulverised, or liquefied grinding, polishing or lapping agents
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing

Abstract

(57)【要約】 【課題】 固定砥粒パッドを好適に利用してケミカルメ
カニカルポリシングシステム中で基板をポリシングする
システムを提供する。 【解決手段】 プラテンとその上に配置されたポリシン
グ材ウェブとを一般的に含む基板ポリシング用半導体基
板処理装置である。この装置の実施形態は、ポリシング
材ウェブを収容する使い捨てカートリッジと、ウェブの
付近に配置されウェブの未使用部分の汚れを防止するシ
ールド部材と、プラテンに対してウェブを固定および解
放する流体供給装置と、ウェブの横方向の動きを制御す
る装置と、ロールの単位高さあたりのポリシング材の走
行をより直線的にする装置と、を含んでいる。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、全体として、基板
をポリシングする処理システムに関する。
【0002】
【従来の技術】半導体ウェーハ処理では、ウェーハ等の
半導体ワークピース(すなわち、基板)上のデバイス密
度を高める優れた能力のため、ケミカルメカニカル平坦
化、すなわちCMPの使用が支持を得ている。半導体製
造においてウェーハ上に形成される層の平坦化の要請が
増大するにつれて、システム(すなわち、プロセスツー
ル)スループットをより大きくしつつウェーハダメージ
をより少なく抑え、ウェーハ平坦化も向上させるという
要求が増大している。
【0003】こうした要求に応えた二つの代表的な装置
が、1998年9月8日にPerlovらに与えられた米国特
許第5,804,507号および1998年4月15日
にTollesらに与えられた米国特許第5,738,574
号に記載されている。PerlovおよびTollesらは、隣接す
る液体充填槽内に配置されたカセットからウェーハを供
給する平坦化システムを有するCMPシステムを開示し
ている。搬送機構、すなわちロボットは、充填槽から搬
送ステーションへのウェーハ搬送を容易にする。搬送ス
テーションは、一般に、カルーセルに取り付けられた四
つの処理ヘッドの一つにウェーハを配置するロードカッ
プを有する。カルーセルは、各処理ヘッドをロードカッ
プの上方で連続移動させてウェーハを受け取る。処理ヘ
ッドがローディングされると、カルーセルは、処理ヘッ
ドおよびウェーハを移動させてポリシング用の平坦化ス
テーションを通す。ウェーハは、スラリやその他の研磨
流体媒体を供給しつつウェーハをポリシングパッドに対
して相対移動させることによって平坦化される。ポリシ
ングパッドは研磨面を含んでいてもよい。スラリは、通
常、ウェーハからの材料除去を助ける化学薬品および研
磨剤の双方を含む。平坦化処理終了後、ウェーハは、搬
送ステーションを通って、充填槽に配置された正しいカ
セットに戻される。
【0004】一般に、研磨粒子を含んだスラリは多くの
好ましくない面を持つ。例えば、スラリによって運ばれ
る研磨粒子は沈殿し, スラリ中の研磨粒子濃度を変化さ
せることがある。また、スラリ中の研磨剤は、ポンプ機
構や関連する配管を磨耗および腐食させて定期保守およ
び交換を必要とする一方、装置および部品の耐用年数を
縮めてしまう。さらに、工具表面で乾いたスラリは除去
し難く、ポリシング作業を妨げたりウェーハ表面にダメ
ージを与える望ましくない粒状物となることがある。
【0005】一つの解決法は、ポリシングパッド中に複
数の固定研磨要素を含ませることによってスラリ中で研
磨粒子を使用しないようにすることである。一般に、固
定研磨要素は、バッキング材のウェブ上に配置された結
合剤中に保持された研磨粒子を含んでいる。プレコンデ
ィショニング作業中、結合剤の一部が除去されることに
より研磨粒子の一部が露出する。露出した研磨粒子は、
ポリシングプロセスの機械的部分を与える。プレコンデ
ィショニングの方法は、十分発達していないので、過度
にパッド材料を除去しないように注意しなければならな
い。このような固定砥粒パッドの例は、Rutherfordらに
よる米国特許第5,692,950号(1997年12
月2日発行)およびHaasらによる米国特許第5,45
3,312号(1995年9月26日発行)に記載され
ている。通常、(研磨粒子なしの)研磨流体が固定砥粒
パッドとともに用いられ、ポリシングプロセスの化学的
部分を与える。
【0006】
【発明が解決しようとする課題】したがって、固定砥粒
パッドを好適に利用してケミカルメカニカルポリシング
システム中で基板をポリシングするシステムがこの分野
では必要とされている。
【0007】
【課題を解決するための手段】全体として、本発明は、
基板を平坦化するシステムおよび方法を提供するもので
ある。ある態様では、本発明は、ポリシング材のウェブ
の少なくとも一部が巻きつけられた軸を備えるポリシン
グ媒体アセンブリを提供する。この軸は、この軸を実質
的に取り囲むハウジング内に配置される。このハウジン
グは開口部を有しており、ウェブがこの開口部を貫通し
て配置されるようになっている。
【0008】別の態様では、基板ポリシングシステム
は、研磨プラテンの上方に配置された少なくとも一つの
研磨ヘッドを含んでいる。研磨プラテン上には、ポリシ
ング材ウェブの少なくとも一部が配置される。ポリシン
グ材の少なくとも第2の部分は、ハウジングによって実
質的に取り囲まれた軸に巻きつけられる。このハウジン
グは開口部を有しており、第1および第2部分間のウェ
ブがこの開口部を貫通して配置されるようになってい
る。
【0009】別の態様では、基板ポリシング装置は、研
磨プラテン上に配置された少なくとも第1の部分を有す
るポリシング材ウェブを含んでいる。シールド部材が研
磨プラテンに隣接して配置され、両者の間にスロットを
画成する。ウェブは、このスロットを貫通して配置され
る。このスロットは、汚染物質がウェブの未使用部分に
付着しないようにする。
【0010】別の態様では、半導体基板ポリシング装置
は、少なくとも一部が研磨プラテン上に配置されたポリ
シング材ウェブを含んでいる。ウェブは、ウェブの横方
向移動を防止する手段を有する少なくとも一つのローラ
上に配置される。
【0011】別の態様では、半導体基板ポリシング装置
は、研磨プラテン上に配置された少なくとも第1の部分
を有するポリシング材ウェブを含んでいる。プラテン内
において第1部分の下方に開口部が設けられ、この開口
部は、ウェブの第1部分と研磨プラテンとの間に真空お
よび圧力の双方を供給するようになっている。
【0012】別の態様では、ポリシング媒体アセンブリ
は、第1ローラおよび第1ローラに相隣る第2ローラを
含む。ポリシング材のウェブは、第1および第2ローラ
のまわりで単一のロールなすように巻かれている。
【0013】別の態様では、半導体基板ポリシング装置
は、研磨プラテン上に配置された少なくとも第1の部分
を有するポリシング材ウェブを含んでいる。研磨ヘッド
は、プラテンの付近に配置される。研磨ヘッドとプラテ
ンは相対的に振動する。
【0014】別の態様では、ワークピースを処理する方
法も開示されている。ある態様では、この方法は、ハウ
ジングに収容されたポリシング材ウェブを給送するステ
ップと、研磨プラテンを研磨ヘッドと相対的に振動させ
るステップとを含む。
【0015】
【発明の実施の形態】本発明の開示は、添付の図面とと
もに以下の詳細な説明を検討することによって容易に理
解することができる。
【0016】理解を容易にするため、図面に共通の同一
要素は、可能な限り同一の参照番号を用いて示される。
【0017】図1は、ケミカルメカニカル平坦化システ
ム100の平面図である。典型的なシステム100は、
一般に、工場インタフェース102と、ローディングロ
ボット104と、ポリシングモジュール106とを備え
ている。一般に、ローディングロボット104が工場イ
ンタフェース102およびポリシングモジュール106
の付近に配置され、両者間の基板搬送を容易にしてい
る。
【0018】コントローラ108は、システム100を
構成するモジュールの制御および統合を容易にするため
に設けられている。コントローラ108は、中央演算装
置(CPU)110、メモリ112、および支援回路1
14を備えている。コントローラ108は、システム1
00の様々な構成要素に接続されており、例えば、研磨
プロセス、洗浄プロセスおよび搬送プロセスの制御を容
易にしている。
【0019】工場インタフェース102は、一般に、洗
浄モジュール116および一つ以上のウェーハカセット
118を含む。ウェーハカセット118、洗浄モジュー
ル116および入力モジュール124間で基板122を
搬送するためにインタフェースロボット120を用いら
れる。入力モジュール124は、ローディングロボット
104によるポリシングモジュール106および工場イ
ンタフェース102間の基板122の搬送を容易にする
ように配置されている。例えば、インタフェースロボッ
ト120によってカセットから回収された未研磨の基板
122を入力モジュール124に搬送し、ここでローデ
ィングロボットが基板122にアクセスできるようにな
っていてもよく、また、ポリシングモジュール106か
ら戻った研磨済み基板122を、ローディングロボット
104によって入力モジュール124内に配置してもよ
い。研磨済み基板122は、通常、入力モジュール12
4から洗浄モジュール116に移され、その後、工場イ
ンタフェースロボット120が洗浄済み基板122をカ
セット118に戻す。好適に使用することができるこの
ような工場インタフェース102の一例は、1999年
6月15日出願の米国特許仮出願第60/139,22
2号に開示されている。
【0020】ローディングロボット104は、一般に、
工場インタフェース102およびポリシングモジュール
106の付近に配置され、ロボット104によって行わ
れる運動の範囲が両者間の基板122の搬送を容易にす
るようになっていいる。ローディングロボット104の
一例は、カリフォルニア州リッチモンドにあるKensingt
on Laboratories社によって製作された4リンクロボッ
トである。
【0021】典型的なローディングロボット104は、
末端に回転アクチュエータ128を有する連接アーム1
26を有している。エッジ接触グリッパ130が回転ア
クチュエータ128に連結されている。回転アクチュエ
ータ128は、グリッパ130によって固定された基板
122を、基板122の図形側120に接触したり露出
した図形にスクラッチやダメージを与えることなく、垂
直または水平方向に向けることができる。また、エッジ
接触グリッパ130は、搬送中、基板122を確実に保
持し、基板122が脱落しないようにする。静電グリッ
パ、真空グリッパ、機械グリッパ等、他の種類のグリッ
パに代えてもよい。
【0022】本発明とともに好適に使用することができ
る一つのポリシングモジュール106は、カリフォルニ
ア州サンタクララにあるApplied Materials社によって
製作されたREFLEXIONS(商標)ケミカルメカニカルポリ
ッシャである。ポリシングパッド、ポリシングウェブ、
またはそれらの組合せを用いるものを含む他のポリシン
グモジュール102も好適に使用することができる。別
の好適なシステムには、基板を平面内で回転、直線その
他の運動状態で研磨面に対し相対移動させるシステムが
含まれている。
【0023】代表的なポリシングモジュール106は、
搬送ステーション136と、複数のポリシングステーシ
ョン132と、マシンベース140の上面または第1側
面138に配置されたカルーセル134と、を有する。
ある実施形態では、搬送ステーション136は、少なく
とも入力バッファステーション142、出力バッファス
テーション144、搬送ロボット146、およびロード
カップアセンブリ148を備えている。ローディングロ
ボット104は、入力バッファステーション142上に
基板122を配置する。搬送ロボット146は、二つの
グリッパアセンブリを有しており、これらは、基板12
2を基板のエッジで把持する空気圧式グリッパフィンガ
ーをそれぞれ有している。搬送ロボット146は、入力
バッファステーション142から基板122を持ち上
げ、グリッパおよび基板122を回転させてロードカッ
プアセンブリ148の上方に基板122を配置し、その
後、ロードカップアセンブリ148上に基板122を下
ろす。好適に使用することの可能な搬送ステーションの
一例は、1999年10月6日出願の米国特許出願第0
9/314,771号に記載されている。
【0024】カルーセル134は、Tollesによって前述
の米国特許第5,804,507号に全般的に記載され
ている。一般に、カルーセル134は、ベース140の
中央に配置される。カルーセル134は、通常、複数の
アーム150を含み、これらのアームは、それぞれ研磨
ヘッドアセンブリ152を支持する。図1に示されるア
ーム150のうちの二つは想像線で示してあり、ポリシ
ングステーション132および搬送ステーション136
のいずれかの研磨面131が見えるようにしてある。カ
ルーセル134は、研磨ヘッドアセンブリ152をポリ
シングステーション132と搬送ステーション134と
の間で移動させることができるように割出し可能であ
る。
【0025】図2は、ポリシングステーション132に
支持された研磨ヘッド204アセンブリ152の断面図
である。研磨ヘッド204アセンブリ152は、一般
に、研磨ヘッドに接続された駆動装置202を備えてい
る。駆動装置202は、一般に、研磨ヘッド204に回
転運動を与える。さらに、研磨ヘッド204は、研磨ヘ
ッド204に保持された基板122をポリシングステー
ション132上に配置できるように、ポリシングステー
ション132に向かって延びるように作動させることが
できる。
【0026】駆動装置202は、カルーセル134のア
ーム150内に設けられたレール210上を並進するキ
ャリア208に接続されている。ボールねじや他の直線
運動装置212がキャリア208をカルーセル134に
接続し、駆動装置202および研磨ヘッド204をレー
ル210に沿って配置する。
【0027】ある実施形態では、研磨ヘッド204は、
カリフォルニア州サンタクララにあるApplied Material
s社によって製作されたTITAN HEAD(商標)ウェーハキ
ャリアである。一般に、研磨ヘッド204は、延長リッ
プ部216を有するハウジング214を備えている。こ
の延長リップ部216は、ブラダ220が配置しされる
中央凹部218を画成する。ブラダ220は、エチレン
プロピレン、シリコーン、HYTREL(商標)等のエラスト
マー材料または熱可塑性エラストマーから構成すること
ができる。ブラダ220は、流体ソース222に接続さ
れ、ブラダ220の膨張または収縮を制御し得るように
なっている。ブラダ220は、基板122と接触する
と、収縮により研磨ヘッド204内に基板122を保持
し、基板122とブラダ220との間に真空を生成す
る。流体ソース(図示せず)は、通常、中央凹部218
内に配置され、ブラダ220に湿潤させる。この流体
は、真空が与えられたときに基板122およびブラダ2
20間のシールを高めることでブラダ220への基板1
22の保持を補助する。また、ブラダ220および基板
122間の流体は、ブラダ220に基板122を保持す
る表面張力を与える。リテーナリング224は、研磨ヘ
ッド204に外接し、ポリシング中、研磨ヘッド204
内に基板122を保持する。
【0028】ポリシングステーション132は、一般
に、ベース140上に回転式に配置されたプラテン23
0を備えている。プラテン230は、一般に、アルミや
その他の耐食性材料から構成される。プラテン230
は、軸受238を用いてベース140の上方に支持され
る。軸受238の内部領域は空いており、プラテン23
0に連絡する電気的、機械的、空気圧的制御信号および
結線用の管路を与える。
【0029】電気的、機械的、空気圧的制御信号および
結線をベース140および回転プラテン230間に結合
できるように、従来の軸受、回転ユニオンおよびスリッ
プリング(図示せず)が設けられる。プラテン230
は、通常、プラテン230に回転運動を与えるモータ2
32に接続される。
【0030】プラテン230は、プラテン230をベー
ス140に連結する中空軸238によって支持される上
方部分236を有している。一般に、上方部分236
は、「貼り付け」または接着剤裏打ち研磨面を用いる場
合は円形であり、ウェブ上に配置された研磨面を用いる
場合は方形である。
【0031】ある実施形態では、プラテン230の上方
部分236は方形であり、対向する短辺244、246
を有する。巻出しステーション240および巻取りステ
ーション242が、短辺244および短辺246にそれ
ぞれ配置されている。巻出しステーション240は、ポ
リシング材252が巻きつけられる軸または巻出しリー
ル250を支持する軸レシーバ248を有する。一般
に、ポリシング材252の未使用部分は、処理前に巻出
しリール250に蓄積される。
【0032】図3は、巻出しリール302の別の実施形
態を示す。巻出しリール302は、単ロールをなすよう
にポリシング材252が巻きつけられる第1ローラ30
4および第2ローラ306を備えることができる。ポリ
シング材252は、巻出しリール302から巻き出され
ると、第1ローラ304および第2ローラ306をそれ
ぞれその中心軸を中心にして回転させる(すなわち、第
1ローラ304および第2ローラ306はプラテン23
0に対して静止状態を保つ)。この他に、コア(図示し
ない)を第1ローラ304および第2ローラ306の周
りに配置してもよい。コアは、静止状態を保ってもよい
し、巻出しリール302から取り出されるポリシング材
252とともに回転してもよい。コアとともに用いた場
合、第1ローラ304および第2ローラ306は回転し
てもよいし、静止状態を保ってもよい。第1ローラ30
4および第2ローラ306間に巻かれたポリシング材2
52を有することによって、単一の円形軸に巻きつける
場合よりも、ロールの高さ「X」あたりにより長い直線
長さのポリシング材252を巻出しリール302に巻き
つけることができる。
【0033】図2に戻ると、非腐食性材料から成るハウ
ジングまたはシールド部材256は、一般に、巻出しス
テーション240を覆うように配置され、ポリシング材
252を含むリール250を取り囲んでいる。アイドラ
255がシールド部材256に連結されており、シール
ド部材252が閉位置にある時、アイドラ255は、プ
ラテン230に連結された駆動ホイール257とポリシ
ング材252を挟持する。駆動ホイール257は、リー
ル250からポリシング材252を引き出すために用い
られる。駆動ホイール257には、エンコーダ(図示せ
ず)が接続されている。エンコーダは、コントローラ1
08に接続され、ポリシング材252の動きを監視する
(すなわち、割送りされるポリシング材の量を制御す
る)。
【0034】ポリシング材252の一部は、巻出しステ
ーション240および巻取りステーション242間にお
いてプラテン230の上方部分236の上面260を横
断するように配置される。一対のローラ270がプラテ
ン230の短辺244、246に配置され、ポリシング
材252はプラテン230のエッジを擦ることなく上面
260を移動できるようになっている。ローラ270
は、任意で、ポリシング材252の摩損を最小限に抑え
る上面260上の丸みに代えることができる。
【0035】シールド部材256とローラ170上に配
置されたポリシング材252との間にはギャップ258
が画成されている。ガス供給口262がプラテン230
に設けられている。この開口部262は、シールド部材
256によって囲まれた領域にあり、ガスソース261
に連通している。ギャップ258は、開口部262を通
って供給されるガス(すなわち空気)がギャップ258
を通って逃げることができるように形成されている。ギ
ャップ258を通る空気は、研磨化学薬品、研磨副生物
等の汚染物質がギャップ258を通って侵入したり、ポ
リシング材252の上に堆積しないようにする。汚染物
質が堆積したポリシング材は、後でポリシング中に基板
122にダメージを与えることがある。
【0036】図4に示すように、ウェブダム400を形
成することで、流体汚染物質が巻出しステーション24
0に侵入しないようにしてもよい。ウェブダム400
は、ポリシング材252がプラテン240に固定された
後、巻出しステーション240からポリシング材252
の一部402を送り出すことによって形成される。送り
出した部分402は、ポリシングプロセスが実行される
プラテン240に固定されたポリシング材252の平面
の上方に持ち上がった材料の隆起を形成する。従って、
流体、研磨副生物その他のデブリは、プラテン240が
回転するにつれてプラテンから外側へ移動するが、送出
し部分402によって形成された隆起によって巻出しス
テーション240への侵入が阻止される。この他に、プ
ラテンから突出する部材(図示せず)がウェブダム40
0を形成してもよい。
【0037】図5は、巻出しステーションの別の実施形
態510を示す。巻出しステーション510は、図2を
参照して説明した巻出しステーション240と同様に構
成されている。巻出しステーション510は、ポリシン
グ材252に当てて配置されたワイパー512を更に備
えている。一般に、ワイパー512は、汚染物質やポリ
シングプロセスに使用された流体が巻出しステーション
510に侵入しないように配置される。ワイパー512
は、プラテン240に連結されていてもよいし、あるい
はシールド部材256に連結されていてもよい。ワイパ
ー512は、通常、プラスチックまたはエラストマーの
シートまたはローラを備えている。ある実施形態では、
ワイパー512は、径方向外向きに延在する複数のフィ
ンガー514を有するローラを構成している。ポリシン
グ材252が給送されると、少なくともフィンガー51
4の一つがポリシング材との接触を維持し、これにより
汚染物質に対する障壁を維持する。
【0038】図2を参照すると、巻取りステーション2
42は、巻出しステーション240と同様に構成されて
いる。巻取りステーション242は、巻取りリール26
6に接続または一体化された張力装置264を更に備え
ており、この張力装置は、巻出しリール250および巻
取りリール266間で所定の張力を維持する。張力装置
264は、定荷重機構を含んでいてもよいし、あるいは
サーボまたはステップモータを含んでいてもよい。
【0039】一般に、ポリシング材252は、基板をポ
リシングする合間にプラテン230の上方部分236を
横断するように割送りされる。通常、駆動ホイール25
7は、(エンコーダからのフィードバックによって)調
整可能な所定長さ(通常、約0.05〜1.0インチ)
の未使用ポリシング材252を巻出しリール250から
引き出す。対応する長さの使用済みポリシング材が、張
力装置264によって巻取りリール266に巻きつけら
れるので、プラテン230の上方部分236上に配置さ
れたポリシング材252の部分は巻取りステーション2
42の方へ所定の長さだけ給送される。この他に、駆動
ホイール257および張力装置264は、ポリシング中
にポリシング材252をプラテン230を横断するよう
に断続的または連続的に給送してもよい。
【0040】駆動ホイール257は、任意で、周期運動
ではなく低速で連続的な運動を利用してポリシング材2
52を割送りしてもよい。この割送りはポリシング中に
行ってもよいし、ポリシングの合間に行ってもよい。ポ
リシング中にウェーハおよびプラテンがともに周回する
間、ウェーハの下でウェブをゆっくりと移動させる実施
例のためにトラクタ給送装置を設けることができるの
で、ウェブは、付随する移動速度制御を利用して連続的
に補充される。この他に、移動は急速な直線運動であっ
てもよい。この種のポリシングの場合、ウェーハおよび
ウェブ間、またはウェーハおよび研磨材間の相対運動が
毎秒約1/2〜1mの範囲であることが望ましい。この
割送りは、ウェーハポリシング運動に比べて低速であ
る。この割送りがポリシング中に行われる場合、移動は
周回運動よりも遅く、例えば少なくとも毎秒1/2mよ
り遅い(例えば毎秒1/10m)。
【0041】プラテン230の上面260には、中央凹
部276が形成されている。サブパッド278およびサ
ブプレート280が中央凹部276に配置されている。
サブパッド278は、通常、特定のポリシング結果をも
たらすように選択されたジュロメータを有する発泡ポリ
ウレタン等のプラスチックである。サブパッド278
は、一般に、研磨ヘッド204に保持された基板122
の平面に合致し、基板のグローバル平坦化を促進する。
サブプレート280が、サブパッド278と凹部276
の底面との間に配置されており、サブパッド278の上
面がプラテン230の上面260と同一平面上に位置す
るようになっている。
【0042】サブパッド278は、任意で、高い個所に
ローカルプラナリティを与えるために局所スチフナを含
んでいてもよい。この方式では、大規模でも局所的な規
模でも均一性が達成される。例えば軟質パッドの代わり
により硬質の材料を用いることにより、薄い補強層を設
けて、大きな距離にわたって柔軟性を得ることも可能で
ある。別の態様は、バッキングシートに硬質材料を用い
ている。
【0043】サブパッド278およびサブプレート28
0の双方は、複数の孔282を任意で含んでいる。これ
らの孔は、一般に、基板122のポリシング運動によっ
て基板122の離散的な部分が基板122の他の部分に
比べてポリシング中に孔282を何度も通過しないよう
なパターンに配置される。真空孔284は、凹部276
に設けられ、外部ポンプ286に接続されている。真空
孔284を介して真空引きが行われると、ポリシング材
252およびサブパッド278間から取り除かれた空気
によって、ポリシング材252は、ポリシング中、サブ
パッド278に確実に保持される。このようなポリシン
グ材保持装置の一例は、Sommerらによる米国特許出願第
09/258,036号(1999年2月25日出願)
に開示されている。他の種類の装置、例えば接着剤、結
合剤、静電チャック、機械クランプその他の保持機構
も、ポリシング材252をプラテン230に固定するた
めに用いることができる。
【0044】ポリシング材252を給送する前にサブパ
ッド278からポリシング材252を解放するために
は、サブパッド278とポリシング材252との間に存
在する可能性のある流体によって生ずる表面張力に打ち
勝つ必要がある。ポンプ286は、一般に、真空孔28
4またはその他の開口部(図示せず)を介して凹部27
6にガス(例えば空気)を供給するように構成されてい
る。凹部276内の空気圧は、サブパッド278および
サブプレート280に設けられた孔282を通って移動
し、サブパッド278およびプラテンの上面260から
ポリシング材252を持ち上げる。ポリシング材252
はエアクッションに乗るので、ポリシング材をプラテン
230上で自由に割送りできるようになる。この他に、
サブパッド278は、ガス(例えば空気)が通り抜けて
プラテン230からポリシング材252を持ち上げるこ
との可能な多孔質材料であってもよい。
【0045】ローラ270の一方または双方は、ローラ
の外周271がプラテンの上面260の上方に突出する
ように配置されていてもよい。ポリシング材252の下
方に空気を送ることによってポリシング材がサブパッド
278から持ち上げられると、突出するローラ270
は、ポリシング材252とサブパッド278との間に付
加的な隙間を与える。このポリシング材252とサブパ
ッド278との間の付加的な隙間は、ポリシング材25
2の給送中、ポリシング材252とサブパッド278の
接触(および表面張力の発生)の可能性を低減する。
【0046】ポリシング材252は、円滑面、模様付き
面、固定砥粒を有する面またはこれらの組合せを有する
ポリシングパッドまたはウェブを備えていてもよい。ま
た、ポリシング材252は、ロールまたはシート状の材
料であってもよい。ポリシング材252は、任意で、接
着剤、真空、機械クランプまたはその他の保持方法によ
って解放可能にプラテン230に固定することができ
る。
【0047】一般に、固定砥粒を有するポリシングパッ
ドも、固定砥粒を有さないポリシングパッドも使用する
ことができる。固定砥粒なしポリシングパッドは、一般
にポリウレタンから成り、研磨材を含む研磨流体ととも
に使用される。従来のパッド(すなわち固定砥粒なしパ
ッド)は、デラウェア州ニーウォークのRodel社から市
販されている。
【0048】ある実施形態では、ポリシング材252
は、複数の研磨要素が配置されたバッキング材のウェブ
を備えている。バッキング材は、一般に、マイラー等の
可撓性材料である。各研磨要素は、結合剤中に封入され
た複数の研磨粒子を含んでいる。結合剤はコンディショ
ニング装置によって、あるいはポリシングプロセス中に
除去されるので、研磨粒子が露出してポリシングプロセ
スの機械的部分を提供する。このような研磨ウェブの一
例は、前述のRutherfordらによる米国特許第5,69
2,950号およびHaasらによる米国特許第5,45
3,312号に記載されている。
【0049】図6は、コントローラ108に接続された
光学監視システム602を含むプラテン630上に配置
されたポリシング材652の一部を示している。監視シ
ステム602は、基本的に、基板を照射し反射して戻る
レーザである。基板上の膜が厚くなったり薄くなるにつ
れて反射強度は特徴的な振る舞いで変化し、センサを用
意することによりCMP中に正確な膜厚測定を行うこと
が可能になる。本発明は、プラテン630中に封止窓6
08を設ける。好適に使用することのできる光学監視シ
ステムは、Birangらによる米国特許出願第08/68
9,930号(1996年8月16日出願)に記載され
ている。
【0050】図1に戻ると、複数のリンスアーム154
がポリシングステーション132に隣接して配置されて
いる。リンスアーム154の少なくとも一つが各ポリシ
ングステーション132に隣接して配置されている。リ
ンスアーム154は、一般に、研磨流体および洗浄流体
をリンスアーム154に沿って配置された複数のノズル
156にそれぞれ供給する2本以上の供給管(図示せ
ず)を含む。各ノズル156は、研磨流体、洗浄流体、
または研磨および洗浄流体の双方を供給するようになっ
ている。研磨流体は、スラリまたは液体から構成されて
いてもよく、また、化学研磨剤、純水またはそれらの組
合せを含んでいてもよい。さらに、任意で研磨粒子が研
磨流体中に含まれていてもよい。洗浄流体は、通常、純
水から成るが、他の流体を用いてもよい。
【0051】一般にリンスアーム154は、ノズル15
6が、通常、研磨流体または洗浄流体によってポリシン
グステーション132の研磨面131全体を湿潤させる
ことができるように作動させられる。ある実施形態で
は、リンスアームは、各ポリシング作業の合間(すなわ
ち、各基板122の間)に純水を供給する。洗浄流体
は、研磨要素の間から、あるいはポリシング材252の
上面から、デブリ、遊離材料、その他の汚染物質を除去
する。
【0052】好適に使用することができるリンスアーム
の一例は、Birangらによる米国特許出願第09/24
4,456号(1999年2月4日出願)およびShijia
nらによる米国特許出願第09/512,745号(2
000年2月24日出願)に開示されている。
【0053】別の実施形態では、リンスアーム154
は、酸化剤、錯化剤、抑制剤、可溶化剤、緩衝剤、純水
等の一つ以上の化学薬剤を含む研磨流体を供給する。こ
の他に、研磨材を含むことのできるスラリを用意しても
よい。一般に、研磨流体は、ポリシングプロセスの化学
的部分(すなわち化学作用)を提供する。研磨材は、研
磨流体にあろうとも、固定砥粒パッド上にあろうとも、
ポリシングプロセスの機械的部分を提供する。
【0054】例えば、固定砥粒パッドを用いて銅を研磨
する場合、研磨流体は、一般に、銅表面上にCuOを形
成する酸化剤を含む。NH3等の研磨流体中の錯化剤
は、CuOと結合してCu(NH4xを形成する。ここ
でxは、1〜6である。また、CuOと結合するBTA
等の抑制剤が与えられ、CuO表面上のサイトを錯化剤
と争うことになる。Cu(NH4xは比較的可溶性であ
るので、この化合物は銅表面から溶液中へ移動するが、
BTA−CuO化合物は、銅表面で比較的安定してい
る。従って、表面からの銅の化学的除去率は、抑制剤対
錯化剤の比を制御することによって制御可能である。一
般に、CuOは研磨粒子より硬質であるので、パッドの
ポリシングは、抑制剤または錯化剤と反応して別の化合
物を形成したCuOのみを除去する。
【0055】任意で、振動エネルギー源またはトランス
ジューサ133が、ポリシング作業中、研磨面131に
エネルギーを加えるようにしてもよい。印加されたエネ
ルギーは、従来の研磨運動に比較して微細な運動(すな
わち振動)を与える。トランスジューサ133は、ポリ
シングステーション132または研磨ヘッドアセンブリ
152を介して研磨面131にエネルギーを結合しても
よい(トランスジューサ133は、ポリシングステーシ
ョン132に接続された状態で示してある)。
【0056】一般に、トランスジューサ133は、研磨
面131および基板122に対して高周波小振幅振動を
加えることにより研磨面131を振動させる。振動周波
数は、約10〜約1000mHzの範囲とすることがで
きる。ある実施形態では、トランスジューサ133は電
気アクチュエータである。トランスジューサ131は、
研磨面131および基板122に対する毎秒約1mの移
動における印加エネルギーに対して、多様な、または調
節可能な周波数を有するように選択することができる。
この印加エネルギーは、正弦波Xおよび正弦波Y変位を
与えてもよい。XおよびY変位は、円形、すなわち軌道
運動を形成してもよい。この他に、印加エネルギーは、
一方向への運動を生じてもよい。
【0057】図7は、ポリシングステーションの別の実
施形態700を示している。ポリシングステーション7
00は、ポリシングパッドおよびサブプレートの代わり
にサブパッドブラダ702が用いられていることを除い
て、図2を参照して説明したポリシングステーション1
32と同様に構成されている。サブパッドブラダ702
は、流体供給装置706に接続されている。センサ70
4が、サブパッドブラダ702またはサブパッドブラダ
702と供給装置706との間に置かれた供給ライン7
10に接続され、ブラダ圧力の値をコントローラ108
に出力する。サブパッドブラダ702の圧力は、サブパ
ッドブラダ702の剛性および研磨面の形状合致性を制
御するよう調整することができる。一般に、サブパッド
ブラダ702は、エチレンプロピレン、シリコン、HYTR
EL(商標)等のゴム弾性エラストマーまたは熱可塑性エ
ラストマーから製造される。
【0058】サブパッドブラダ702は、任意で、可動
ベルトの形にすることができる。このベルトは、自由回
転であっても共通駆動であってもよい。2リール構造の
潜在的な弱点の一つは、プロセス重量が巻取りリール内
に配置されるときにプロセス重量を支える面の前部が、
そのプロセス重量を次のラックの背面に加えることであ
る。従って、ウェブが巻き上がり、ウェブの次のラップ
の背面を汚染する。直線研磨の次の走行を行うためリー
ル方向を逆にした場合、汚染された裏面が、ウェーハに
逆行する力を付与するベルトと当接して、ベルトを汚染
する。ベルトはリール全体よりもはるかに短いので、ベ
ルトの汚染はウェブ材料の全長に及び、したがって、基
本的にリール間材料の全長にわたって汚れの痕跡を残す
ことになる。本実施形態は、ベルトに代えてリール間裏
面を用いるとともにこれをウェブ材料と実質的に同一の
長さにして汚れの痕跡を残す仕組みをなくすことで問題
を解決する。前部から後部へ汚れが伝わるが、装置全体
への汚れの拡散は避けられる。
【0059】図8、図9(a)および図9(b)は、単
一の使い捨てまたはリサイクル可能なアセンブリにまと
められたポリシング材のウェブ802および巻出しリー
ル804を含んだポリシング媒体アセンブリ800を示
している。ポリシング媒体アセンブリ800は、軸また
は巻出しリール804(想像線で示す)に巻きつけられ
たポリシング材ウェブ802を含む。巻出しリール80
4は、任意で、ウェブ802が図3を参照して説明した
ように単一ロールをなすよう巻きつけられる第1ローラ
および第2ローラ(図示せず)を含んでいてもよい。ウ
ェブ802および巻出しリール804は、ウェブ802
が貫通する開口部808を有するカートリッジ806に
収納されている。一般に、カートリッジ806は、短辺
244に隣接して配置され、ウェブ802は、ローラ2
70の上方においてプラテン230の上面260を横断
させて巻取りリール266に供給することができる。カ
ートリッジ806は、一般に、プラスチック等のリサイ
クル可能な材料から成る。
【0060】カートリッジ806内にはアイドラ810
が配置される。駆動ホイール811がプラテン830に
接続されており、この駆動ホイール811は、カートリ
ッジ806がプラテン830上に配置されたときにアイ
ドラ810とホイール811との間にウェブ802を挟
持するように配置される。駆動ホイール811をコント
ローラ108に接続して、ホイール811によって給送
されるウェブ802の量を制御してもよい。
【0061】カートリッジ806は、通常、アセンブリ
800の端部に配置された取付け部材812を含む。取
付け部材812は、一般に、プラテン230に連結し、
アセンブリ800をプラテン230に着脱自在に固定す
る。ある実施形態では、取付け部材812は、プラテン
230に連結された軸ホルダ816に連結するカートリ
ッジ806から延在する軸814を備えている。ウェブ
802が上面260を横断して巻取りリール266へ適
切に配置されるように、取付け部材812は、プラテン
230に対してアセンブリ800を着脱自在に固定する
カートリッジ806に配置され、または組み込まれた他
の構造を含んでいてもよい。このような取付け手段の例
には、孔、突起、外周クランプ、スナップフィット、真
空クランプ、機械止め金、ファスナ等が含まれる。
【0062】図10、11および12は、巻出しステー
ションおよび巻取りステーションの構成部品を単一の使
い捨てまたはリサイクル可能なアセンブリにまとめたポ
リシング媒体アセンブリ1000の別の実施形態を示し
ている。ポリシング媒体アセンブリ1000は、ポリシ
ングステーション1010のプラテン1012の上面1
014に配置された中央部1008によって分割される
第1端部1004および第2端部1006を有するカー
トリッジ1002を備えている。カートリッジ1002
は、一般に、プラスチック等のリサイクル可能な材料か
ら成る。
【0063】第1端部1004は、ポリシング材ウェブ
1104の一部が巻きつけられたカートリッジ1002
に接続された軸または巻出しリール1102を備えてい
る。第1ガイドローラ1106は、第1端部1004お
よび中央部1008間の遷移部分の付近でカートリッジ
1002に接続される。巻出しリール1102は、任意
で第1ローラおよび第2ローラを含んでいてもよく、ウ
ェブ1104が巻かれて第1ローラおよび第2ローラの
まわりに単一ロールをなすようになっていてもよい。第
1端部1004にはアイドラ1208が配置される。
【0064】第1端部1004は、第1端部1004の
内面1112を貫通するように配置された開口部111
0を更に備えている。開口部1110は、駆動ホイール
1022が第1端部1004内に延在し、アイドラ12
08とウェブ1104を挟持できるように構成されてい
る。駆動ホイール1022は、コントローラ108から
の信号に応じて、巻出しリール1102から巻き出され
るべき所定長さのポリシング材ウェブ1104を給送す
る。
【0065】一般に、プラテン1012は、プラテン1
012上に配置されたシール1024を含んでいる。シ
ール1024は、第1端部1004の内面1112に連
結し、開口部1020に外接するようになっている。シ
ール1024は、ガスケット、ブラシ、またはプラテン
1012およびカートリッジ1002間の流体の流れを
最小限に抑える他の手段(例えば、ウレタンフォームガ
スケット)から構成されていてもよい。流体口1042
は、プラテン1012上においてシール1024が外接
する領域内に設けられる。空気等の流体が流体口104
2を介して第1端部1004に供給されると、少なくと
も空気の一部が開口部1020から流出し、汚染物質
(すなわち研磨流体、研磨副生物等)がアセンブリ10
00の第1端部1004に侵入することを防ぐ。
【0066】第2端部1006は、ポリシング後にポリ
シング材ウェブ1104が巻きつけられる軸または巻取
りリール1202を備えている。一般に、ウェブ110
4の末端部は巻取りリール1202に接続されており、
ウェブ1104はポリシングプロセスによって割送りさ
れて消費(すなわち使用)されるが、ウェブ1104の
余分な長さ部分は巻取りリール1202に巻きつけられ
る。巻取りリール1202は、任意で第1ローラおよび
第2ローラ(図示せず)を含んでいてもよく、ウェブ1
104が巻かれて第1および第2ローラのまわりに単一
ロールをなすようになっていてもよい。巻取りリール1
202は、第2端部1006内に配置された張力装置1
204に接続され、ウェブ1104が巻出しリール10
24および巻取りリール1202間で一定の張力を維持
するようになっている。ポリシング材ウェブ1104が
巻出しリール1102から巻き出されると、張力装置1
204は、ポリシング材が巻取りリール1202に巻き
つけられるようにし、プラテン1012の上面1014
を横断させてポリシング材を割送りする。張力装置12
04は、任意で第2端部1006の一体形成(すなわ
ち、第2端部に設けられた)部分とすることができ、調
節のためにカートリッジ1002の第2端部1006中
の作業開口部1020を介してアクセスできるようにな
っていてもよい。
【0067】第2ガイドローラ1206は、中央部10
08に隣接した第2端部1006内に配置されている。
ウェブ1104は、第1ガイドローラ1106および第
2ガイドローラ1206間に配置され、ウェブ1104
がプラテン1012の上面1014と略同一平面上に配
置されるようになっている。
【0068】さらに図13を参照すると、カートリッジ
1002の中央部1008は、第1端部1004を第2
端部1006に接続する第1部材1030および第2部
材1032を備えている。第1部材1030および第2
部材1032は、一般に、互いに平行であり、両者の間
に窓部1034を画成する。第1部材1030および第
2部材1032は、ウェブ1104のエッジ1302を
取り囲む、内向きに対峙する「C」字状の断面を有して
おり、ウェブ1104がプラテン1012を横断して走
行するときにウェブ1104をガイドする。第1端部1
004および第2端部1006は、それぞれ開口部10
20、1120を有しており、この開口部によって第
1、第2端部1004、1006の内部は窓部1034
に連通することができる。ウェブ1104は、第1端部
1004から第1および第2部材1030、1032間
を通って第2端部1006内に移る。窓部1043は、
研磨ヘッドアセンブリによって保持される基板112が
所定の研磨パターンで移動するために十分な空き領域を
提供しつつウェブ1104をプラテン1012(および
サブパッド)上に配置することができるように構成され
る。
【0069】プラテン1012は、一般に、より低い周
部分1044によって囲まれた隆起中央部1042を有
する。アセンブリ1000がプラテン1012に取り付
けられるとき、アセンブリ1000(第1部材103
0、および第2部材1032)は、低い周部分1044
に配置され、中央部1042は窓部1043内に突出す
る。中央部1042および低い周部分1044の相対的
な高さは、第1ガイドローラ1106および第2ガイド
ローラ1206間に配置されたウェブ1104がプラテ
ン1012の上面1014の付近に位置するようになっ
ている。
【0070】プラテン1012は、通常、アセンブリ1
000がプラテン1012に連結されるときにアセンブ
リ1000を支持する隆起部1040を含んでいる。隆
起部1040は、カートリッジ1002から延在するタ
ブ1048を収容する切欠き1046を含む。タブ10
40および切欠き1046は、アセンブリ1000およ
びプラテン1012の第1、第2端部1004、100
6の双方にそれぞれあってもよい。タブ1048は、プ
ラテン1012の回転中にアセンブリ1000が動かな
いようにしている。第1端部1004の内面1112、
および内面1222は、プラテン1012上で「スナッ
プ」するように傾斜していてもよい。プラテン1012
は、アセンブリ1000をプラテン1012に保持する
ために、ラッチ、スナップフィット、止め金、フック、
ねじ、その他の取付け装置をさらに含んでいてもよい。
【0071】図14(a)〜(d)は、ウェブ252が
プラテン230を横断して給送されるときにウェブ25
2の横方向の移動を最小限に抑える手段を有するローラ
の様々な他の実施形態を示している。これらのローラ
は、プラテン上またはポリシング媒体アセンブリ内の一
つ以上の場所で用いることができる。ある実施形態で
は、ローラ1400Aの中央での直径はローラの端部で
のローラ直径より大きく、クラウン1402を形成して
いる。クラウン付ローラは、ローラの中央で張力が大き
く、ウェブの中央がローラの中央上を走行するようにな
っている。別の実施形態では、ローラ1400Bはその
幅全体にわたって凹状であり、中央部1404で直径が
最小となっている。別の実施形態では、ローラ1400
Gがフランジ付端部1406Aおよび1406Bを有
し、これらが両者の間にウェブ252を維持する。フラ
ンジ付端部1406Aは突出したエッジを含んでおり、
これは、その上にウェブ252が少なくとも部分的に乗
り上げることを許容する。フランジ付端部1406Bは
径方向に延在する壁部分1408を含んでおり、これに
よってウェブ252はフランジ1406Bを越えて動か
ないようになる。別の実施形態では、ローラ1400D
が、丸みエッジや面取り部などの逃げ1412をローラ
1400Dの各端部に有している。逃げ1412に重な
るウェブ252のエッジはウェブ252の長さに沿って
引張され、ウェブ252が移動中に横方向に動かないよ
うになっている。
【0072】他の実施形態では、ウェブ材からなる表面
を有する大型膨張ローラが設けられる。この大型ブラダ
またはローラもしくはタイヤがウェーハ上に押し下げら
れ、路面上のタイヤのように回転式に擦られると、ウェ
ーハの底部で平らになりウェーハ全体にわたって均一な
ダウンフォースを与える。これは、回転中、ウェーハを
通過する直線運動を与える。タイヤを押し下げ、または
二つの面間でタイヤを圧縮するセンタリング機構を与え
る側壁などの機構が設けられる。従って、タイヤはウェ
ーハと上部ローラとの間で圧縮されるので、タイヤはウ
ェーハまたは多数のローラを押し下げることになる。
【0073】図1及び図2を参照すると、作業中、基板
122はインタフェースロボット120によってカセッ
ト118の一つから回収される。インタフェースロボッ
ト120は基板122を入力モジュール124に搬送
し、ここで基板はローディングロボット104によって
回収される。ローディングロボット104は、基板12
2を搬送ステーション136に搬送し、ここで基板12
2は研磨ヘッド204の一つにロードされる。
【0074】カルーセル134は、ポリシングステーシ
ョン132の一つの上方に基板122を配置する。駆動
装置が作動し、基板122をポリシング材に接触させた
状態に置く。研磨ヘッドおよびポリシング材の双方が回
転する一方、駆動装置はカルーセル134のアーム15
0に沿って振動し、ポリシング材252に対する基板1
22の研磨運動を与える。
【0075】ポリシング中、種々のセンサがコントロー
ラ108にプロセス情報を提供する。例えば、センサ7
04は、コントローラ108に基板122またはポリシ
ングプロセスの温度に関する情報を提供する。この温度
情報を用いてブラダ602およびチャネル286で用い
られる流体の温度を調節し、ポリシングプロセスを変化
させてもよい。例えば、ブラダ602内の流体を加熱し
て研磨流体と基板122との間の化学作用を高め、ポリ
シングレートを高めてもよい。この他に、ポリシング中
に用いられる化学薬品の割合を変えて(すなわち、抑制
剤に対するキレート化剤の割合を増加して)、より速い
ポリシングレートを導いてもよい。逆に、反対の手法に
よってポリシングレートを低減してもよい。
【0076】ポリシングが終了すると、基板122はポ
リシング材から取り除かれ、別のポリシングステーショ
ンに割送りされ、あるいは搬送ステーションに戻され
る。研磨済み基板122は、ローディングロボット10
4によって搬送ステーション104から回収され、工場
インタフェースモジュール102に搬送され、ここで基
板122は洗浄され、最終的に収納カセット118の一
つに戻される。
【0077】本発明の教示を詳細に図示および説明した
が、これらの教示になお含まれ、本発明の趣旨から逸脱
しないその他の多様な実施形態を考案することは当業者
にとって容易である。
【図面の簡単な説明】
【図1】本発明のケミカルメカニカル平坦化システムの
平面図である。
【図2】図1の切断線2−2に沿ったポリシングステー
ションの断面図である。
【図3】ポリシング材の細長ロールを示すポリシングス
テーションの部分断面図である。
【図4】ポリシングステーションの別の実施形態の部分
断面図である。
【図5】ポリシングステーションの別の実施形態の部分
断面図である。
【図6】光学監視システムを示すポリシングステーショ
ンの部分図である。
【図7】ブラダサブパッドを示すポリシングステーショ
ンの別の図である。
【図8】ポリシング媒体カートリッジを示すポリシング
ステーションの図である。
【図9】図8のポリシング媒体カートリッジの斜視図で
ある。
【図10】ポリシング媒体カートリッジおよびポリシン
グステーションの別の実施形態の分解斜視図である。
【図11】図10のポリシング媒体カートリッジの第1
端部の断面図である。
【図12】図10のポリシング媒体カートリッジの第2
端部の断面図である。
【図13】図10のポリシング媒体カートリッジの中央
部の断面図である。
【図14】様々なローラの実施形態の正面図である。
【符号の説明】
100…ケミカルメカニカル平坦化装置、102…工場
インタフェース、104…ローディングロボット、10
6…ポリシングモジュール、108…コントローラ、1
10…CPU、112…メモリ、114…支援回路、1
16…洗浄モジュール、118…ウェーハカセット、1
22…基板、132…ポリシングステーション、134
…カルーセル、136…搬送ステーション、152…研
磨ヘッドアセンブリ、230…プラテン、252…ポリ
シング材、802…ポリシング材ウェブ。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 ジェイムズ ヴイ. ティエッツ アメリカ合衆国, カリフォルニア州, フリーモント, レイノルズ ドライヴ 36712 (72)発明者 シジアン リー アメリカ合衆国, カリフォルニア州, サン ノゼ, ドニングトン ドライヴ 1202 (72)発明者 マヌーチャ ビラング アメリカ合衆国, カリフォルニア州, ロス ガトス, ファーヴル リッジ ロ ード 18836 (72)発明者 ジョン エム. ホワイト アメリカ合衆国, カリフォルニア州, ヘイワード, コロニー ヴュー プレイ ス 2811 (72)発明者 ローレンス エム. ローゼンバーグ アメリカ合衆国, カリフォルニア州, サン ノゼ, ホリー アン プレイス 1152 (72)発明者 マーティ スケイルズ アメリカ合衆国, カリフォルニア州, サン ノゼ, マクベイン アヴェニュー 1900 (72)発明者 ラミン エマミ アメリカ合衆国, カリフォルニア州, サン ノゼ, ドゥ ローズ ウエイ 1485 ナンバー124

Claims (11)

    【特許請求の範囲】
  1. 【請求項1】 ポリシング材のウェブと、 前記ポリシング材の少なくとも一部が巻きつけられた軸
    と、 前記軸を実質的に取り囲み、開口部を有するハウジング
    であって、前記ウェブが前記開口部を貫通して配置され
    るハウジングと、を備えるポリシング材アセンブリ。
  2. 【請求項2】 前記ハウジングは、 前記ウェブに連結された第2の軸と、 前記ハウジング内に形成された窓部であって、前記第1
    の軸と前記第2の軸との間に配置された前記ウェブの一
    部が露出する窓部と、を更に備える請求項1記載のアセ
    ンブリ。
  3. 【請求項3】 研磨プラテンと、 前記研磨プラテンの上方に配置された少なくとも一つの
    研磨ヘッドと、 前記研磨プラテン上に配置された第1の部分を有するポ
    リシング材のウェブと、 前記ポリシング材の少なくとも第2の部分が巻きつけら
    れた軸と、 前記軸を実質的に取り囲み、開口部を有するハウジング
    であって、前記ウェブが前記開口部を貫通して配置され
    るハウジングと、を備える半導体基板ポリシングシステ
    ム。
  4. 【請求項4】 研磨プラテンと、 前記研磨プラテン上に配置される少なくとも第1の部分
    を有するポリシング材のウェブと、 前記ウェブが横方向に移動することを防止する手段を有
    する少なくとも一つのローラと、を備える半導体基板ポ
    リシング装置。
  5. 【請求項5】 研磨プラテンと、 前記研磨プラテン上に配置された少なくとも第1の部分
    を有するポリシング材のウェブと、 前記プラテン内において前記第1部分の下方に配置さ
    れ、前記第1部分と前記研磨プラテンとの間にガスを供
    給する開口部と、を備える半導体基板ポリシング装置。
  6. 【請求項6】 前記開口部は、さらに前記第1部分と前
    記研磨プラテンとの間を真空引きできるようになってい
    る請求項5記載の装置。
  7. 【請求項7】 第1の外周を有する第1のローラと、 第2の外周を有する第2のローラと、を更に備え、前記
    第1外周および前記第2外周が前記プラテンの上面の上
    方に突出している、請求項6記載の装置。
  8. 【請求項8】 第1のローラと、 前記第1ローラに相隣る第2のローラと、 前記第1ローラおよび前記第2ローラのまわりに単一の
    ロールとなって巻かれるポリシング材のウェブと、を備
    えるポリシング材アセンブリ。
  9. 【請求項9】 研磨プラテンと、 前記研磨プラテン上に配置された少なくとも第1の部分
    を有するポリシング材のウェブと、 前記研磨プラテンに隣接して配置され、前記研磨プラテ
    ンとの間にスロットを画成するシールド部材であって、
    前記ウェブが前記スロットを貫通して配置されるように
    なっているシールド部材と、を備える半導体基板ポリシ
    ング装置。
  10. 【請求項10】 前記シールド部材がワイパーを更に備
    え、前記ウェブが、前記ワイパーと前記プラテンとの間
    に配置されるようになっている、請求項9記載の装置。
  11. 【請求項11】 研磨プラテンと、 前記研磨プラテン上に配置された少なくとも第1の部分
    を有するポリシング材のウェブと、 前記プラテンの付近に配置された研磨ヘッドと、 前記研磨ヘッドと前記プラテンとの間に運動を生じさせ
    る振動エネルギー源と、を備える半導体基板ポリシング
    装置。
JP2000134992A 1999-05-03 2000-05-08 ケミカルメカニカル平坦化システム Pending JP2000353679A (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US13217499P 1999-05-03 1999-05-03
US13217799P 1999-05-03 1999-05-03
US60/132177 1999-05-03
US60/132174 1999-05-03

Publications (1)

Publication Number Publication Date
JP2000353679A true JP2000353679A (ja) 2000-12-19

Family

ID=26830160

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2000134992A Pending JP2000353679A (ja) 1999-05-03 2000-05-08 ケミカルメカニカル平坦化システム
JP2000135036A Pending JP2000354953A (ja) 1999-05-03 2000-05-08 ケミカルメカニカル平坦化方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2000135036A Pending JP2000354953A (ja) 1999-05-03 2000-05-08 ケミカルメカニカル平坦化方法

Country Status (5)

Country Link
US (1) US6413873B1 (ja)
EP (2) EP1052059A3 (ja)
JP (2) JP2000353679A (ja)
KR (2) KR20000077144A (ja)
TW (2) TW471998B (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6561884B1 (en) 2000-08-29 2003-05-13 Applied Materials, Inc. Web lift system for chemical mechanical planarization

Families Citing this family (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6468139B1 (en) 1998-12-01 2002-10-22 Nutool, Inc. Polishing apparatus and method with a refreshing polishing belt and loadable housing
US6589105B2 (en) * 1998-12-01 2003-07-08 Nutool, Inc. Pad tensioning method and system in a bi-directional linear polisher
US6464571B2 (en) 1998-12-01 2002-10-15 Nutool, Inc. Polishing apparatus and method with belt drive system adapted to extend the lifetime of a refreshing polishing belt provided therein
US7425250B2 (en) * 1998-12-01 2008-09-16 Novellus Systems, Inc. Electrochemical mechanical processing apparatus
US6634935B2 (en) * 1998-12-01 2003-10-21 Nutool, Inc. Single drive system for a bi-directional linear chemical mechanical polishing apparatus
US6273796B1 (en) * 1999-09-01 2001-08-14 Micron Technology, Inc. Method and apparatus for planarizing a microelectronic substrate with a tilted planarizing surface
US6626736B2 (en) * 2000-06-30 2003-09-30 Ebara Corporation Polishing apparatus
US6592439B1 (en) 2000-11-10 2003-07-15 Applied Materials, Inc. Platen for retaining polishing material
US6503131B1 (en) 2001-08-16 2003-01-07 Applied Materials, Inc. Integrated platen assembly for a chemical mechanical planarization system
JP3892703B2 (ja) * 2001-10-19 2007-03-14 富士通株式会社 半導体基板用治具及びこれを用いた半導体装置の製造方法
US6722946B2 (en) * 2002-01-17 2004-04-20 Nutool, Inc. Advanced chemical mechanical polishing system with smart endpoint detection
US6939203B2 (en) * 2002-04-18 2005-09-06 Asm Nutool, Inc. Fluid bearing slide assembly for workpiece polishing
US7201647B2 (en) * 2002-06-07 2007-04-10 Praxair Technology, Inc. Subpad having robust, sealed edges
US7008299B2 (en) * 2002-08-29 2006-03-07 Micron Technology, Inc. Apparatus and method for mechanical and/or chemical-mechanical planarization of micro-device workpieces
US7053000B2 (en) * 2003-02-06 2006-05-30 Lam Research Corporation System, method and apparatus for constant voltage control of RF generator for optimum operation
US6995067B2 (en) * 2003-02-06 2006-02-07 Lam Research Corporation Megasonic cleaning efficiency using auto-tuning of an RF generator at constant maximum efficiency
US6998349B2 (en) 2003-02-06 2006-02-14 Lam Research Corporation System, method and apparatus for automatic control of an RF generator for maximum efficiency
US7033845B2 (en) * 2003-02-06 2006-04-25 Lam Research Corporation Phase control of megasonic RF generator for optimum operation
US7160178B2 (en) * 2003-08-07 2007-01-09 3M Innovative Properties Company In situ activation of a three-dimensional fixed abrasive article
US7648622B2 (en) * 2004-02-27 2010-01-19 Novellus Systems, Inc. System and method for electrochemical mechanical polishing
US6951509B1 (en) * 2004-03-09 2005-10-04 3M Innovative Properties Company Undulated pad conditioner and method of using same
US7179159B2 (en) * 2005-05-02 2007-02-20 Applied Materials, Inc. Materials for chemical mechanical polishing
KR100631927B1 (ko) 2005-11-03 2006-10-04 삼성전자주식회사 플래튼벨트 파손감지장치
CA3122671A1 (en) 2005-12-21 2008-05-15 Meso Scale Technologies, Llc. Assay apparatuses, methods and reagents
US20070197145A1 (en) * 2006-02-15 2007-08-23 Applied Materials, Inc. Polishing article with window stripe
US8009938B2 (en) * 2008-02-29 2011-08-30 Applied Materials, Inc. Advanced process sensing and control using near infrared spectral reflectometry
EP3567363B1 (en) 2008-04-11 2022-03-23 Meso Scale Technologies, LLC Apparatus with plate-handling subsystem for conducting luminescence assays in multi-well plates
US9339912B2 (en) * 2013-01-31 2016-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer polishing tool using abrasive tape
CN110265321A (zh) * 2013-03-15 2019-09-20 应用材料公司 用于小批量基板传送系统的温度控制系统与方法
CN103213037A (zh) * 2013-04-24 2013-07-24 常熟市研明电子元器件厂 一种去毛刺震荡研磨网
CN103213036B (zh) * 2013-04-24 2015-04-15 常熟市研明电子元器件厂 电机震荡研平装置
CN104440509A (zh) * 2014-11-29 2015-03-25 河南省广天铸件有限公司 一种锅具用研磨机
JP6454599B2 (ja) * 2015-05-14 2019-01-16 株式会社ディスコ 研磨装置
US10099339B2 (en) * 2016-06-02 2018-10-16 Semiconductor Manufacturing International (Shanghai) Corporation Chemical mechanical polishing (CMP) apparatus and method
JP6192778B2 (ja) * 2016-07-07 2017-09-06 株式会社ディスコ シリコンウエーハの加工装置
TWM573509U (zh) 2017-01-20 2019-01-21 美商應用材料股份有限公司 用於cmp 應用的薄的塑膠拋光用具及支撐元件
US11717936B2 (en) 2018-09-14 2023-08-08 Applied Materials, Inc. Methods for a web-based CMP system
CN109759927A (zh) * 2019-02-16 2019-05-17 张帝 一种机械零件表面处理设备
JP7178662B2 (ja) * 2019-04-10 2022-11-28 パナソニックIpマネジメント株式会社 研磨装置および研磨方法
US11705354B2 (en) 2020-07-10 2023-07-18 Applied Materials, Inc. Substrate handling systems
CN112975750A (zh) * 2021-02-08 2021-06-18 华中科技大学 平移式晶圆磨削用砂轮在线修磨装置

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01307920A (ja) * 1988-06-06 1989-12-12 Sony Corp 表面処理用カートリッジ及び表面処理装置
JPH08153692A (ja) * 1994-11-30 1996-06-11 Sony Corp 化学的機械研磨装置
JPH08257889A (ja) * 1995-03-24 1996-10-08 Toyota Motor Corp 研摩装置
JPH0985632A (ja) * 1995-09-21 1997-03-31 Fuji Photo Film Co Ltd 研磨フィルム
WO1998045090A1 (en) * 1997-04-04 1998-10-15 Obsidian, Inc. Polishing media magazine for improved polishing
JPH10329006A (ja) * 1997-05-27 1998-12-15 Tokyo Seimitsu Co Ltd 研磨装置における研磨布自動交換装置
JPH11285964A (ja) * 1998-04-02 1999-10-19 Wideman:Kk 研摩装置及び研摩方法

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AT60795B (de) * 1912-03-15 1913-08-25 Physikalisch Tech Lab G M B H Elektrodenanordnung für Scheinwerfer, Projektionsapparate und dgl.
DE8717353U1 (ja) 1987-12-07 1989-01-05 Heinrich Zeidler Maschinenfabrik Gmbh & Co Kg, 8672 Selb, De
JPH01281865A (ja) 1988-05-09 1989-11-13 Nippon Mining Co Ltd マルチワイヤソー
EP0517594B1 (fr) 1991-06-06 1995-12-13 Commissariat A L'energie Atomique Machine de polissage à bande microabrasive tendue et à tête support de plaquette perfectionnée
ATE186001T1 (de) * 1994-08-09 1999-11-15 Ontrak Systems Inc Linear poliergerät und wafer planarisierungsverfahren
US5593344A (en) * 1994-10-11 1997-01-14 Ontrak Systems, Inc. Wafer polishing machine with fluid bearings and drive systems
US5961372A (en) 1995-12-05 1999-10-05 Applied Materials, Inc. Substrate belt polisher
JP2830907B2 (ja) 1995-12-06 1998-12-02 日本電気株式会社 半導体基板研磨装置
US6149506A (en) * 1998-10-07 2000-11-21 Keltech Engineering Lapping apparatus and method for high speed lapping with a rotatable abrasive platen

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01307920A (ja) * 1988-06-06 1989-12-12 Sony Corp 表面処理用カートリッジ及び表面処理装置
JPH08153692A (ja) * 1994-11-30 1996-06-11 Sony Corp 化学的機械研磨装置
JPH08257889A (ja) * 1995-03-24 1996-10-08 Toyota Motor Corp 研摩装置
JPH0985632A (ja) * 1995-09-21 1997-03-31 Fuji Photo Film Co Ltd 研磨フィルム
WO1998045090A1 (en) * 1997-04-04 1998-10-15 Obsidian, Inc. Polishing media magazine for improved polishing
JPH10329006A (ja) * 1997-05-27 1998-12-15 Tokyo Seimitsu Co Ltd 研磨装置における研磨布自動交換装置
JPH11285964A (ja) * 1998-04-02 1999-10-19 Wideman:Kk 研摩装置及び研摩方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6561884B1 (en) 2000-08-29 2003-05-13 Applied Materials, Inc. Web lift system for chemical mechanical planarization
US7008303B2 (en) 2000-08-29 2006-03-07 Applied Materials Inc. Web lift system for chemical mechanical planarization

Also Published As

Publication number Publication date
EP1052059A3 (en) 2001-01-24
EP1052063A1 (en) 2000-11-15
KR20000077144A (ko) 2000-12-26
KR20000077145A (ko) 2000-12-26
EP1052059A2 (en) 2000-11-15
JP2000354953A (ja) 2000-12-26
US6413873B1 (en) 2002-07-02
TW458851B (en) 2001-10-11
TW471998B (en) 2002-01-11

Similar Documents

Publication Publication Date Title
JP2000353679A (ja) ケミカルメカニカル平坦化システム
US6561884B1 (en) Web lift system for chemical mechanical planarization
US6652354B2 (en) Polishing apparatus and method with constant polishing pressure
KR100780977B1 (ko) 반도체 웨이퍼의 제어식 폴리싱 및 평탄화 시스템과 방법
EP1063055A2 (en) Apparatus and method for chemical mechanical polishing
EP0764478A1 (en) Method of and apparatus for cleaning workpiece
KR20030066796A (ko) 표면적을 감소시킨 폴리싱 패드와 가변적/부분적패드-웨이퍼 중복 기술을 이용한 반도체 웨이퍼의 폴리싱및 평탄화 시스템 및 방법
EP1068046A2 (en) Chemical mechanical polishing conditioner
JP4750250B2 (ja) 変更された可撓膜を有するキャリアヘッド
US6837779B2 (en) Chemical mechanical polisher with grooved belt
WO2002076674A2 (en) Rigid polishing pad conditioner for chemical mechanical polishing tool
EP1052061A2 (en) System for chemical mechanical planarization
US20020173872A1 (en) Computer memory product for substrate surface treatment applications
US6641462B2 (en) Method and apparatus for distributing fluid to a polishing surface during chemical mechanical polishing
US6793565B1 (en) Orbiting indexable belt polishing station for chemical mechanical polishing
US20090061743A1 (en) Method of soft pad preparation to reduce removal rate ramp-up effect and to stabilize defect rate
US6682396B1 (en) Apparatus and method for linear polishing
US6634934B1 (en) Method for cleaning polishing tool, polishing method polishing apparatus
US20020016136A1 (en) Conditioner for polishing pads
US6659849B1 (en) Platen with debris control for chemical mechanical planarization
WO2003101669A1 (en) Web pad design for chemical mechanical polishing
WO2009011408A1 (ja) 研磨装置
US20010022214A1 (en) Apparatus for processing semicondutor wafers
WO1999053528A2 (en) Surface treatment process and system

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070501

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100611

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100622

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20101124