JP2000150516A - Fabrication of semiconductor device - Google Patents

Fabrication of semiconductor device

Info

Publication number
JP2000150516A
JP2000150516A JP10321537A JP32153798A JP2000150516A JP 2000150516 A JP2000150516 A JP 2000150516A JP 10321537 A JP10321537 A JP 10321537A JP 32153798 A JP32153798 A JP 32153798A JP 2000150516 A JP2000150516 A JP 2000150516A
Authority
JP
Japan
Prior art keywords
film
insulating film
via hole
etching
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP10321537A
Other languages
Japanese (ja)
Inventor
Takashi Akahori
孝 赤堀
Koichiro Inasawa
剛一郎 稲沢
Koji Senoo
幸治 妹尾
Masaaki Hagiwara
正明 萩原
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP10321537A priority Critical patent/JP2000150516A/en
Priority to PCT/JP1999/004741 priority patent/WO2000014786A1/en
Priority to KR10-2001-7002791A priority patent/KR100400907B1/en
Priority to EP99940607A priority patent/EP1120822A4/en
Priority to TW088115109A priority patent/TW464952B/en
Publication of JP2000150516A publication Critical patent/JP2000150516A/en
Priority to US09/665,960 priority patent/US6737350B1/en
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • H01L21/0212Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC the material being fluoro carbon compounds, e.g.(CFx) n, (CHxFy) n or polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3127Layers comprising fluoro (hydro)carbon compounds, e.g. polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/101Forming openings in dielectrics
    • H01L2221/1015Forming openings in dielectrics for dual damascene structures
    • H01L2221/1031Dual damascene by forming vias in the via-level dielectric prior to deposition of the trench-level dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/101Forming openings in dielectrics
    • H01L2221/1015Forming openings in dielectrics for dual damascene structures
    • H01L2221/1036Dual damascene with different via-level and trench-level dielectrics

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

PROBLEM TO BE SOLVED: To fabricate a semiconductor device employing a fluorine added carbon film as an interlayer insulating film using a simple dual Damascene method. SOLUTION: An insulating film, e.g. SiO2 film 3 is deposited on a substrate 2 and a via hole 31 is etched therein and then an upper insulating film, e.g. a CF film 4, is formed on the upper surface of the SiO2 film 3. When the CF film is deposited by generating plasma of a filming material having bad embedding characteristics, e.g. C6F6 gas, the CF film can be deposited on the upper surface of the SiO2 film 3 while suppressing embedding of the CF film into the via hole 31. When a trench 41 is etched subsequently in the CF film 4, a dual Damascene shape integrating the trench 41 and the via hole 31 can be obtained easily.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、デュアルダマシン
法により半導体装置を製造する方法に関する。
The present invention relates to a method for manufacturing a semiconductor device by a dual damascene method.

【0002】[0002]

【従来の技術】半導体デバイスの高集積化を図るため
に、パターンの微細化、回路の多層化といった工夫が進
められており、そのうちの一つとして配線を多層化する
技術がある。多層配線構造をとるためには、n層目の配
線層と(n+1)番目の配線層の間を導電層で接続する
と共に、導電層以外の領域は層間絶縁膜と呼ばれる薄膜
が形成される。
2. Description of the Related Art In order to increase the degree of integration of semiconductor devices, techniques such as miniaturization of patterns and multi-layering of circuits have been devised. One of them is a technique of multi-layer wiring. In order to form a multilayer wiring structure, a conductive layer is connected between the nth wiring layer and the (n + 1) th wiring layer, and a thin film called an interlayer insulating film is formed in a region other than the conductive layer.

【0003】この層間絶縁膜の代表的なものとしてSi
2 膜があるが、近年デバイスの動作についてより一層
の高速化を図るために層間絶縁膜の比誘電率を低くする
ことが要求されており、層間絶縁膜の材質についての検
討がなされている。即ちSiO2 膜は比誘電率がおよそ
4であり、これよりも小さい材質の発掘に力が注がれて
いる。そのうちの一つとして比誘電率が3.5であるS
iOF膜の実現化が進められているが、本発明者は比誘
電率が更に小さいフッ素添加カーボン膜(以下「CF
膜」という)に注目している。
A typical example of this interlayer insulating film is Si
Although there is an O 2 film, it has been required in recent years to lower the relative dielectric constant of the interlayer insulating film in order to further increase the operation speed of the device, and the material of the interlayer insulating film has been studied. . That is, the SiO 2 film has a relative dielectric constant of about 4, and efforts are being made to excavate materials smaller than this. One of them is S having a relative dielectric constant of 3.5.
While the realization of iOF films has been promoted, the present inventor has proposed a fluorine-added carbon film (hereinafter referred to as “CF
Film)).

【0004】ところで溝配線とビアプラグを一度に形成
する手法としてデュアルダマシンプロセスがあり、この
プロセスにより低誘電率層間絶縁膜を用いた半導体デバ
イスを製造する方法については、月刊セミコンダクタ−
ワ−ルド1998年2 月号p.108〜114 に、溝を先にエッチ
ングする方法や、ビアホ−ルを先にエッチングする方
法、溝とビアホ−ルをセルフアラインで一度にエッチン
グする方法等、想定されるプロセスフロ−が記載されて
いる。
There is a dual damascene process as a method of forming a trench wiring and a via plug at one time. A method of manufacturing a semiconductor device using a low dielectric constant interlayer insulating film by this process is described in Monthly Semiconductor.
World, February 1998, pp. 108-114, a method of etching a groove first, a method of etching a via hole first, a method of etching a groove and a via hole at once by self-alignment, etc. The assumed process flow is described.

【0005】このうちのセルフアラインで一度にエッチ
ングする方法について図17及び図18を用いて簡単に
説明する。図17(a)中10はビアホ−ルが形成され
る第1の低誘電率層間絶縁膜、11はSi3 4 層、1
2はSi3 4 層又はSiO2 膜からなるエッチングス
トッパ層である。先ず図17(b),(c)に示すよう
にエッチングストッパ層12をビアホ−ルパタ−ン状に
エッチングする。図中13はフォトレジストである。次
いでエッチングストッパ層12の上面に、溝が形成され
る第2の低誘電率層間絶縁膜14とSiO2 膜からなる
ハ−ドマスク15とをこの順序で成膜する(図17
(d),(e)参照)。
The method of etching at a time by self-alignment will be briefly described with reference to FIGS. 17 and 18. FIG. In FIG. 17A, 10 is a first low dielectric constant interlayer insulating film in which a via hole is formed, 11 is a Si 3 N 4 layer, 1
Reference numeral 2 denotes an etching stopper layer made of a Si 3 N 4 layer or a SiO 2 film. First, as shown in FIGS. 17B and 17C, the etching stopper layer 12 is etched in a via hole pattern. In the figure, reference numeral 13 denotes a photoresist. Next, on the upper surface of the etching stopper layer 12, a second low dielectric constant interlayer insulating film 14 in which a groove is formed and a hard mask 15 made of a SiO 2 film are formed in this order (FIG. 17).
(See (d) and (e)).

【0006】続いて図18(a),(b)に示すように
ハ−ドマスク15を溝パタ−ン状にエッチングした後、
図18(c)に示すようにハ−ドマスク15をマスクと
して第2の低誘電率層間絶縁膜14に溝14aをエッチ
ングする。そしてさらにエッチングストッパ層12をマ
スクとしてエッチングを続け、第1の低誘電率層間絶縁
膜10にビアホ−ル10aをエッチングする(図18
(d)参照)。図中16はフォトレジストである。
Subsequently, as shown in FIGS. 18A and 18B, the hard mask 15 is etched into a groove pattern,
As shown in FIG. 18C, the trench 14a is etched in the second low dielectric constant interlayer insulating film 14 using the hard mask 15 as a mask. Then, the etching is continued using the etching stopper layer 12 as a mask, and the via hole 10a is etched in the first low dielectric constant interlayer insulating film 10 (FIG. 18).
(D)). In the figure, reference numeral 16 denotes a photoresist.

【0007】[0007]

【発明が解決しようとする課題】しかしながら上述の方
法では、エッチングストッパ層12、ハ−ドマスク1
5、第1及び第2の低誘電率層間絶縁膜10,14の合
計4回のエッチングが必要であって工程が多くなる上、
溝のエッチングとビアホ−ルのエッチングとを連続して
行っているので、溝からビアホ−ルへの急激な被エッチ
ング面積の減少による過剰ラジカルの影響等の課題が想
定される。
However, in the above method, the etching stopper layer 12, the hard mask 1
5. The first and second low dielectric constant interlayer insulating films 10 and 14 need to be etched a total of four times, which increases the number of processes and
Since the etching of the groove and the etching of the via hole are performed continuously, problems such as the influence of excess radicals due to a sharp decrease in the area to be etched from the groove to the via hole are expected.

【0008】また溝を形成してからビアホ−ルを形成す
るプロセスフロ−や、ビアホ−ルを形成してから溝を形
成するプロセスフロ−等においても、やはりエッチング
回数が多い上、一度エッチングした場所をさらに加工す
るという従来のエッチングにはないプロセスを行わなけ
ればならないので種々の課題が予想される。このように
デュアルダマシンプロセスは現状では工程が複雑であっ
てスル−プットが悪く、コストアップを招くという大き
な問題がある。
Also, in a process flow in which a via hole is formed after forming a groove, and in a process flow in which a groove is formed after forming a via hole, the number of times of etching is large, and etching is performed once. Various problems are anticipated because a process that further processes the place, which is not included in the conventional etching, must be performed. As described above, at present, the dual damascene process has a serious problem that the process is complicated, the throughput is poor, and the cost is increased.

【0009】本発明はこのような事情の下になされたも
のであり、その目的は、例えば比誘電率の低いフッ素添
加カ−ボン膜を層間絶縁膜として用いた半導体装置を簡
易な手法のデュアルダマシン法により製造する方法を提
供することにある。
The present invention has been made under such circumstances, and an object of the present invention is to provide, for example, a semiconductor device using a fluorine-added carbon film having a low relative dielectric constant as an interlayer insulating film by a simple method. An object of the present invention is to provide a method of manufacturing by a damascene method.

【0010】[0010]

【課題を解決するための手段】このため本発明は、被処
理体上に絶縁膜を形成する工程と、前記絶縁膜にビアホ
−ルをエッチングする工程と、ビアホ−ルが形成された
絶縁膜の表面に、埋め込み特性の悪い成膜材料を用いて
例えばフッ素添加カ−ボン膜からなる上部絶縁膜を形成
する工程と、前記上部絶縁膜に、金属を埋め込み配線を
形成するための溝を前記ビアホ−ルの少なくとも一部に
接触するようにエッチングする工程と、を含むことを特
徴とする。ここで「埋め込み特性が悪い」と記述してい
るが、これは対象物がホールで有り、通常絶縁膜の埋め
込みを論議するのは、溝への埋め込みを論議するが、本
特許では、下地がホールを有しており、そのホールへの
埋め込みが悪いことを「埋め込み特性が悪い」と表記し
ている。例えば前記フッ素添加カ−ボン膜を形成する工
程は、炭素とフッ素との化合物を含み、埋め込み特性の
悪い成膜材料例えばヘキサフルオロベンゼンをプラズマ
化することにより行われる。
SUMMARY OF THE INVENTION Accordingly, the present invention provides a process for forming an insulating film on a workpiece, a process for etching a via hole in the insulating film, and a process for forming an insulating film on which a via hole is formed. Forming an upper insulating film made of, for example, a fluorine-added carbon film using a film-forming material having a poor embedding property, and forming a groove for embedding a metal in the upper insulating film to form a wiring. Etching to contact at least a part of the via hole. Here, it is described that “the embedding property is poor”. This is because the object is a hole, and the embedding of the insulating film is usually discussed in terms of embedding in a groove. It has holes and poor embedding in the holes is described as “poor embedding characteristics”. For example, the step of forming the fluorine-added carbon film is performed by converting a film-forming material, such as hexafluorobenzene, containing a compound of carbon and fluorine and having poor embedding characteristics into plasma.

【0011】また本発明は、被処理体上に絶縁膜を形成
する工程と、前記絶縁膜にビアホ−ルをエッチングする
工程と、ビアホ−ルが形成された絶縁膜の表面に、当該
絶縁膜とはエッチング選択比の異なる上部絶縁膜を形成
する工程と、前記上部絶縁膜に、金属を埋め込むことに
より配線を形成するための溝を前記ビアホ−ルの少なく
とも一部に接触するようにエッチングする工程と、上部
絶縁膜のエッチング終了後所定時間エッチングを行なう
ことにより、ビアホ−ル内に堆積した上部絶縁膜をエッ
チングにより除去する工程と、を含むことを特徴とす
る。この際ビアホ−ルが形成された絶縁膜の表面に、当
該絶縁膜とはエッチング選択比の異なる薄膜を形成し、
前記薄膜の表面に上部絶縁膜を形成するようにしてもよ
い。ここで前記上部絶縁膜としてはフッ素添加カ−ボン
膜や塗布膜が用いられる。
Further, the present invention provides a step of forming an insulating film on an object to be processed, a step of etching a via hole in the insulating film, and a step of forming an insulating film on the surface of the insulating film on which the via hole is formed. Forming an upper insulating film having a different etching selectivity, and etching a groove for forming a wiring by embedding a metal in the upper insulating film so as to contact at least a part of the via hole. A step of performing etching for a predetermined time after the etching of the upper insulating film to remove the upper insulating film deposited in the via hole by etching. At this time, a thin film having a different etching selectivity from the insulating film is formed on the surface of the insulating film on which the via hole is formed,
An upper insulating film may be formed on the surface of the thin film. Here, a fluorine-added carbon film or a coating film is used as the upper insulating film.

【0012】[0012]

【発明の実施の形態】先ず本発明方法の概要について図
1に基づいて説明する。本発明方法は被処理体をなす基
板2に絶縁膜例えばSiO2 膜3を成膜し、当該SiO
2 膜3にビアホ−ル31をエッチングした後、SiO2
膜3の上面に、埋め込み特性の悪い成膜材料を用いて上
部絶縁膜例えばCF膜4を成膜し、次いでCF膜4に溝
41をエッチングして溝41とビアホ−ル31とが一体
となったデュアルダマシン形状を製造するものである。
この方法では埋め込み特性の悪い成膜材料を用いること
により、ビアホ−ル31内へのCF膜の埋め込みを抑え
ながらSiO2 膜3の上面にCF膜4が成膜されるの
で、続いて溝41をエッチングすれば前記デュアルダマ
シン形状を容易に形成することができる。ここで溝41
とは銅(Cu)やアルミニウム(Al)等の配線層を形
成するために当該金属を埋め込むためのものであり、ビ
アホ−ル31とは上下の配線層を接続するために金属を
埋め込むためのものである。
DESCRIPTION OF THE PREFERRED EMBODIMENTS First, an outline of the method of the present invention will be described with reference to FIG. According to the method of the present invention, an insulating film, for example, an SiO 2 film 3 is formed on a substrate 2 as an object to be processed, and the SiO 2 film 3 is formed.
2 After the via hole 31 is etched in the film 3, SiO 2
An upper insulating film, for example, a CF film 4 is formed on the upper surface of the film 3 using a film-forming material having a poor filling property, and then the groove 41 is etched in the CF film 4 so that the groove 41 and the via hole 31 are integrated. To produce a new dual damascene shape.
In this method, the CF film 4 is formed on the upper surface of the SiO 2 film 3 while suppressing the filling of the CF film into the via hole 31 by using a film forming material having poor filling characteristics. By etching, the dual damascene shape can be easily formed. Here the groove 41
Is used to embed the metal to form a wiring layer such as copper (Cu) or aluminum (Al). The via hole 31 is used to embed the metal to connect the upper and lower wiring layers. Things.

【0013】続いて本発明によりSiO2 膜とCF膜と
を積層し、SiO2 膜にビアホ−ル、CF膜に溝を夫々
形成した半導体装置を製造する場合を例にして図2〜5
に基づいて具体的に説明する。先ず図2(a)に示すよ
うに、基板2の表面に例えば7000オングストロ−ム
程度の厚さのSiO2 膜3を形成する。このSiO2
3は例えばECR(電子サイクロトロン共鳴)を利用し
たプラズマ処理装置において成膜ガスをプラズマ化する
ことにより形成される。
Next, an example of manufacturing a semiconductor device in which a SiO 2 film and a CF film are laminated and a via hole is formed in the SiO 2 film and a groove is formed in the CF film according to the present invention will be described with reference to FIGS.
This will be specifically described based on the following. First, as shown in FIG. 2A, an SiO 2 film 3 having a thickness of, for example, about 7000 Å is formed on the surface of the substrate 2. The SiO 2 film 3 is formed, for example, by turning a film forming gas into plasma in a plasma processing apparatus using ECR (Electron Cyclotron Resonance).

【0014】ここで前記プラズマ処理装置について図6
により簡単に説明する。この装置では第1の真空室51
と第2の真空室52とからなる真空容器5の内部には、
高周波電源部53から導波管54及び透過窓55を介し
て例えば2.45GHzの高周波(マイクロ波)が供給
されると共に、第1の真空室51の周囲と第2の真空室
52の下部側に夫々設けられた主電磁コイル56と補助
電磁コイル57とにより、第1の真空室51から第2の
真空室52に向かい、ECRポイントP付近にて磁場の
強さが875ガウスとなる磁場が形成される。こうして
磁場とマイクロ波との相互作用により前記ECRポイン
トPにて電子サイクロトロン共鳴が生じる。
FIG. 6 shows the plasma processing apparatus.
This will be described more simply. In this apparatus, the first vacuum chamber 51
And a second vacuum chamber 52, inside the vacuum vessel 5,
A high frequency (microwave) of, for example, 2.45 GHz is supplied from a high frequency power supply unit 53 via a waveguide 54 and a transmission window 55, and the periphery of the first vacuum chamber 51 and the lower side of the second vacuum chamber 52. A magnetic field having a magnetic field strength of 875 gauss near the ECR point P from the first vacuum chamber 51 to the second vacuum chamber 52 is generated by the main electromagnetic coil 56 and the auxiliary electromagnetic coil 57 provided in It is formed. Thus, electron cyclotron resonance occurs at the ECR point P due to the interaction between the magnetic field and the microwave.

【0015】この装置にてSiO2 膜を形成するときに
は、第2の真空室52に設けられ、上面が静電チャック
として構成された載置台61に基板2をなす半導体ウエ
ハWを載置すると共に、当該載置台61に高周波電源部
62よりバイアス電圧を印加する。そして真空容器5内
を排気管58を介して排気しながら、第1の真空室51
にプラズマガス供給管63を介してプラズマガス例えば
アルゴン(Ar)ガス及び酸素(O2 )ガスを夫々15
0sccm,120sccmの流量で導入すると共に、
第2の真空室52に成膜ガス供給部64を介して成膜ガ
ス例えばSiH4 ガスを70sccmの流量で導入し、
当該成膜ガスを前記電子サイクロトロン共鳴によりプラ
ズマ化することにより、SiO2 膜3を形成する。
When an SiO 2 film is formed by this apparatus, a semiconductor wafer W constituting the substrate 2 is placed on a mounting table 61 provided in a second vacuum chamber 52 and having an upper surface formed as an electrostatic chuck. Then, a bias voltage is applied to the mounting table 61 from the high frequency power supply unit 62. Then, the first vacuum chamber 51 is evacuated from the vacuum chamber 5 through the exhaust pipe 58.
Plasma gas such as argon (Ar) gas and oxygen (O 2 ) gas through the plasma gas supply pipe 63 respectively.
At a flow rate of 0 sccm and 120 sccm,
A film forming gas, for example, a SiH 4 gas is introduced into the second vacuum chamber 52 through a film forming gas supply unit 64 at a flow rate of 70 sccm,
The film forming gas is converted into plasma by the electron cyclotron resonance to form the SiO 2 film 3.

【0016】次いでSiO2 膜3にビアホ−ル31を形
成する処理を行う。つまり先ず図2(b)に示すよう
に、SiO2 膜3の上面にレジスト71を塗布して所定
のビアホ−ルパタ−ン形状を露光し、現像する。続いて
図2(c)に示すように図示しないエッチング装置に
て、炭素(C)とフッ素(F)とを含む化合物のガス
(以下「CF系ガス」という)例えばCF4 ガスやC4
8 ガス等をエッチングガスとして用いて、SiO2
3に例えば直径0.5μm程度の円筒状のビアホ−ル3
1をエッチングした後、図2(d)に示すようにO2
スや水素(H2 )ガスを用いてレジスト71を灰化して
除去する。
Next, a process for forming a via hole 31 in the SiO 2 film 3 is performed. That is, first, as shown in FIG. 2B, a resist 71 is applied on the upper surface of the SiO 2 film 3, and a predetermined via hole pattern is exposed and developed. Subsequently, as shown in FIG. 2C, a gas of a compound containing carbon (C) and fluorine (F) (hereinafter referred to as a “CF-based gas”) such as CF 4 gas or C 4
By using F 8 gas or the like as an etching gas, a cylindrical via hole 3 having a diameter of, for example, about 0.5 μm is formed on the SiO 2 film 3.
After etching 1, the resist 71 is ashed and removed using an O 2 gas or a hydrogen (H 2 ) gas as shown in FIG.

【0017】次にビアホ−ル31が形成されたSiO2
膜3の表面に密着層を形成する処理を行う(図3
(a),(b)参照)。この密着層はSiO2 膜3と後
述するCF膜4との間の膜剥がれを抑えるためにこれら
の間に介装される層であり、この例では例えば100オ
ングストロ−ム程度の厚さの窒化シリコン膜(以下「S
iN膜」という)81と炭化ケイ素膜(以下「SiC
膜」という)82とをこの順で積層して形成される。こ
こで前記SiN膜81は窒素(N2 )とケイ素(Si)
とを含む膜であり、前記SiC膜82はCとSiとを含
む膜であって、この例ではSiN膜81はNの原子数に
対するSiの原子数の比が1以上のものを用いることが
望ましい。またここでいうSiN膜やSiC膜はSiと
Nとの比やSiとCとの比が1対1であることを意味す
るものではない。
Next, the SiO 2 on which the via hole 31 is formed is formed.
A process for forming an adhesion layer on the surface of the film 3 is performed (FIG. 3).
(See (a) and (b)). This adhesion layer is a layer interposed between the SiO 2 film 3 and a CF film 4 to be described later in order to suppress film peeling. In this example, a nitride film having a thickness of about 100 Å is used. Silicon film (hereinafter "S
iN film) 81 and a silicon carbide film (hereinafter referred to as “SiC film”).
82) are laminated in this order. Here, the SiN film 81 is made of nitrogen (N 2 ) and silicon (Si).
The SiC film 82 is a film containing C and Si, and in this example, the SiN film 81 may have a ratio of the number of Si atoms to the number of N atoms of 1 or more. desirable. Further, the SiN film and the SiC film here do not mean that the ratio of Si to N or the ratio of Si to C is 1: 1.

【0018】前記SiN膜81やSiC膜82は例えば
前記プラズマ処理装置にて成膜され、SiN膜81は、
プラズマガス例えばArガスと、成膜ガス例えばSiH
4 ガス及びN2 ガスとを、夫々200sccm,10s
ccm,6.5sccmの流量で導入し、マイクロ波電
力2.4kW(高周波電源部53),バイアス電力0k
W(高周波電源部62),基板温度350℃の下、前記
成膜ガスをプラズマ化することにより形成される(図3
(a)参照)。またSiC膜82は、プラズマガス例え
ばArガスと、成膜ガス例えばSiH4 ガス及びC2
4 ガスとを、夫々200sccm,10sccm,15
sccmの流量で導入し、マイクロ波電力2.4kW,
バイアス電力0kW,基板温度350℃の下、前記成膜
ガスをプラズマ化することにより形成される(図3
(b)参照)。
The SiN film 81 and the SiC film 82 are formed by, for example, the plasma processing apparatus.
Plasma gas such as Ar gas and film forming gas such as SiH
4 gas and N 2 gas, 200 sccm and 10 s, respectively
ccm, 6.5 sccm, microwave power of 2.4 kW (high frequency power supply unit 53), bias power of 0 k
The film is formed by turning the film forming gas into a plasma under the condition of W (high frequency power supply 62) and the substrate temperature of 350 ° C. (FIG. 3)
(See (a)). The SiC film 82 is formed of a plasma gas such as Ar gas, a film forming gas such as SiH 4 gas and C 2 H
4 gas, 200 sccm, 10 sccm, 15 sc
Introduced at a flow rate of sccm, microwave power 2.4 kW,
It is formed by turning the film forming gas into plasma under a bias power of 0 kW and a substrate temperature of 350 ° C. (FIG. 3)
(B)).

【0019】続いて図3(c)に示すように密着層の上
面にCF膜4を形成する処理を行う。つまり例えば前記
プラズマ処理装置において、成膜ガスとしてCとFとの
化合物であって埋め込み特性の悪い成膜材料例えばヘキ
サフルオロベンゼン(C6 6 )ガスを用いて、当該成
膜ガスをプラズマ化することにより形成される。この時
の成膜条件は、プラズマガス例えばArガスとC6 6
ガスの流量が夫々90sccm,40sccm,マイク
ロ波電力が2.4kW,バイアス電力が0kW,基板温
度が300℃〜350℃である。
Subsequently, as shown in FIG. 3C, a process for forming a CF film 4 on the upper surface of the adhesion layer is performed. That is, for example, in the plasma processing apparatus, a film forming material having a poor filling property, for example, a hexafluorobenzene (C 6 F 6 ) gas, which is a compound of C and F, is used as the film forming gas, It is formed by doing. The film forming conditions at this time are as follows: a plasma gas such as Ar gas and C 6 F 6
The gas flow rates are 90 sccm and 40 sccm, the microwave power is 2.4 kW, the bias power is 0 kW, and the substrate temperature is 300 ° C. to 350 ° C.

【0020】このようにC6 6 ガスを用いてCF膜を
成膜すると、C6 6 ガスはベンゼン環を有する化合物
(芳香族化合物)のガスであって分子が大きく、しかも
結合が強いので、成膜時には大きな分子構造を維持した
状態で堆積していくと推察される。このためCF膜4は
図7(a)に示すようにビアホ−ル31の周囲から内側
に迫り出していくように堆積していって徐々にビアホ−
ル31の間口を狭めていき、結局この間口を塞いでしま
って(図7(b)参照)、ビアホ−ル31の内部にはC
F膜が埋め込まれない状態となる。この際バイアス電力
を印加していないので、成膜時にプラズマイオンがウエ
ハWに引き込まれることがなく、さらにCF膜の埋め込
み特性が悪くなり、こうしてビアホ−ル31への埋め込
みを抑えながら、密着層の上面に例えば7000オング
ストロ−ムの厚さのCF膜4が形成される。なお前記密
着層の成膜においても、バイアス電力を印加せず、しか
も密着層の厚さは合わせて200オングストロ−ムとか
なり薄いので、ビアホ−ル31への密着層の堆積が抑え
られる。
[0020] In this way the formation of the CF film using the C 6 F 6 gas, C 6 F 6 gas is a compound having a benzene ring (the aromatic compound) larger molecule a gas, yet strong bond Therefore, it is presumed that the film is deposited while maintaining a large molecular structure during film formation. Therefore, the CF film 4 is deposited so as to protrude inward from the periphery of the via hole 31 as shown in FIG.
The frontage of the vial 31 is narrowed, and eventually the frontage is closed (see FIG. 7B).
The F film is not buried. At this time, since no bias power is applied, plasma ions are not drawn into the wafer W during film formation, and the burying characteristics of the CF film are further deteriorated. A CF film 4 having a thickness of, for example, 7,000 angstroms is formed on the upper surface of the substrate. In the formation of the adhesion layer, no bias power is applied, and the thickness of the adhesion layer is as thin as 200 angstroms. Therefore, the deposition of the adhesion layer on the via hole 31 can be suppressed.

【0021】次いで図3(d)に示すようにCF膜4の
上面に例えばSiC膜からなるハ−ドマスク83を形成
する処理を行う。このハ−ドマスク83は、CF膜のエ
ッチングにはO2 ガスやH2 ガスをエッチングガスとし
て用いるが、通常のレジストは有機物であってこれらの
ガスで灰化されてしまうため、エッチングの際レジスト
の変わりにマスクとして用いられるものであり、CF膜
とレジストとの間に介装され、O2 ガスやH2 ガスによ
って灰化されない無機系の膜例えばSiN膜やSiC膜
により構成される。
Next, as shown in FIG. 3D, a process of forming a hard mask 83 made of, for example, a SiC film on the upper surface of the CF film 4 is performed. The hard mask 83 uses an O 2 gas or an H 2 gas as an etching gas for etching the CF film. However, a normal resist is an organic substance and is ashed by these gases. Instead of this, it is used as a mask, and is formed of an inorganic film, such as a SiN film or a SiC film, which is interposed between the CF film and the resist and is not ashed by O 2 gas or H 2 gas.

【0022】このハ−ドマスク83は、例えば前記プラ
ズマ処理装置において、プラズマガス例えばArガス
と、成膜ガス例えばSiH4 ガス及びC2 4 ガスと
を、夫々200sccm,10sccm,15sccm
の流量で導入し、マイクロ波電力2.4kW,バイアス
電力0kW,基板温度350℃の下、前記成膜ガスをプ
ラズマ化することにより形成される。
The hard mask 83 is formed, for example, by using a plasma gas such as an Ar gas and a film forming gas such as a SiH 4 gas and a C 2 H 4 gas in the above-described plasma processing apparatus at 200 sccm, 10 sccm and 15 sccm, respectively.
And a plasma power of 2.4 kW of microwave power, 0 kW of bias power, and a substrate temperature of 350 ° C.

【0023】続いてCF膜4に溝41を形成する処理を
行う。つまり図4(a)に示すように、CF膜4の上面
にレジスト72を塗布して所定の溝パタ−ン形状を露光
し、現像した後、図4(b)に示すように図示しないエ
ッチング装置にて、CF系ガス例えばCF4 ガスやC4
8 ガス等をエッチングガスとして用いてハ−ドマスク
83に溝83aをエッチングする。次いで図4(c)に
示すように図示しないエッチング装置にて、O2 ガスや
2 ガスをエッチングガスとし、ハ−ドマスク83をマ
スクとして用いて、CF膜4に、例えば幅が1.0μm
程度であって、紙面に対して垂直な方向に延び、一部が
ビアホ−ル31に接続する溝41(図1参照)をエッチ
ングする。このときO2 ガスによりレジスト72は灰化
されて除去される。
Subsequently, a process for forming a groove 41 in the CF film 4 is performed. That is, as shown in FIG. 4A, a resist 72 is applied on the upper surface of the CF film 4 to expose and develop a predetermined groove pattern shape, and then developed, as shown in FIG. In the apparatus, CF-based gas such as CF 4 gas or C 4
Etching a groove 83a in Domasuku 83 - Ha using F 8 gas or the like as the etching gas. Then, as shown in FIG. 4C, the CF film 4 having a width of, for example, 1.0 μm is formed by an etching apparatus (not shown) using O 2 gas or H 2 gas as an etching gas and a hard mask 83 as a mask.
A groove 41 (see FIG. 1) extending in a direction perpendicular to the plane of the drawing and partially connecting to the via hole 31 is etched. At this time, the resist 72 is ashed and removed by the O 2 gas.

【0024】この後図5に示すように溝41とビアホ−
ル31とに金属例えばCuを埋め込む処理を行う。つま
り例えば図5(a)に示すようにハ−ドマスク83の表
面にCu層84を形成して、溝41とビアホ−ル31に
Cuを埋め込む処理を行った後、図5(b)に示すよう
に図示しないCMP(Chemical mechan
ical polishing)装置においてCMP
(研磨)処理を行い、不要なCu層84を研磨して除去
し、こうして溝41とビアホ−ル31とにCuが埋め込
まれた半導体装置が製造される。
Thereafter, as shown in FIG.
A process of embedding a metal, for example, Cu into the metal 31 is performed. That is, for example, as shown in FIG. 5A, a Cu layer 84 is formed on the surface of the hard mask 83, and a process of burying Cu in the groove 41 and the via hole 31 is performed, and then, as shown in FIG. (Not shown) CMP (Chemical mechanic)
CMP in an electrical polishing machine
A (polishing) process is performed to remove unnecessary portions of the Cu layer 84 by polishing. Thus, a semiconductor device in which Cu is embedded in the groove 41 and the via hole 31 is manufactured.

【0025】この方法では、既述のようにビアホ−ル3
1へのSiN膜81やSiC膜82の堆積が抑えられる
が、仮にビアホ−ル31の底部に若干SiN膜81等が
付着したとしても付着量はかなり少ないので、溝41の
エッチング時にCF膜4から発生するFによりエッチン
グされる。またビアホ−ル31のクリ−ニングを別工程
にて行い、付着したSiN膜81等を除去するようにし
てもよい。この際クリ−ニングガスとしてはC4 8
スやCF4 ガス等のCF系ガスが用いられる。
In this method, as described above, the via hole 3 is used.
Although the deposition of the SiN film 81 and the SiC film 82 on the substrate 1 can be suppressed, even if the SiN film 81 or the like slightly adheres to the bottom of the via hole 31, the amount of adhesion is considerably small. Is etched by F generated from. The via hole 31 may be cleaned in a separate step to remove the attached SiN film 81 and the like. At this time, a CF-based gas such as a C 4 F 8 gas or a CF 4 gas is used as a cleaning gas.

【0026】本発明方法はC6 6 ガス等の埋め込み特
性の悪い成膜材料に着目して成されたものであり、予め
SiO2 膜3にビアホ−ル31をエッチングしておき、
次いでC6 6 ガスを成膜ガスとして用いてCF膜4の
成膜を行っているので、既述のようにビアホ−ル31内
にCF膜を埋め込むことなく、CF膜4を成膜すること
ができる。このため続いてCF膜4に所定のパタ−ンで
溝41をエッチングすれば容易にデュアルダマシン形状
を得ることができる。
The method of the present invention focuses on a film-forming material such as C 6 F 6 gas having a poor embedding property. The via-hole 31 is etched in the SiO 2 film 3 in advance.
Next, since the CF film 4 is formed by using C 6 F 6 gas as a film forming gas, the CF film 4 is formed without embedding the CF film in the via hole 31 as described above. be able to. Therefore, a dual damascene shape can be easily obtained by subsequently etching the groove 41 in the CF film 4 with a predetermined pattern.

【0027】このように本発明方法ではエッチング回数
及び金属膜形成回数が少ないので工程数が少なく、Si
2 膜3のエッチングとCF膜4のエッチングを夫々独
立して行い、しかも従来の方法を用いることができるの
で、安定した操作を行うことができる。従って複雑なデ
ュアルダマシン形状を有する半導体装置を簡易な手法で
製造することができるので、スル−プットを向上させる
ことができ、結果としてコストダウンを図ることができ
る。
As described above, in the method of the present invention, the number of steps is small because the number of times of etching and the number of times of forming the metal film are small.
Since the etching of the O 2 film 3 and the etching of the CF film 4 are performed independently and the conventional method can be used, a stable operation can be performed. Therefore, a semiconductor device having a complicated dual damascene shape can be manufactured by a simple method, so that the throughput can be improved, and as a result, the cost can be reduced.

【0028】以上において上述の例では配線となる溝4
1が形成される上部絶縁膜はCF膜4とし、ビアホ−ル
31が形成される絶縁膜はSiO2 膜3としたが、半導
体装置では配線間の絶縁膜が低誘電率であればデバイス
を小さくすることできるので、このような構成も有効で
ある。
In the above, in the above-described example, the groove 4 serving as a wiring
Although the upper insulating film on which 1 is formed is the CF film 4 and the insulating film on which the via hole 31 is formed is the SiO 2 film 3, in a semiconductor device, if the insulating film between wirings has a low dielectric constant, the device is not used. Such a configuration is also effective because it can be made smaller.

【0029】また本発明は、図8に示すように、溝41
が形成される上部絶縁膜のみならずビアホ−ル91が形
成される絶縁膜もCF膜とした構成の半導体装置の製造
に適用してもよく、この場合には絶縁膜として比誘電率
の低いCF膜を用いているので半導体装置全体の比誘電
率をさらに低くすることができる。またこのような半導
体装置は同種の絶縁膜を積層しているので両者の間の密
着性が大きく、両者の間の膜剥がれを抑えるための密着
層は無くても良い。
The present invention is also applicable to the case where the groove 41 is provided as shown in FIG.
Not only the upper insulating film on which the via hole 91 is formed but also the insulating film on which the via hole 91 is formed may be applied to the manufacture of a semiconductor device having a CF film. In this case, the insulating film has a low relative dielectric constant. Since the CF film is used, the relative dielectric constant of the entire semiconductor device can be further reduced. In addition, since such a semiconductor device is formed by laminating insulating films of the same kind, the adhesion between the two is large, and the adhesion layer for suppressing film peeling between the two may be omitted.

【0030】以上において本発明では、溝が形成される
上部絶縁膜はCF膜に限定されるものではなく、ビアホ
−ル内を埋め込むことのない埋め込み特性の悪い絶縁膜
であればいかなる絶縁膜も用いることができる。このよ
うな絶縁膜としては、例えば有機SOG(Spin o
n Glass)膜やHSQ(Hydrogen Si
lsesquioxane)膜、BCB(Bisben
zocyclobutene)膜、ポリイミド膜、F添
加ポリイミド膜、フッ化ポリアリルエ−テル、テフロ
ン、サイトップ等の低誘電率の塗布膜やパリレン、メチ
ルシラン系を用いた絶縁膜、例えばFlowfill
(Trikon TechnologiesLtd.社
製)等を用いても良い。メチルシラン系を用いた絶縁膜
に対しては「1998 DUMIC Conferen
ce P311」、パリレンについては「SEMICO
NDUCTOR INTERNATIONAL Jun
e96 P211」に詳細が記されている。ここで塗布
膜は、ウエハを回転させた状態で、ウエハ表面に前記有
機SOG膜等の高分子材料を供給し、回転の遠心力を利
用して前記高分子材料をウエハの表面全体に拡散させて
塗布した後、加熱により固めることにより形成される
が、この場合には高い表面張力を有する溶剤を用いた
り、回転数を上げる等の調節によりビアホ−ル内を埋め
込むことなく塗布膜を形成することができる。
As described above, in the present invention, the upper insulating film in which the groove is formed is not limited to the CF film, and any insulating film which does not bury the inside of the via hole and has poor filling characteristics can be used. Can be used. As such an insulating film, for example, an organic SOG (Spin o
n Glass) film or HSQ (Hydrogen Si)
lsesquioxane) membrane, BCB (Bisben)
(Zocyclobutene) film, polyimide film, F-doped polyimide film, low dielectric constant coating film such as polyallyl ether, Teflon, Cytop, etc., or insulating film using parylene or methylsilane, such as Flowfill
(Trikon Technologies Ltd.) or the like may be used. For an insulating film using a methylsilane-based compound, see “1998 DUMIC Conference”.
ce P311 ”and Parylene“ SEMICO
NDUCTOR INTERNATIONAL JUN
e96 P211 ". Here, the coating film supplies the polymer material such as the organic SOG film to the wafer surface in a state where the wafer is rotated, and diffuses the polymer material over the entire surface of the wafer by using the centrifugal force of rotation. It is formed by heating and then hardening by heating. In this case, a coating film is formed without embedding the inside of the via hole by using a solvent having a high surface tension or by adjusting the number of revolutions. be able to.

【0031】ここで上部絶縁膜として塗布膜を用いる場
合について、ビアホ−ルが形成される絶縁膜(以下「下
部絶縁膜」という)をSiO2 膜3、溝が形成される上
部絶縁膜をSiLK膜(Daw Chemical社の
登録商標)100により形成した半導体装置を例にして
図9により具体的に説明する。
[0031] For the case of using the coating film where the upper insulating film, the via hole - insulating film Le is formed (hereinafter, "lower insulating film" hereinafter) of the SiO 2 film 3, the upper insulating film having a groove formed SiLK FIG. 9 illustrates a specific example of a semiconductor device formed by a film (registered trademark of Daw Chemical Company) 100.

【0032】図9(a)は基板2の上にSiO2 膜3を
成膜し、当該SiO2 膜3にビアホ−ル31を形成した
状態を示しており、SiO2 膜3やビアホ−ル31は上
述の実施の形態と同様の方法で形成される。次に図9
(b)に示すようにSiO2 膜3の表面にSiLK膜1
00を形成する工程を行う。ここでこの例のように下部
絶縁膜としてSiO2 膜3、上部絶縁膜としてSiLK
膜100を用いる場合には、SiO2 膜とSiLK膜と
は密着性が良いので両者の間に密着層を設けなくてもよ
い。
[0032] FIG. 9 (a) forming a SiO 2 film 3 on the substrate 2, via hole in the SiO 2 film 3 - shows a state of forming a Le 31, SiO 2 film 3 and the via hole - Le 31 is formed in the same manner as in the above-described embodiment. Next, FIG.
As shown in (b), the SiLK film 1 is formed on the surface of the SiO 2 film 3.
00 is performed. Here, as in this example, a SiO 2 film 3 as a lower insulating film and SiLK as an upper insulating film.
When the film 100 is used, the adhesion between the SiO 2 film and the SiLK film is good, so that there is no need to provide an adhesion layer between the two.

【0033】SiLK膜の成膜について図10により説
明すると、先ず例えば図10(a)に示すように、ウエ
ハWを水平方向に回転可能な保持部材110に保持させ
た状態で、当該ウエハWの表面にSiLK膜の成膜材料
と当該成膜材料の溶剤とを含む塗布材料111を供給
し、次いで図10(b)に示すように、ウエハWを水平
方向に回転させることにより、回転の遠心力で前記塗布
材料111をウエハW表面全体に拡散させる。続いてウ
エハWを、処理容器112の内部に加熱プレ−ト113
を備えたベ−ク装置に搬送して前記加熱プレ−ト113
の上に載置し、例えば140℃の温度にて所定時間ベ−
ク処理を行い、この処理により溶剤を蒸発させて除去す
る。この後ウエハWを処理容器114の内部に加熱プレ
−ト115を備えた加熱装置に搬送して前記加熱プレ−
ト115の上に載置し、例えば400℃の温度にて所定
時間キュア処理を行い、この処理により重合反応を起こ
させて塗布材料を固化させ、こうしてSiLK膜100
の成膜が行われる。この際キュア処理は熱処理炉にて行
うようにしてもよい。
The formation of the SiLK film will be described with reference to FIG. 10. First, for example, as shown in FIG. 10A, the wafer W is held by a holding member 110 rotatable in the horizontal direction. A coating material 111 containing a film forming material for the SiLK film and a solvent for the film forming material is supplied to the surface, and then the wafer W is rotated in a horizontal direction as shown in FIG. The coating material 111 is spread over the entire surface of the wafer W by force. Subsequently, the wafer W is placed inside the processing vessel 112 by a heating plate 113.
The heating plate 113 is transported to a baking device provided with
And placed at a temperature of, for example, 140 ° C. for a predetermined time.
The solvent is evaporated to remove the solvent. Thereafter, the wafer W is transferred to a heating device provided with a heating plate 115 inside the processing vessel 114, and the heating plate is heated.
Is placed on the substrate 115 and subjected to a curing process at a temperature of, for example, 400 ° C. for a predetermined time, thereby causing a polymerization reaction to solidify the coating material, and thus to cure the SiLK film 100.
Is formed. At this time, the curing process may be performed in a heat treatment furnace.

【0034】次いで図9(c)に示すように、SiLK
膜100の上面に例えばSiO2 膜膜からなるハ−ドマ
スク101を形成する処理を行った後、上述の実施の形
態と同様の方法にてSiLK膜100に溝を形成する処
理を行う。つまりSiLK膜100の上面にレジストを
塗布して所定の溝パタ−ン形状を露光し、現像した後、
2 ガスやH2 ガスを等をエッチングガスとして用いて
SiLK膜100に溝をエッチングする。そして溝とビ
アホ−ル31とに金属例えばCuを埋め込む処理及びC
MP処理を行うことにより半導体装置が製造される。
Next, as shown in FIG.
After performing a process of forming a hard mask 101 made of, for example, a SiO 2 film on the upper surface of the film 100, a process of forming a groove in the SiLK film 100 is performed in the same manner as in the above-described embodiment. That is, after applying a resist on the upper surface of the SiLK film 100 and exposing and developing a predetermined groove pattern shape,
The groove is etched in the SiLK film 100 by using O 2 gas, H 2 gas or the like as an etching gas. Then, a process of embedding a metal such as Cu into the groove and the via hole 31 and C
A semiconductor device is manufactured by performing the MP process.

【0035】このようにSiLK膜100は塗布材料1
11をウエハW上に塗布することにより形成されるが、
溶剤の表面張力を高くしたり、ウエハWを高速で回転さ
せたり等といった塗布条件を選択することにより、例え
ば図11(a)に示すように、塗布材料111をビアホ
−ル31の間口を塞ぐように拡散させ、ビアホ−ル31
に塗布材料111をほとんど埋め込ませない状態(図1
1(b))でSiLK膜100を塗布することができ
る。このようにビアホ−ル31内へのSiLK膜100
の付着量がかなり少ない場合には、SiLK膜100の
エッチング工程にビアホ−ル31内のSiLK膜も除去
することができる。
As described above, the SiLK film 100 is made of the coating material 1
11 is applied on the wafer W,
By selecting application conditions such as increasing the surface tension of the solvent or rotating the wafer W at a high speed, for example, as shown in FIG. 11A, the application material 111 closes the opening of the via hole 31. And diffused via hole 31
In which the coating material 111 is hardly embedded (FIG. 1)
1 (b)), the SiLK film 100 can be applied. Thus, the SiLK film 100 is inserted into the via hole 31.
In the case where the adhesion amount of SiLK is considerably small, the SiLK film in the via hole 31 can also be removed in the etching process of the SiLK film 100.

【0036】この例のように塗布膜としてSiLK膜を
用いる場合には、ハ−ドマスクとしては、図12の一覧
表に示すように、SiO2 膜の他、SiOF膜やSiN
膜、TiN膜や、HSQ膜やMSQ膜、有機SOG膜、
ポ−ラスシリカ等の塗布膜を用いることができる。また
下部絶縁膜としては、SiO2 膜の他、SiOF膜やS
iN膜等のSiを含む絶縁膜や、HSQ膜やMSQ膜、
有機SOG膜、ポ−ラスシリカ等の塗布膜を用いること
ができる。
When an SiLK film is used as a coating film as in this example, as a hard mask, as shown in the table of FIG. 12, in addition to a SiO 2 film, a SiOF film or a SiN film is used.
Film, TiN film, HSQ film, MSQ film, organic SOG film,
A coating film of porous silica or the like can be used. As the lower insulating film, in addition to the SiO 2 film, a SiOF film or S
an insulating film containing Si such as an iN film, an HSQ film, an MSQ film,
An organic SOG film, a coating film of porous silica or the like can be used.

【0037】また上部絶縁膜として用いられる塗布膜と
しては、上述のSiLK膜の他に、既述のようにBCB
膜(Daw Chemical社の登録商標)や有機S
OG膜、HSQ膜やMSQ膜(いずれもDaw Che
mical社の登録商標)、FLARE膜(Allie
d Signal社の登録商標)やポ−ラスシリカ等が
あり、これらのうちBCB膜、有機SOG膜、HSQ
膜、MSQ膜、FLARE膜は、SiLK膜と同様に、
塗布材料をスピン塗布した後、ベ−ク処理及びキュア処
理を行うことにより成膜される。またポ−ラスシリカは
塗布材料をスピン塗布した後、エ−ジング処理により塗
布材料をゲル化させた後、溶媒を除去することにより成
膜される。
As the coating film used as the upper insulating film, in addition to the SiLK film described above, BCB as described above is used.
Film (registered trademark of Daw Chemical Company) or organic S
OG film, HSQ film and MSQ film (Daw Che
trademark, a FLARE film (Allie)
d Signal (registered trademark) and porous silica, among which BCB film, organic SOG film, HSQ
The film, the MSQ film, and the FLARE film are similar to the SiLK film,
After spin-coating the coating material, a film is formed by performing a baking process and a curing process. Porous silica is formed by spin-coating the coating material, gelling the coating material by aging, and removing the solvent.

【0038】これらの膜のハ−ドマスクやエッチングガ
ス、下部絶縁膜については図12に夫々示す。つまりハ
−ドマスクについては、BCB膜及びFLARE膜にあ
っては、SiO2 膜やSiOF膜、SiN膜、TiN
膜、HSQ膜やMSQ膜、有機SOG膜、ポ−ラスシリ
カ等であり、HSQ膜やMSQ膜にあってはフォトレジ
ストである。また有機SOG膜やポ−ラスシリカにあっ
ては、これらの膜とフォトレジストとは反応してしまう
ため、SiO2 膜の上面にフォトレジストを形成したも
のである。
FIG. 12 shows a hard mask, an etching gas, and a lower insulating film of these films. That is, as for the hard mask, in the case of the BCB film and the FLARE film, the SiO 2 film, the SiOF film, the SiN film, and the TiN film are used.
Film, HSQ film or MSQ film, organic SOG film, porous silica, etc., and in the case of HSQ film or MSQ film, it is a photoresist. Further, in the case of an organic SOG film or porous silica, a photoresist is formed on the upper surface of the SiO 2 film because these films react with the photoresist.

【0039】またエッチングガスについては、BCB膜
及びFLARE膜にあっては、O2ガスやH2 ガスであ
り、有機SOG膜やHSQ膜、MSQ膜、ポ−ラスシリ
カにあってはCF系ガスである。さらに下部絶縁膜とし
ては、BCB膜及びFLARE膜にあっては、SiO2
膜やSiOF膜、SiN膜等のSiを含む絶縁膜、HS
Q膜やMSQ膜、有機SOG膜、ポ−ラスシリカ等であ
り、有機SOG膜やHSQ膜、MSQ膜、ポ−ラスシリ
カにあっては、SiLK膜、BCB膜、FLARE膜、
CF膜、SiO2 膜やSiN膜等である。また上部絶縁
膜として塗布膜を用いる場合においても、下部絶縁膜と
上部絶縁膜との組み合わせにより両者の間の密着性が小
さい場合には、下部絶縁膜と上部絶縁膜との間に密着層
を介装するようにしてもよい。
The etching gas is O 2 gas or H 2 gas for the BCB film and FLARE film, and is CF gas for the organic SOG film, HSQ film, MSQ film and porous silica. is there. Further, as the lower insulating film, in the BCB film and the FLARE film, SiO 2 is used.
Film, SiOF film, insulating film containing Si such as SiN film, HS
Q film, MSQ film, organic SOG film, porous silica, etc. For organic SOG film, HSQ film, MSQ film, and porous silica, SiLK film, BCB film, FLARE film,
It is a CF film, a SiO 2 film, a SiN film, or the like. Further, even when a coating film is used as the upper insulating film, if the adhesion between the lower insulating film and the upper insulating film is small due to the combination of the lower insulating film and the upper insulating film, an adhesive layer is formed between the lower insulating film and the upper insulating film. You may make it interpose.

【0040】ここで実際に下部絶縁膜が5000オング
ストロ−ムの厚さのSiO2 膜、上部絶縁膜が5000
オングストロ−ムの厚さのSiLK膜であって、ビアホ
−ルが直径0.5μm、溝の幅が0.4μmの半導体装
置を上述のプロセスで製造し、SEM(走査電子顕微
鏡)によりビアホ−ルと溝の断面を観察したところ、ビ
アホ−ルへのSiLK膜の埋め込みは見られず、デュア
ルダマシン形状が形成されていることが確認された。ま
た上部絶縁膜をBCB膜,FLARE膜、有機SOG
膜、HSQ膜、MSQ膜、ポ−ラスシリカに変えて同様
に半導体装置を製造したところ、デュアルダマシン形状
が形成されていることが確認された。
Here, the lower insulating film is actually an SiO 2 film having a thickness of 5000 Å, and the upper insulating film is
A semiconductor device having a thickness of Angstrom and having a via hole of 0.5 μm in diameter and a groove width of 0.4 μm, which is a SiLK film having a thickness of Å, is manufactured by the above-described process, and the via hole is formed by SEM (scanning electron microscope). When the cross section of the groove was observed, no embedding of the SiLK film in the via hole was observed, and it was confirmed that a dual damascene shape was formed. The upper insulating film is made of BCB film, FLARE film, organic SOG.
When a semiconductor device was similarly manufactured in place of the film, the HSQ film, the MSQ film, and the porous silica, it was confirmed that a dual damascene shape was formed.

【0041】このように上部絶縁膜として塗布膜を用い
る場合においても、本発明方法により複雑なデュアルダ
マシン形状を有する半導体装置を簡易な手法で製造する
ことができる。
As described above, even when the coating film is used as the upper insulating film, a semiconductor device having a complicated dual damascene shape can be manufactured by a simple method by the method of the present invention.

【0042】続いて本発明の他の実施の形態について説
明する。本実施の形態は、下部絶縁膜と上部絶縁膜とが
異なる種類の絶縁膜であって、両者のエッチング選択比
が異なる場合には、上部絶縁膜を形成する際にビアホ−
ルの一部若しくは全部に上部絶縁膜が成膜されても、上
部絶縁膜のエッチングの際にエッチング時間をある程度
長くすれば、ビアホ−ル内の上部絶縁膜も除去できるこ
とを見出だしたことにより成されたものである。
Next, another embodiment of the present invention will be described. In this embodiment mode, when the lower insulating film and the upper insulating film are different types of insulating films and the etching selectivity of both is different, a via hole is formed when forming the upper insulating film.
It has been found that even if the upper insulating film is formed on part or all of the hole, the upper insulating film in the via hole can be removed if the etching time is lengthened to a certain extent when etching the upper insulating film. It was made.

【0043】この実施の形態について、下部絶縁膜をS
iO2 膜3、上部絶縁膜をCF膜4により形成した半導
体装置を例にして図13により具体的に説明する。図1
3(a)は上述の実施の形態と同様の方法により、基板
2の上にSiO2 膜3を成膜し、当該SiO2 膜3にビ
アホ−ル31を形成した後、SiO2 膜3の上面に密着
層であるSiN膜81とSiC膜82を形成した状態を
示している。
In this embodiment, the lower insulating film is made of S
A specific description will be given with reference to FIG. 13 using a semiconductor device in which the iO 2 film 3 and the upper insulating film are formed of the CF film 4 as an example. FIG.
3 by the same method as the form of (a) the above embodiment, the SiO 2 film 3 is formed on the substrate 2, via hole in the SiO 2 film 3 - after the formation of the Le 31, the SiO 2 film 3 The state where the SiN film 81 and the SiC film 82 which are the adhesion layers are formed on the upper surface is shown.

【0044】そして密着層の上面にCF膜4を成膜する
が、このCF膜4は例えば前記プラズマ処理装置におい
て、プラズマガス例えばArガスと、成膜ガス例えばC
4 8 ガスとC2 4 ガスを用いて、当該成膜ガスをプ
ラズマ化することにより形成される。この時の成膜条件
は、例えばArガスとC4 8 ガスとC2 4 ガスの流
量が夫々150sccm,40sccm,30scc
m,マイクロ波電力が2.7kW,バイアス電力が0k
W,基板温度が300℃〜350℃である。
Then, a CF film 4 is formed on the upper surface of the adhesion layer, and the CF film 4 is formed by, for example, a plasma gas such as Ar gas and a film forming gas such as C
4 with reference to F 8 gas and C 2 H 4 gas, is formed by plasma the deposition gas. The film formation conditions at this time are, for example, that the flow rates of Ar gas, C 4 F 8 gas and C 2 H 4 gas are 150 sccm, 40 sccm, and 30 sccc, respectively.
m, microwave power 2.7 kW, bias power 0 k
W, the substrate temperature is 300 ° C. to 350 ° C.

【0045】このようにCF膜の成膜を行うと、C4
8 ガスはC6 6 ガスよりも分子が小さいので、C6
6 ガスよりもビアホ−ル31内に成膜されやすく、例え
ばビアホ−ル31の底部や側壁の一部に付着してしま
い、ビアホ−ル31の一部にCF膜4が堆積した状態と
なる。
When the CF film is formed as described above, C 4 F
Since 8 gas has smaller molecules than C 6 F 6 gas, C 6 F
The film is more easily formed in the via hole 31 than the six gases, and adheres to, for example, the bottom or a part of the side wall of the via hole 31, and the CF film 4 is deposited on a part of the via hole 31. .

【0046】次いで図13(b)に示すように、上述の
実施の形態と同様に、CF膜4の上面への例えばSiC
膜からなるハ−ドマスク83の形成と、レジスト72の
塗布、露光、現像とを行った後、図13(c)に示すよ
うに、CF系ガスをエッチングガスとして用いてハ−ド
マスク83をエッチングし、続いて図13(d)に示す
ようにCF膜4のエッチングを行う。このCF膜4のエ
ッチングは、図示しないエッチング装置にて、O2 ガス
やH2 ガスをエッチングガスとし、ハ−ドマスク83を
マスクとして用いて行うが、この際エッチング時間はC
F膜4のエッチングに要する時間よりも所定時間長く設
定する。ここでCF膜4のエッチングに要する時間は、
エッチングの終点を例えばFやCF系の発光分析により
確認して決定される。
Next, as shown in FIG. 13B, similarly to the above-described embodiment, the upper surface of the CF
After forming a hard mask 83 made of a film and applying, exposing, and developing a resist 72, the hard mask 83 is etched using a CF-based gas as an etching gas, as shown in FIG. Then, the CF film 4 is etched as shown in FIG. The etching of the CF film 4 is performed by an etching apparatus (not shown) using O 2 gas or H 2 gas as an etching gas and a hard mask 83 as a mask.
The time is set to be longer than the time required for etching the F film 4 by a predetermined time. Here, the time required for etching the CF film 4 is as follows.
The end point of the etching is determined by confirming, for example, F or CF based emission analysis.

【0047】このようにCF膜4のエッチングが終了し
てからも所定時間続けてエッチングを行なうと、このい
わゆるオ−バ−エッチングによりビアホ−ル31内に存
在するCF膜4もエッチングされて除去される。この際
ビアホ−ル31が形成されるSiO2 膜3とCF膜4と
はエッチング選択比が異なるので、O2 ガスやH2 ガス
によりSiO2 膜3がエッチングされることはなく、こ
のオ−バ−エッチングによりビアホ−ル31の側壁がエ
ッチングされ、ビアホ−ル形状が変化してしまうおそれ
はない。従ってCF膜4のエッチング時間を調整するこ
とにより、ビアホ−ル31内に付着したCF膜の除去量
を調整することができる。このためCF膜4の成膜時に
ビアホ−ル31内の一部あるいは全部にCF膜が堆積し
たとしても、デュアルダマシン形状を形成することがで
きる。
When the etching is continued for a predetermined time after the etching of the CF film 4 is completed, the CF film 4 existing in the via hole 31 is also etched and removed by this so-called over-etching. Is done. At this time, since the SiO 2 film 3 on which the via hole 31 is formed and the CF film 4 have different etching selectivity, the SiO 2 film 3 is not etched by O 2 gas or H 2 gas. The side wall of the via hole 31 is etched by the bar etching, and there is no possibility that the via hole shape is changed. Therefore, by adjusting the etching time of the CF film 4, the removal amount of the CF film adhered in the via hole 31 can be adjusted. Therefore, a dual damascene shape can be formed even when the CF film is deposited on a part or all of the via hole 31 when the CF film 4 is formed.

【0048】また本実施の形態は、上部絶縁膜が例えば
SiLK膜、BCB膜、FLARE膜、有機SOG膜、
HSQ膜、MSQ膜、ポ−ラスシリカ等の塗布膜や、パ
リレン、メチルシラン系の膜であって、下部絶縁膜が上
部絶縁膜とはエッチング選択比が異なる場合にも適用で
きる。
In this embodiment, the upper insulating film is made of, for example, a SiLK film, a BCB film, a FLARE film, an organic SOG film,
The present invention can be applied to a coating film of HSQ film, MSQ film, porous silica, or the like, or a film of parylene or methylsilane, in which the lower insulating film has an etching selectivity different from that of the upper insulating film.

【0049】ここで実際に絶縁膜が5000オングスト
ロ−ムの厚さのSiO2 膜、上部絶縁膜が5000オン
グストロ−ムの厚さのCF膜であって、ビアホ−ルが直
径0.5μm、溝の幅が0.4μmの半導体装置を、C
F膜のエッチング時間を通常の1.3倍にして上述のプ
ロセスにて製造し、SEM(走査電子顕微鏡)により、
エッチング前のビアホ−ルと、エッチング後のビアホ−
ルと溝の断面を観察したところ、エッチング前にはビア
ホ−ルの底部と側壁にCF膜が付着していたが、エッチ
ング後にはビアホ−ル内へのCF膜の埋め込みやビアホ
−ルの変形は見られず、デュアルダマシン形状が形成さ
れていることが確認された。
Here, the insulating film is actually a SiO 2 film having a thickness of 5000 angstroms, the upper insulating film is a CF film having a thickness of 5000 angstroms, and the via hole has a diameter of 0.5 μm and a groove. Semiconductor device having a width of 0.4 μm
The F film is manufactured by the above-described process with the etching time being 1.3 times the normal time, and is subjected to SEM (scanning electron microscope).
Via hole before etching and via hole after etching
Observation of the cross section of the hole and the groove revealed that the CF film had adhered to the bottom and side walls of the via hole before etching, but after etching, the CF film was buried in the via hole and the via hole was deformed. Was not observed, and it was confirmed that a dual damascene shape was formed.

【0050】また上部絶縁膜をSiLK膜、BCB膜、
FLARE膜、有機SOG膜、HSQ膜、MSQ膜、ポ
−ラスシリカに変えて同様に半導体装置を製造したとこ
ろ、溶剤やウエハWの回転数を変えることにより、上部
絶縁膜のビアホ−ルへの埋め込み量が異なるものの、S
iLK膜等は、SiO2 膜とのエッチング時の選択比が
異なるので、ビアホ−ルの大部分に上部絶縁膜が堆積し
ている場合であっても、上部絶縁膜のエッチング時間を
長くすることにより、ビアホ−ルの形状の変化を抑えな
がらビアホ−ル内の上部絶縁膜を除去することができ、
デュアルダマシン形状が形成できることが確認された。
The upper insulating film is made of a SiLK film, a BCB film,
When a semiconductor device was manufactured in the same manner as in the case of changing the FLARE film, the organic SOG film, the HSQ film, the MSQ film, and the porous silica, the upper insulating film was buried in the via hole by changing the solvent and the rotation speed of the wafer W. Although the amount is different, S
Since the selectivity of the iLK film and the like at the time of etching with the SiO 2 film is different, it is necessary to lengthen the etching time of the upper insulating film even when the upper insulating film is deposited on most of the via holes. Thereby, the upper insulating film in the via hole can be removed while suppressing the change in the shape of the via hole,
It was confirmed that a dual damascene shape could be formed.

【0051】続いて本発明のさらに他の実施の形態につ
いて説明する。本実施の形態は、下部絶縁膜と上部絶縁
膜とのエッチング選択比がほとんど同じ場合であって
も、これらの絶縁膜とはエッチング選択比が異なる薄膜
を、下部絶縁膜と上部絶縁膜との界面に設ければ、ビア
ホ−ルの一部若しくは全部に上部絶縁膜が堆積していて
も、上部絶縁膜のエッチングの際にエッチング時間をあ
る程度長くすることにより、ビアホ−ルの形状を変化さ
せずに、ビアホ−ル内の上部絶縁膜も除去できることを
見出だしたことにより成されたものである。
Next, still another embodiment of the present invention will be described. In this embodiment mode, even when the etching selectivity between the lower insulating film and the upper insulating film is almost the same, a thin film having a different etching selectivity from these insulating films is used for forming the thin film between the lower insulating film and the upper insulating film. If it is provided at the interface, even if the upper insulating film is deposited on part or all of the via hole, the shape of the via hole can be changed by extending the etching time to some extent when etching the upper insulating film. Without removing the upper insulating film in the via hole.

【0052】この実施の形態について、下部絶縁膜をC
F膜9、上部絶縁膜をCF膜4により形成した半導体装
置を例にして図14及び図15により具体的に説明す
る。図14(a)は上述の実施の形態と同様の方法によ
り、基板2の上にCF膜9を成膜した状態を示してお
り、このCF膜9は例えば前記プラズマ処理装置におい
て、成膜ガス例えばC4 8 ガスとC2 4 ガスとをプ
ラズマ化することにより形成される。
In this embodiment, the lower insulating film is made of C
A specific description will be given with reference to FIGS. 14 and 15 by taking a semiconductor device in which the F film 9 and the upper insulating film are formed by the CF film 4 as an example. FIG. 14A shows a state in which a CF film 9 is formed on the substrate 2 by a method similar to that of the above-described embodiment. For example, it is formed by turning C 4 F 8 gas and C 2 H 4 gas into plasma.

【0053】次いで図14(b)に示すように、CF膜
9の上面への例えばSiC膜からなるハ−ドマスク85
の形成と、レジスト73の塗布、露光、現像とを行な
う。ここでハ−ドマスク85は例えば前記プラズマ処理
装置において、成膜ガス例えばSiH4 ガス及びC2
4 ガスをプラズマ化することにより形成される。この後
図14(c)に示すように、CF系ガスをエッチングガ
スとして用いてハ−ドマスク85をエッチングし、続い
てO2 ガスやH2 ガスをエッチングガスとして用いてC
F膜9にビアホ−ル91をエッチングする。
Next, as shown in FIG. 14B, a hard mask 85 made of, for example, a SiC film is formed on the upper surface of the CF film 9.
And the application, exposure, and development of a resist 73 are performed. Here, the hard mask 85 is formed by, for example, a film forming gas such as SiH 4 gas and C 2 H in the plasma processing apparatus.
It is formed by turning 4 gases into plasma. Thereafter, as shown in FIG. 14 (c), the hard mask 85 is etched using a CF-based gas as an etching gas, and then C 2 gas is etched using an O 2 gas or H 2 gas as an etching gas.
The via hole 91 is etched in the F film 9.

【0054】次に図15(a)に示すように、ハ−ドマ
スク85の上面にCF膜4を成膜するが、このCF膜4
は上述の実施の形態と同様に、例えば前記プラズマ処理
装置において、プラズマガス例えばArガスと、成膜ガ
ス例えばC4 8 ガスとC24 ガスとを夫々150s
ccm,40sccm,30sccmの流量で導入し、
マイクロ波電力2.7kW,バイアス電力0kW,基板
温度300℃〜350℃の下で、成膜ガスをプラズマ化
することにより形成される。この成膜により既述のよう
に、例えばビアホ−ル91の底部や側壁の一部にCF膜
4が堆積した状態となる。
Next, as shown in FIG. 15A, a CF film 4 is formed on the upper surface of the hard mask 85.
In the same manner as in the above-described embodiment, for example, in the above-described plasma processing apparatus, a plasma gas such as an Ar gas and a film forming gas such as a C 4 F 8 gas and a C 2 H 4 gas are each used for 150 seconds.
Introduced at a flow rate of ccm, 40sccm, 30sccm,
It is formed by turning a film forming gas into plasma under a microwave power of 2.7 kW, a bias power of 0 kW, and a substrate temperature of 300 ° C. to 350 ° C. By this film formation, as described above, for example, the CF film 4 is deposited on the bottom and a part of the side wall of the via hole 91.

【0055】次いで図15(b)に示すように、上述の
実施の形態と同様に、CF膜4の上面への例えばSiC
膜からなるハ−ドマスク83の形成と、レジスト72の
塗布、露光、現像とを行なった後、CF系ガスによるハ
−ドマスク83のエッチングと、O2 ガスやH2 ガスに
よるCF膜4のエッチングとを行い、溝41を形成する
(図15(c)参照)。この際CF膜4のエッチング時
間はCF膜4のエッチングに要する時間よりも所定時間
長く設定する。
Next, as shown in FIG. 15B, similarly to the above-described embodiment, the upper surface of the CF
After forming a hard mask 83 made of a film, applying, exposing, and developing a resist 72, etching of the hard mask 83 with a CF-based gas and etching of the CF film 4 with an O 2 gas or an H 2 gas. To form the groove 41 (see FIG. 15C). At this time, the etching time of the CF film 4 is set to be longer than the time required for etching the CF film 4 by a predetermined time.

【0056】このようなオ−バ−エッチングを行うと、
ビアホ−ル91内に存在するCF膜4もエッチングされ
て除去される。この際下部絶縁膜と上部絶縁膜とは共に
CF膜により形成されているので両者のエッチング選択
比は同じであるが、両者の界面にSiC膜よりなるハ−
ドマスク85が設けられており、このハ−ドマスク85
とCF膜とはエッチング選択比が異なるので、このハ−
ドマスク85により下方側のCF膜9のエッチングが妨
げられる。またCF膜4は垂直性のよいエッチングが行
われるので、ビアホ−ル91内のCF膜4のエッチング
のみが進行し、ビアホ−ル91の側壁が削られるおそれ
はない。さらにビアホ−ル91の底部はCF膜とはエッ
チング選択比が異なる基板2であるため、当該ビアホ−
ル91の底部がエッチングにより削られるおそれもな
い。
When such over-etching is performed,
The CF film 4 existing in the via hole 91 is also removed by etching. At this time, since both the lower insulating film and the upper insulating film are formed of CF films, their etching selectivity is the same.
A hard mask 85 is provided.
Since the etching selectivity differs between the CF film and the CF film,
The mask 85 prevents etching of the lower CF film 9. Further, since the CF film 4 is etched with good verticality, only the etching of the CF film 4 in the via hole 91 proceeds, and there is no possibility that the side wall of the via hole 91 is cut. Further, the bottom of the via hole 91 is the substrate 2 having an etching selectivity different from that of the CF film.
There is no danger that the bottom of the screw 91 will be scraped off by etching.

【0057】従ってこのオ−バ−エッチングによりビア
ホ−ル形状が変化させることなく、ビアホ−ル91内に
存在するCF膜4のみを除去することができ、CF膜4
のエッチング時間を調整することにより、ビアホ−ル9
1内に付着したCF膜の除去量を調整することができ
る。このため下部絶縁膜と上部絶縁膜とが同じ種類の絶
縁膜である場合に、上部絶縁膜の成膜時にビアホ−ル内
に上部絶縁膜が埋め込まれたとしても、デュアルダマシ
ン形状を形成することができる。
Therefore, only the CF film 4 existing in the via hole 91 can be removed without changing the via hole shape by this over-etching.
By adjusting the etching time of the via hole 9,
It is possible to adjust the removal amount of the CF film adhered to the inside 1. Therefore, when the lower insulating film and the upper insulating film are the same type of insulating film, a dual damascene shape should be formed even if the upper insulating film is embedded in the via hole when the upper insulating film is formed. Can be.

【0058】ここで上述の例では、下部絶縁膜と上部絶
縁膜との界面に設けられる、これらの絶縁膜とはエッチ
ング選択比が異なる絶縁膜として、絶縁膜のハ−ドマス
クを利用したので、前記エッチング選択比が異なる絶縁
膜を新たに形成しなくてもよいという利点があるが、こ
の実施の形態では前記エッチング選択比が異なる絶縁膜
をカバ−膜としてハ−ドマスクと別個に形成するように
してもよい。
Here, in the above-described example, a hard mask of the insulating film is used as an insulating film provided at the interface between the lower insulating film and the upper insulating film and having an etching selectivity different from these insulating films. Although there is an advantage that it is not necessary to newly form an insulating film having a different etching selectivity, in this embodiment, an insulating film having a different etching selectivity is formed separately from a hard mask as a cover film. It may be.

【0059】またカバ−膜は、例えば図16(a)に示
すように、下部絶縁膜であるCF膜9の表面全体つまり
ビアホ−ル91の側壁や底部の表面全体を覆うように形
成するようにしてもよい。ここでカバ−膜200は例え
ば下部絶縁膜であるCF膜とはエッチング選択比の異な
るSiN膜やSiC膜などにより形成され、例えば上述
のプラズマ成膜装置において所定の成膜ガスをプラズマ
化することにより形成される。この際所定のバイアス電
力を印加することにより、ビアホ−ル91の側壁や底部
へカバ−膜200を成膜することができる。
The cover film is formed so as to cover the entire surface of the CF film 9 as the lower insulating film, that is, the entire surface of the side wall and the bottom of the via hole 91 as shown in FIG. It may be. Here, the cover film 200 is formed of, for example, a SiN film or a SiC film having an etching selectivity different from that of the CF film as the lower insulating film. Formed by At this time, by applying a predetermined bias power, the cover film 200 can be formed on the side wall and the bottom of the via hole 91.

【0060】この場合には、引き続いて図16(b),
(c)に示すように、上述の実施の形態と同様に、上部
絶縁膜であるCF膜4をカバ−膜200の表面に成膜
し、次いでCF膜4のオ−バ−エッチングを行って、溝
41の形成とCF膜4の成膜時にビアホ−ル91の内部
に堆積したCF膜4の除去を行なった後、図16(d)
に示すように、エッチングガス例えばCF4 やC4 8
ガスを用いてカバ−膜200の除去を行う。
In this case, subsequently, as shown in FIG.
As shown in (c), similarly to the above-described embodiment, the CF film 4 as the upper insulating film is formed on the surface of the cover film 200, and then the CF film 4 is over-etched. After the formation of the groove 41 and the removal of the CF film 4 deposited inside the via hole 91 during the formation of the CF film 4, FIG.
As shown in FIG. 3, an etching gas such as CF 4 or C 4 F 8
The cover film 200 is removed using a gas.

【0061】本実施の形態は、上部絶縁膜が例えばSi
LK膜、BCB膜、FLARE膜、有機SOG膜、HS
Q膜、MSQ膜、ポ−ラスシリカ等の塗布膜や、パリレ
ン、メチルシラン系の膜であって、下部絶縁膜が上部絶
縁膜とはエッチング選択比が同じ場合にも適用できる。
また上部絶縁膜と下部絶縁膜との種類が異なる場合にも
適用してもよい。
In this embodiment, the upper insulating film is made of, for example, Si.
LK film, BCB film, FLARE film, organic SOG film, HS
The present invention can be applied to a coating film of a Q film, an MSQ film, porous silica, or the like, or a film of a parylene or methylsilane type, in which the lower insulating film has the same etching selectivity as the upper insulating film.
Further, the present invention may be applied to a case where the types of the upper insulating film and the lower insulating film are different.

【0062】ここで実際に下部絶縁膜が5000オング
ストロ−ムの厚さのCF膜、上部絶縁膜が5000オン
グストロ−ムの厚さのCF膜、両者の間に500オング
ストロームの厚さの下部絶縁膜のハ−ドマスクが設けら
れていると共に、ビアホ−ルが直径0.5μm、溝の幅
が0.4μmの半導体装置を、CF膜のエッチング時間
を通常の1.3倍にして上述のプロセスにて製造し、S
EMにより、エッチング前のビアホ−ルと、エッチング
後のビアホ−ルと溝の断面を観察したところ、エッチン
グ前にはビアホ−ルの底部と側壁にCF膜が付着してい
たが、エッチング後にはビアホ−ル内へのCF膜の埋め
込みやビアホ−ルの変形は見られず、デュアルダマシン
形状が形成されていることが確認された。
Here, the lower insulating film is actually a CF film having a thickness of 5000 angstroms, the upper insulating film is a CF film having a thickness of 5000 angstroms, and the lower insulating film having a thickness of 500 angstroms is provided between the two. Of a semiconductor device having a diameter of 0.5 .mu.m and a width of 0.4 .mu.m for a trench, the etching time of a CF film is 1.3 times the normal time, and the above process is performed. Manufactured and S
When the cross section of the via hole before etching and the via hole and the groove after etching were observed by EM, the CF film was adhered to the bottom and the side wall of the via hole before etching. No embedding of the CF film in the via hole and no deformation of the via hole were observed, and it was confirmed that a dual damascene shape was formed.

【0063】また上部絶縁膜と下部絶縁膜とをSiLK
膜、BCB膜、FLARE膜、有機SOG膜、HSQ
膜、MSQ膜、ポ−ラスシリカに変えて同様に半導体装
置を製造したところ、塗布条件を変えることにより上部
絶縁膜のビアホ−ルへの堆積量が異なるものの、ビアホ
−ルの大部分に上部絶縁膜が埋め込まれている場合であ
っても、上部絶縁膜のエッチング時間を長くすることに
より、ビアホ−ルの形状の変化を抑えながらビアホ−ル
内の上部絶縁膜を除去することができ、デュアルダマシ
ン形状が形成できることが確認された。
The upper insulating film and the lower insulating film are made of SiLK.
Film, BCB film, FLARE film, organic SOG film, HSQ
When a semiconductor device was manufactured in the same manner as above except that the film, the MSQ film, and the porous silica were used, the deposition amount of the upper insulating film on the via hole was changed by changing the coating conditions. Even if the film is buried, by extending the etching time of the upper insulating film, the upper insulating film in the via hole can be removed while suppressing the change in the shape of the via hole, and the dual insulating film can be removed. It was confirmed that a damascene shape could be formed.

【0064】以上において本発明では、埋め込み特性の
悪いCF膜の成膜ガスとしては上述のC6 6 ガス以外
に、C4 8 ガス,C5 8 ガス,C6 10ガス,C6
5CF3 ガス等を用いることができる。またこのCF
膜はECRによりプラズマを生成することに限られず、
例えばICP(Inductive CoupledP
lasma)などと呼ばれている、ドーム状の容器に巻
かれたコイルから電界及び磁界を処理ガスに与える方法
などによりプラズマを生成する装置を用いても形成でき
る。
As described above, in the present invention, in addition to the above-mentioned C 6 F 6 gas, C 4 F 8 gas, C 5 F 8 gas, C 6 F 10 gas, C 6
H 5 CF 3 gas or the like can be used. Also this CF
The film is not limited to generating plasma by ECR,
For example, ICP (Inductive CoupledP)
lasma) or the like, a method of generating plasma by a method of applying an electric field and a magnetic field to a processing gas from a coil wound around a dome-shaped container.

【0065】さらにヘリコン波プラズマなどと呼ばれて
いる例えば13.56MHzのヘリコン波と磁気コイル
により印加された磁場との相互作用によりプラズマを生
成する装置や、マグネトロンプラズマなどと呼ばれてい
る2枚の平行なカソ−ドにほぼ平行をなすように磁界を
印加することによってプラズマを生成する装置、平行平
板などと呼ばれている互いに対向する電極間に高周波電
力を印加してプラズマを生成する装置を用いても形成す
ることができる。
Further, an apparatus for generating plasma by the interaction of a 13.56 MHz helicon wave called a helicon wave plasma and a magnetic field applied by a magnetic coil, or a two-piece called a magnetron plasma etc. A device that generates a plasma by applying a magnetic field so as to be substantially parallel to a parallel cathode, and a device that generates a plasma by applying high-frequency power between mutually facing electrodes called a parallel plate or the like Can also be used.

【0066】さらにまたビアホ−ルが形成されるSiO
2 膜は、上述のようにプラズマCVDにより形成する
他、熱酸化法やゾル−ゲル法等により形成することがで
きる。ここでゾル−ゲル法とは、TEOS(テトラエト
キシシラン;Si(C2 5 O)4 )のコロイドをエタ
ノ−ル溶液等の有機溶媒に分散させた塗布液を半導体ウ
エハWの表面に塗布し、その塗布膜をゲル化した後乾燥
させてSiO2 膜を得る手法である。またSiO2 膜と
CF膜との間に形成される密着層としては、SiN膜は
SiO2 膜との密着性が大きく、SiC膜はCF膜との
密着性が大きいのでこれらの膜を積層したものを用いる
ことは有効であるが、これらの膜の一方を用いるように
してもよい。
Further, a SiO for forming a via hole is formed.
The two films can be formed by a thermal oxidation method, a sol-gel method, or the like, in addition to being formed by plasma CVD as described above. Here, the sol-gel method means that a coating liquid in which a colloid of TEOS (tetraethoxysilane; Si (C 2 H 5 O) 4 ) is dispersed in an organic solvent such as an ethanol solution is applied to the surface of the semiconductor wafer W. In this method, the coating film is gelled and then dried to obtain a SiO 2 film. As the adhesion layer formed between the SiO 2 film and the CF film, the SiN film has a large adhesion with the SiO 2 film, and the SiC film has a large adhesion with the CF film. Although it is effective to use one of these films, one of these films may be used.

【0067】またビアホ−ルが形成される膜としては、
このようなSiO2 膜の他、SiOF膜や有機SOG
膜、HSQ膜、BCB膜、ポリイミド膜、F添加ポリイ
ミド膜、フッ化ポリアリルエ−テル、テフロン、サイト
ップ等の塗布膜を用いることができる。
As a film on which a via hole is formed,
In addition to such SiO 2 film, SiOF film and organic SOG
A coating film such as a film, an HSQ film, a BCB film, a polyimide film, an F-added polyimide film, polyallyl fluoride ether, Teflon, or Cytop can be used.

【0068】さらにまた埋め込み特性の悪い成膜材料を
用いて上部絶縁膜の形成を行った場合であっても、上部
絶縁膜に溝を形成するエッチングを行う際にいわゆるオ
−バ−エッチングを行うようにしてもよい。
Furthermore, even when the upper insulating film is formed using a film forming material having poor filling characteristics, so-called over etching is performed when etching for forming a groove in the upper insulating film. You may do so.

【0069】[0069]

【発明の効果】以上のように本発明によれば、半導体装
置を簡易な手法のデュアルダマシン法で製造することが
できる。
As described above, according to the present invention, a semiconductor device can be manufactured by a simple dual damascene method.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明方法の概要を説明するための工程図であ
る。
FIG. 1 is a process chart for explaining the outline of the method of the present invention.

【図2】本発明方法の具体的な一例を示す工程図であ
る。
FIG. 2 is a process chart showing a specific example of the method of the present invention.

【図3】本発明方法の具体的な一例を示す工程図であ
る。
FIG. 3 is a process chart showing a specific example of the method of the present invention.

【図4】本発明方法の具体的な一例を示す工程図であ
る。
FIG. 4 is a process chart showing a specific example of the method of the present invention.

【図5】本発明方法の具体的な一例を示す工程図であ
る。
FIG. 5 is a process chart showing a specific example of the method of the present invention.

【図6】本発明方法を実施するためのプラズマ処理装置
の一例を示す縦断側面図である。
FIG. 6 is a vertical sectional side view showing an example of a plasma processing apparatus for carrying out the method of the present invention.

【図7】本発明の作用を説明するための工程図である。FIG. 7 is a process chart for explaining the operation of the present invention.

【図8】本発明方法で製造される半導体装置の他の例を
示す断面図である。
FIG. 8 is a sectional view showing another example of a semiconductor device manufactured by the method of the present invention.

【図9】本発明方法の他の例を示す工程図である。FIG. 9 is a process chart showing another example of the method of the present invention.

【図10】塗布膜の形成方法を説明するための工程図で
ある。
FIG. 10 is a process chart for describing a method of forming a coating film.

【図11】本発明の他の例の作用を説明するための説明
図である。
FIG. 11 is an explanatory diagram for explaining an operation of another example of the present invention.

【図12】塗布膜とハ−ドマスク,エッチングガス,絶
縁膜との関係を示す特性図である。
FIG. 12 is a characteristic diagram showing a relationship among a coating film, a hard mask, an etching gas, and an insulating film.

【図13】本発明方法のさらに他の例を示す工程図であ
る。
FIG. 13 is a process chart showing still another example of the method of the present invention.

【図14】本発明方法のさらに他の例を示す工程図であ
る。
FIG. 14 is a process chart showing still another example of the method of the present invention.

【図15】本発明方法のさらに他の例を示す工程図であ
る。
FIG. 15 is a process chart showing still another example of the method of the present invention.

【図16】本発明方法のさらに他の例を示す工程図であ
る。
FIG. 16 is a process chart showing still another example of the method of the present invention.

【図17】従来のデュアルダマシン法の一例を示す工程
図である。
FIG. 17 is a process chart showing an example of a conventional dual damascene method.

【図18】従来のデュアルダマシン法の一例を示す工程
図である。
FIG. 18 is a process chart showing an example of a conventional dual damascene method.

【符号の説明】[Explanation of symbols]

W 半導体ウエハ 2 基板 3 SiO2 膜 31,91 ビアホ−ル 4,9 CF膜 41 溝W Semiconductor wafer 2 Substrate 3 SiO 2 film 31, 91 Via hole 4, 9 CF film 41 Groove

─────────────────────────────────────────────────────
────────────────────────────────────────────────── ───

【手続補正書】[Procedure amendment]

【提出日】平成10年12月25日(1998.12.
25)
[Submission date] December 25, 1998 (1998.12.
25)

【手続補正1】[Procedure amendment 1]

【補正対象書類名】図面[Document name to be amended] Drawing

【補正対象項目名】図9[Correction target item name] Fig. 9

【補正方法】変更[Correction method] Change

【補正内容】[Correction contents]

【図9】 FIG. 9

【手続補正2】[Procedure amendment 2]

【補正対象書類名】図面[Document name to be amended] Drawing

【補正対象項目名】図10[Correction target item name] FIG.

【補正方法】変更[Correction method] Change

【補正内容】[Correction contents]

【図10】 FIG. 10

【手続補正3】[Procedure amendment 3]

【補正対象書類名】図面[Document name to be amended] Drawing

【補正対象項目名】図11[Correction target item name] FIG.

【補正方法】変更[Correction method] Change

【補正内容】[Correction contents]

【図11】 FIG. 11

【手続補正4】[Procedure amendment 4]

【補正対象書類名】図面[Document name to be amended] Drawing

【補正対象項目名】図12[Correction target item name] FIG.

【補正方法】変更[Correction method] Change

【補正内容】[Correction contents]

【図12】 FIG.

【手続補正5】[Procedure amendment 5]

【補正対象書類名】図面[Document name to be amended] Drawing

【補正対象項目名】図13[Correction target item name] FIG.

【補正方法】変更[Correction method] Change

【補正内容】[Correction contents]

【図13】 FIG. 13

【手続補正6】[Procedure amendment 6]

【補正対象書類名】図面[Document name to be amended] Drawing

【補正対象項目名】図14[Correction target item name] FIG.

【補正方法】変更[Correction method] Change

【補正内容】[Correction contents]

【図14】 FIG. 14

【手続補正7】[Procedure amendment 7]

【補正対象書類名】図面[Document name to be amended] Drawing

【補正対象項目名】図15[Correction target item name] FIG.

【補正方法】変更[Correction method] Change

【補正内容】[Correction contents]

【図15】 FIG.

【手続補正8】[Procedure amendment 8]

【補正対象書類名】図面[Document name to be amended] Drawing

【補正対象項目名】図16[Correction target item name] FIG.

【補正方法】変更[Correction method] Change

【補正内容】[Correction contents]

【図16】 FIG. 16

【手続補正9】[Procedure amendment 9]

【補正対象書類名】図面[Document name to be amended] Drawing

【補正対象項目名】図18[Correction target item name] FIG.

【補正方法】変更[Correction method] Change

【補正内容】[Correction contents]

【図18】 FIG.

───────────────────────────────────────────────────── フロントページの続き (72)発明者 妹尾 幸治 東京都港区赤坂五丁目3番6号 東京エレ クトロン株式会社内 (72)発明者 萩原 正明 山梨県韮崎市藤井町北下条2381−1 東京 エレクトロン山梨株式会社内 Fターム(参考) 5F004 AA11 BA14 DA00 DA01 DA24 DA26 DB03 DB07 DB08 DB23 DB25 DB26 EA03 EA07 EA26 EB01 EB02 EB03 5F033 HH11 JJ11 MM02 QQ09 QQ11 QQ27 QQ28 QQ37 QQ48 QQ76 QQ92 QQ93 RR01 RR04 RR06 RR09 RR11 RR21 RR22 RR23 RR24 RR25 RR26 SS01 SS02 SS15 SS22 TT02 TT04 XX12 XX24 XX33 5F045 AA10 AB06 AB33 AB39 AC01 AC07 AD07 DC51 DC61 DC63 HA03 HA13 5F058 AC03 AC04 AC05 AF04 AG04 AH02 BA20 BC02 BC08 BD02 BD03 BD10 BD18 BF09 BF23 BH12 BJ01 BJ02  ──────────────────────────────────────────────────続 き Continuing on the front page (72) Koji Senoo, Inventor Tokyo Electron Co., Ltd., 5-3-6 Akasaka, Minato-ku, Tokyo Electron Yamanashi Co., Ltd. F-term (reference) RR24 RR25 RR26 SS01 SS02 SS15 SS22 TT02 TT04 XX12 XX24 XX33 5F045 AA10 AB06 AB33 AB39 AC01 AC07 AD07 DC51 DC61 DC63 HA03 HA13 5F058 AC03 AC04 AC05 AF04 AG04 AH02 BA20 BC02 BC08 BD02 BD03 BD10 BD18 B02B01B23

Claims (8)

【特許請求の範囲】[Claims] 【請求項1】 被処理体上に絶縁膜を形成する工程と、 前記絶縁膜にビアホ−ルをエッチングする工程と、 ビアホ−ルが形成された絶縁膜の表面に、埋め込み特性
の悪い成膜材料を用いて上部絶縁膜を形成する工程と、 前記上部絶縁膜に、金属を埋め込むことにより配線を形
成するための溝を前記ビアホ−ルの少なくとも一部に接
触するようにエッチングする工程と、を含むことを特徴
とする半導体装置の製造方法。
A step of forming an insulating film on an object to be processed; a step of etching a via hole in the insulating film; and forming a film having poor filling characteristics on the surface of the insulating film on which the via hole is formed. Forming an upper insulating film using a material, and etching a groove for forming a wiring by embedding a metal in the upper insulating film so as to contact at least a part of the via hole. A method for manufacturing a semiconductor device, comprising:
【請求項2】 被処理体上に絶縁膜を形成する工程と、 前記絶縁膜にビアホ−ルをエッチングする工程と、 ビアホ−ルが形成された絶縁膜の表面に、炭素とフッ素
との化合物であって埋め込み特性の悪い成膜材料を用い
てフッ素添加カ−ボン膜を形成する工程と、 前記フッ素添加カ−ボン膜に、金属を埋め込むことによ
り配線を形成するための溝を前記ビアホ−ルの少なくと
も一部に接触するようにエッチングする工程と、を含む
ことを特徴とする半導体装置の製造方法。
A step of forming an insulating film on the object to be processed; a step of etching a via hole in the insulating film; and a step of forming a compound of carbon and fluorine on the surface of the insulating film on which the via hole is formed. Forming a fluorine-added carbon film using a film-forming material having poor filling characteristics; and forming a groove for forming a wiring by embedding a metal in the fluorine-added carbon film in the via hole. Etching the semiconductor device so as to contact at least a part of the semiconductor device.
【請求項3】 被処理体上に絶縁膜を形成する工程と、 前記絶縁膜にビアホ−ルをエッチングする工程と、 炭素とフッ素との化合物であって埋め込み特性の悪い成
膜材料をプラズマ化し、そのプラズマによりビアホ−ル
が形成された絶縁膜の表面にフッ素添加カ−ボン膜を形
成する工程と、 前記フッ素添加カ−ボン膜に、金属を埋め込むことによ
り配線を形成するための溝を前記ビアホ−ルの少なくと
も一部に接触するようにエッチングする工程と、を含む
ことを特徴とする半導体装置の製造方法。
3. A step of forming an insulating film on an object to be processed, a step of etching a via hole in the insulating film, and forming a film-forming material which is a compound of carbon and fluorine and has a poor filling property into plasma. Forming a fluorine-added carbon film on the surface of the insulating film on which the via hole is formed by the plasma; and forming a groove for forming a wiring by embedding a metal in the fluorine-added carbon film. Etching the semiconductor device so as to contact at least a part of the via hole.
【請求項4】 前記炭素とフッ素との化合物であって埋
め込み特性の悪い成膜材料はヘキサフルオロベンゼンで
あることを特徴とする請求項2又は3記載の半導体装置
の製造方法
4. The method for manufacturing a semiconductor device according to claim 2, wherein said film-forming material which is a compound of carbon and fluorine and has a poor embedding property is hexafluorobenzene.
【請求項5】 被処理体上に絶縁膜を形成する工程と、 前記絶縁膜にビアホ−ルをエッチングする工程と、 ビアホ−ルが形成された絶縁膜の表面に、当該絶縁膜と
はエッチング選択比の異なる上部絶縁膜を形成する工程
と、 前記上部絶縁膜に、金属を埋め込むことにより配線を形
成するための溝を前記ビアホ−ルの少なくとも一部に接
触するようにエッチングする工程と、 上部絶縁膜のエッチング終了後所定時間エッチングを行
なうことにより、ビアホ−ル内に堆積した上部絶縁膜を
エッチングにより除去する工程と、を含むことを特徴と
する半導体装置の製造方法。
5. A step of forming an insulating film on an object to be processed, a step of etching a via hole in the insulating film, and etching the insulating film on the surface of the insulating film on which the via hole is formed. Forming an upper insulating film having a different selectivity; and etching a groove for forming a wiring by embedding a metal in the upper insulating film so as to contact at least a part of the via hole. Etching the upper insulating film to remove the upper insulating film deposited in the via hole by performing etching for a predetermined time after the etching of the upper insulating film.
【請求項6】 被処理体上に絶縁膜を形成する工程と、 前記絶縁膜にビアホ−ルをエッチングする工程と、 ビアホ−ルが形成された絶縁膜の表面に、当該絶縁膜と
はエッチング選択比の異なる薄膜を形成する工程と、 前記薄膜の表面に上部絶縁膜を形成する工程と、 前記上部絶縁膜に、金属を埋め込むことにより配線を形
成するための溝を前記ビアホ−ルの少なくとも一部に接
触するようにエッチングする工程と、 上部絶縁膜のエッチング終了後所定時間エッチングを行
なうことにより、ビアホ−ル内に堆積した上部絶縁膜を
エッチングにより除去する工程と、を含むことを特徴と
する半導体装置の製造方法。
6. A step of forming an insulating film on an object to be processed, a step of etching a via hole in the insulating film, and etching the insulating film on the surface of the insulating film on which the via hole is formed. Forming a thin film having a different selectivity, forming an upper insulating film on the surface of the thin film, and forming a groove for forming a wiring by embedding a metal in the upper insulating film at least in the via hole. A step of etching so as to make contact with a part of the upper insulating film, and a step of performing etching for a predetermined time after the etching of the upper insulating film to remove the upper insulating film deposited in the via hole by etching. Manufacturing method of a semiconductor device.
【請求項7】 前記上部絶縁膜はフッ素添加カ−ボン膜
であることを特徴とする請求項1,5又は6記載の半導
体装置の製造方法。
7. A method according to claim 1, wherein said upper insulating film is a fluorine-added carbon film.
【請求項8】 前記上部絶縁膜は塗布膜であることを特
徴とする請求項1,5又は6記載の半導体装置の製造方
法。
8. The method according to claim 1, wherein the upper insulating film is a coating film.
JP10321537A 1998-09-02 1998-10-27 Fabrication of semiconductor device Pending JP2000150516A (en)

Priority Applications (6)

Application Number Priority Date Filing Date Title
JP10321537A JP2000150516A (en) 1998-09-02 1998-10-27 Fabrication of semiconductor device
PCT/JP1999/004741 WO2000014786A1 (en) 1998-09-02 1999-09-01 Method of manufacturing semiconductor device
KR10-2001-7002791A KR100400907B1 (en) 1998-09-02 1999-09-01 Method of manufacturing semiconductor device
EP99940607A EP1120822A4 (en) 1998-09-02 1999-09-01 Method of manufacturing semiconductor device
TW088115109A TW464952B (en) 1998-09-02 1999-09-02 Process for producing semiconductor device
US09/665,960 US6737350B1 (en) 1998-09-02 2000-09-21 Method of manufacturing semiconductor device

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP10-264040 1998-09-02
JP26404098 1998-09-02
JP10321537A JP2000150516A (en) 1998-09-02 1998-10-27 Fabrication of semiconductor device

Publications (1)

Publication Number Publication Date
JP2000150516A true JP2000150516A (en) 2000-05-30

Family

ID=26546322

Family Applications (1)

Application Number Title Priority Date Filing Date
JP10321537A Pending JP2000150516A (en) 1998-09-02 1998-10-27 Fabrication of semiconductor device

Country Status (6)

Country Link
US (1) US6737350B1 (en)
EP (1) EP1120822A4 (en)
JP (1) JP2000150516A (en)
KR (1) KR100400907B1 (en)
TW (1) TW464952B (en)
WO (1) WO2000014786A1 (en)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002176100A (en) * 2000-07-14 2002-06-21 Applied Materials Inc Method and apparatus for treating low k dielectric layer to reduce diffusion
JP2002289590A (en) * 2001-03-27 2002-10-04 Ulvac Japan Ltd Method of etching thin film of material containing porous silica accumulated on substrate
JP2004214654A (en) * 2002-12-30 2004-07-29 Samsung Electronics Co Ltd Wiring of semiconductor device having double capping film and its forming method
JP2004523910A (en) * 2001-02-28 2004-08-05 インターナショナル・ビジネス・マシーンズ・コーポレーション Hybrid low-k interconnect structure composed of two spin-on dielectric materials
JP2007234719A (en) * 2006-02-28 2007-09-13 Sanyo Electric Co Ltd Semiconductor device and its fabrication process
JP2008109004A (en) * 2006-10-27 2008-05-08 Nippon Telegr & Teleph Corp <Ntt> Manufacturing method of minute structure
JP2008140998A (en) * 2006-12-01 2008-06-19 Tokyo Electron Ltd Method and device for forming film, storage media, and semiconductor device

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3604007B2 (en) * 2000-03-29 2004-12-22 富士通株式会社 Low dielectric constant film forming material, and film and semiconductor device manufacturing method using the same
EP1284015A4 (en) * 2000-04-28 2005-07-20 Tokyo Electron Ltd Semiconductor device having a low dielectric film and fabrication process thereof
US20020173079A1 (en) * 2000-12-28 2002-11-21 Erdem Kaltalioglu Dual damascene integration scheme using a bilayer interlevel dielectric
KR100460771B1 (en) * 2001-06-30 2004-12-09 주식회사 하이닉스반도체 Method of fabricating multi-level interconnects by dual damascene process
JP2004014841A (en) * 2002-06-07 2004-01-15 Fujitsu Ltd Semiconductor device and its manufacturing method
US6917108B2 (en) * 2002-11-14 2005-07-12 International Business Machines Corporation Reliable low-k interconnect structure with hybrid dielectric
JP2005277375A (en) * 2004-02-27 2005-10-06 Nec Electronics Corp Semiconductor device manufacturing method
JP2006013190A (en) * 2004-06-28 2006-01-12 Rohm Co Ltd Method of manufacturing semiconductor device
US8158485B2 (en) 2007-05-07 2012-04-17 Qimonda Ag Integrated circuit device having openings in a layered structure
US8021975B2 (en) * 2007-07-24 2011-09-20 Tokyo Electron Limited Plasma processing method for forming a film and an electronic component manufactured by the method
CN101359618B (en) * 2007-08-05 2011-12-07 中芯国际集成电路制造(上海)有限公司 Thru hole filling method, thru hole filling construction and thru hole manufacturing method
US20090061619A1 (en) * 2007-08-31 2009-03-05 Sang-Il Hwang Method of fabricating metal line
DE102010002451B4 (en) * 2010-02-26 2012-01-26 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Method for producing contact elements of semiconductor devices
US9414445B2 (en) * 2013-04-26 2016-08-09 Applied Materials, Inc. Method and apparatus for microwave treatment of dielectric films
US10002785B2 (en) 2014-06-27 2018-06-19 Microchip Technology Incorporated Air-gap assisted etch self-aligned dual Damascene
US9859156B2 (en) * 2015-12-30 2018-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnection structure with sidewall dielectric protection layer

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
IL54107A (en) 1978-02-22 1981-06-29 Yeda Res & Dev Electromagnetic linear motion devices
CH663121A5 (en) 1983-10-03 1987-11-13 Mavilor Syst Sa AC SYNCHRONOUS SERVOMOTOR.
JPH03198327A (en) * 1989-12-26 1991-08-29 Fujitsu Ltd Manufacture of semiconductor device
US5219787A (en) * 1990-07-23 1993-06-15 Microelectronics And Computer Technology Corporation Trenching techniques for forming channels, vias and components in substrates
JPH0653161A (en) * 1992-07-31 1994-02-25 Nec Corp Method of forming structure of self-aligned contact
IL109267A (en) 1993-04-13 1998-02-22 Hughes Aircraft Co Linear compressor including reciprocating piston and machined double-helix piston spring
JP2845176B2 (en) * 1995-08-10 1999-01-13 日本電気株式会社 Semiconductor device
US5942328A (en) * 1996-02-29 1999-08-24 International Business Machines Corporation Low dielectric constant amorphous fluorinated carbon and method of preparation
US5693563A (en) * 1996-07-15 1997-12-02 Chartered Semiconductor Manufacturing Pte Ltd. Etch stop for copper damascene process
JPH10261707A (en) * 1997-03-18 1998-09-29 Sony Corp Manufacture of semiconductor device
JP2900909B2 (en) * 1997-04-07 1999-06-02 日本電気株式会社 Method for manufacturing semiconductor device
US5920790A (en) * 1997-08-29 1999-07-06 Motorola, Inc. Method of forming a semiconductor device having dual inlaid structure
JPH11176935A (en) * 1997-12-08 1999-07-02 Sony Corp Manufacture of semiconductor device
JP3382844B2 (en) * 1998-04-07 2003-03-04 日本電気株式会社 Method for manufacturing semiconductor device
TW413896B (en) * 1999-01-06 2000-12-01 United Microelectronics Corp Manufacturing method for dual damascene structure
JP2000208622A (en) * 1999-01-12 2000-07-28 Tokyo Electron Ltd Semiconductor device and production thereof
US6159840A (en) * 1999-11-12 2000-12-12 United Semiconductor Corp. Fabrication method for a dual damascene comprising an air-gap

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002176100A (en) * 2000-07-14 2002-06-21 Applied Materials Inc Method and apparatus for treating low k dielectric layer to reduce diffusion
JP2004523910A (en) * 2001-02-28 2004-08-05 インターナショナル・ビジネス・マシーンズ・コーポレーション Hybrid low-k interconnect structure composed of two spin-on dielectric materials
JP4790972B2 (en) * 2001-02-28 2011-10-12 インターナショナル・ビジネス・マシーンズ・コーポレーション Hybrid low dielectric constant interconnect structure composed of two spin-on dielectric materials
JP2002289590A (en) * 2001-03-27 2002-10-04 Ulvac Japan Ltd Method of etching thin film of material containing porous silica accumulated on substrate
JP4568444B2 (en) * 2001-03-27 2010-10-27 株式会社アルバック Etching of thin films of materials containing porous silica deposited on a substrate
JP2004214654A (en) * 2002-12-30 2004-07-29 Samsung Electronics Co Ltd Wiring of semiconductor device having double capping film and its forming method
US7605472B2 (en) 2002-12-30 2009-10-20 Samsung Electronics Co., Ltd. Interconnections having double capping layer and method for forming the same
US7951712B2 (en) 2002-12-30 2011-05-31 Samsung Electronics Co., Ltd. Interconnections having double capping layer and method for forming the same
JP2007234719A (en) * 2006-02-28 2007-09-13 Sanyo Electric Co Ltd Semiconductor device and its fabrication process
JP2008109004A (en) * 2006-10-27 2008-05-08 Nippon Telegr & Teleph Corp <Ntt> Manufacturing method of minute structure
JP2008140998A (en) * 2006-12-01 2008-06-19 Tokyo Electron Ltd Method and device for forming film, storage media, and semiconductor device

Also Published As

Publication number Publication date
WO2000014786A1 (en) 2000-03-16
TW464952B (en) 2001-11-21
US6737350B1 (en) 2004-05-18
EP1120822A4 (en) 2004-11-10
KR100400907B1 (en) 2003-10-10
KR20010073102A (en) 2001-07-31
EP1120822A1 (en) 2001-08-01

Similar Documents

Publication Publication Date Title
JP2000150516A (en) Fabrication of semiconductor device
US6537733B2 (en) Method of depositing low dielectric constant silicon carbide layers
US7538038B2 (en) Method of removing resist, semiconductor device thereby and method of manufacturing a semiconductor device
JP5123924B2 (en) Manufacturing method of semiconductor integrated circuit device
US6333558B1 (en) Semiconductor device and method for fabricating the same
US6777171B2 (en) Fluorine-containing layers for damascene structures
US7858270B2 (en) Method for etching using a multi-layer mask
US6537904B1 (en) Method for manufacturing a semiconductor device having a fluorine containing carbon inter-layer dielectric film
JPH11176814A (en) Manufacture of semiconductor device
JP2000091422A (en) Manufacture of multilayer wiring structure
US7622390B2 (en) Method for treating a dielectric film to reduce damage
US6647994B1 (en) Method of resist stripping over low-k dielectric material
US20110177695A1 (en) Substrate processing method and storage medium
US20020142104A1 (en) Plasma treatment of organosilicate layers
TWI234807B (en) Method of forming a copper wiring in a semiconductor device
US6524944B1 (en) Low k ILD process by removable ILD
KR20010080750A (en) Semiconductor device and its production method
US7172965B2 (en) Method for manufacturing semiconductor device
JPH08236520A (en) Formation of insulation layer of semiconductor device
JP2003303880A (en) Wiring structure using insulating film structure between laminated layers and manufacturing method therefor
JP2006073612A (en) Resist removing method
JP2004193627A (en) Method of manufacturing semiconductor integrated circuit device
JP2005005697A (en) Manufacturing method of semiconductor device
US6472330B1 (en) Method for forming an interlayer insulating film, and semiconductor device
JP2000349151A (en) Semiconductor device and method of manufacturing it

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20051013

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090630

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20091110