EP1782461A2 - System and method of cleaning and etching a substrate - Google Patents

System and method of cleaning and etching a substrate

Info

Publication number
EP1782461A2
EP1782461A2 EP05762857A EP05762857A EP1782461A2 EP 1782461 A2 EP1782461 A2 EP 1782461A2 EP 05762857 A EP05762857 A EP 05762857A EP 05762857 A EP05762857 A EP 05762857A EP 1782461 A2 EP1782461 A2 EP 1782461A2
Authority
EP
European Patent Office
Prior art keywords
substrate
solution
light
layer
organic
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
EP05762857A
Other languages
German (de)
French (fr)
Other versions
EP1782461A4 (en
Inventor
Mikhail Korolik
John M. Boyd
Katrina Mikhaylich
Michael Ravkin
Fred C. Redeker
John M. De Larios
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of EP1782461A2 publication Critical patent/EP1782461A2/en
Publication of EP1782461A4 publication Critical patent/EP1782461A4/en
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/46Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428
    • H01L21/461Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • H01L21/6708Apparatus for fluid treatment for etching for wet etching using mainly spraying means, e.g. nozzles

Abstract

One embodiment provides a method of processing a substrate. The method includes applying a solution to a surface of a substrate. At least one reacting species has been produced by dissociation of the solution by applying energy such as a light to the solution. A first material on the substrate is reacted and removing the reacted first material. A system for processing a substrate is also described.

Description

SYSTEM AND METHOD OF CLEANING AND ETCHING A
SUBSTRATE
By Inventors:
Mikhail Korolik, John M. Boyd, Katrina Mikhaylich, Michael Ravkin, Fred C. Redeker and John M. de Larios
BACKGROUND OF THE INVENTION
1. Field of the Invention
[1] The present invention relates generally to cleaning a semiconductor substrate, and more particularly, to methods and systems for applying an oxidizing solution to a surface of a semiconductor substrate.
2. Description of the Related Art
[2] The fabrication of semiconductor devices involves numerous processing operations. These operations include, for example, impurity implants, gate oxide generation, inter-metal oxide depositions, metallization depositions, photolithography patterning, etching operations, chemical mechanical polishing (CMP), etc. As these operations generate particles and residue, there is a need to clean wafer surfaces, thus removing the contaminants such as adhered particles and adsorbed compounds (e.g., organic and metallic) from the surfaces of the wafer. Contaminants should be removed from wafer surfaces, as the presence of such contaminants has a detrimental effect on the performance of the integrated circuit devices.
[3] Numerous configurations of cleaning processing tools have been implemented. One such double-sided tools is a brush scrubbing tool, which includes a pair of symmetrical brushes. Figures IA and IB illustrate two types of prior art, two sided wafer scrubbers. Figure IA shows a horizontally orientated, conventional wafer scrubber 100. Figure IB shows a vertically oriented, conventional wafer scrubber 100'. Both Figures IA and IB include a pair of brush cores 102a and 102b each having been mounted by a corresponding brush 104a and 104b. As shown, the outer surface of each of the brushes 104a and 104b is covered with numerous nodules 105a and 105b, respectively. However brushes 104a, 104b can also have a substantially smooth surface (i.e., without significant nodules 105a, 105b). In both orientations, a wafer 106 is scrubbed as the symmetrical brushes 104a and 104b rotate in a corresponding rotation direction of 108a and 108b.
[4] As shown, the physical makeup of the brush cores 102a and 102b are identical. Similarly, the outer surfaces of the brushes 104a and 104b are constructed from the same material, thus having the same density and compressibility as well as surface contact areas with the wafer. Therefore, in both configurations of prior art wafer scrubbers, an identical amount of pressure is applied to each of the contact areas as the brushes 104a and 104b are respectively applied to the front and the back side of the wafer 106 with identical forces. Consequently, in prior art wafer scrubbers, the front side and the back side of the wafers are treated identically, even though only the front side of the wafer includes active components.
[5] A cleaning chemistry 110 is also added as the brushes 104a and 104b are rotated. The cleaning chemistry 110 can be provided through a nozzle or drip outlet 112 or from inside the brushes 104a and 104b. The cleaning chemistry 110 assists in dislodging and diluting the contaminates on the surface of the wafer W. The cleaning chemistry 110 can also carry the dislodged and diluted contaminates away from the wafer. The cleaning chemistry 110 can be DI water or more reactive chemistries such as chlorinated and fluorinated chemistries, one or more acidic solutions, or one or more alkali solutions. The selected cleaning chemistry is dependent on the contaminate targeted for removal. By way of example, if the target contaminant in an organic contaminant, then a sulfuric acid and hydrogen peroxide mixture (hereafter "SPM") cleaning chemistry is typically used. The cleaning chemistry 110 and/or the wafer can also be heated to aid in the cleaning.
[6] Organic contaminants are very common and therefore each wafer almost always requires cleaning to remove the organic contaminants. As a result, SPM is used very often. Unfortunately, SPM can be expensive and is difficult to handle due SPM' s reactive nature. Further, the waste stream/by-product (i.e., used SPM that contains the removed contaminants) can be expensive to neutralize and safely dispose of. In view of the foregoing, there is a need for an improved cleaning chemistry capable of cleaning organic contaminants from the wafer while also minimizing the cost, handling problems and the waste stream/by¬ product. SUMMARY OF THE INVENTION
[7] Broadly speaking, the present invention fills these needs by providing a method and system for applying an oxidizing solution to a surface of a semiconductor substrate. It should be appreciated that the present invention can be implemented in numerous ways, including as a process, an apparatus, a system, computer readable media, or a device. Several inventive embodiments of the present invention are described below.
[8] One embodiment provides a method of processing a substrate. The method includes applying a solution to a surface of a substrate. At least one reacting species can be produced by dissociation of the solution. A first material on the substrate is reacted and the reacted first material can be removed. The solution can include at least one of a group consisting of H2O2 or H2O. The oxidizing radical can include at least one of a group consisting of O3, O2, OH, O or OOH.
[9] The reacting species can be produced by dissociation of the solution by applying a light to the solution. The light can be an ultraviolet light. The light can be applied to the solution before the solution contacts the surface of the substrate. The light can be applied to the solution for at least a portion of a time the solution contacts the surface of the substrate.
[10] The light can have a wavelength of less than or equal to about 300 nm. The light can have a wavelength of between about 180 nm and about 220 nm. The light can be collimated. The light can be pulsed. Substantially only a portion of the first material that is exposed to the light is oxidized.
[11] Applying the solution to the surface of the substrate can include forming a layer of the solution on the surface of the substrate having a thickness less than a recombination length of the reacting species. The solution can include at least a ten percent concentration OfH2O2.
[12] The first material can be an organic material. The first material can be exposed through a pattern in a mask layer.
[13] Applying the solution to the surface of a substrate can include applying the solution through a dynamic liquid meniscus. The method can also include rinsing the surface of the substrate.
[14] Another embodiment provides a system for processing a substrate. The system includes a substrate support, a substrate supported thereon and a layer of a solution supported on a surface of the substrate. The system also includes an energy source capable of dissociating the solution to produce a reacting species.
[15] The energy source can be a light source. The energy source can be a UV light source. The energy source can be included in an enclosure. The energy source can be included in a proximity head and the layer of the solution can be supported on the surface of the substrate within a dynamic liquid meniscus.
[16] Yet another embodiment provides a system for etching an organic layer in a substrate includes a substrate support and a substrate supported thereon with the substrate also having a patterned mask layer formed on an organic layer. A first portion of the organic layer is exposed by a first portion of the pattern in the mask layer. A layer of a solution is supported on a top surface of the substrate. A light source capable of dissociating the solution to produce at least one reacting species is included in the system.
[17] Other aspects and advantages of the invention will become apparent from the following detailed description, taken in conjunction with the accompanying drawings, illustrating by way of example the principles of the invention.
BRIEF DESCRIPTION OF THE DRAWINGS
[18] The present invention will be readily understood by the following detailed description in conjunction with the accompanying drawings.
[19] Figure IA shows a horizontally orientated, conventional wafer scrubber. [20] Figure IB shows a vertically oriented, conventional wafer scrubber.
[21] Figure 2 shows a side view of a system for cleaning a substrate, in accordance with one embodiment of the present invention.
[22] Figure 3 is a graph of a concentration of the oxidizing radicals as compared to a depth of the solution from which the oxidizing radicals are generated, in accordance with one embodiment of the present invention.
[23] Figure 4A shows a portion of the substrate that has been etched exposure to hydrogen peroxide and UV light, in accordance with one embodiment of the present invention.
[24] Figure 4B is a graph, of a scan across the region, in accordance with one embodiment of the present invention. [25] Figure 5 shows a substrate, in accordance with one embodiment of the present invention.
[26] Figure 6 is a detailed view of a system for generating oxidizing radicals upstream of the surface of the substrate, in accordance with one embodiment of the present invention.
[27] Figure 7 is a diagram of a system for generating oxidizing radicals upstream of the surface of the substrate, in accordance with one embodiment of the present invention.
[28] Figure 8 is a diagram of a proximity head for supporting a dynamic liquid meniscus, in accordance with one embodiment of the present invention.
[29] Figure 9 flowchart of the method operations of generating oxidizing radicals for processing a substrate, in accordance with one embodiment of the present invention.
DETAILED DESCRIPTION OF THE EXEMPLARY EMBODIMENTS
[30] Several exemplary embodiments for applying an oxidizing solution to a surface of a semiconductor substrate will now be described. It will be apparent to those skilled in the art that the present invention may be practiced without some or all of the specific details set forth herein.
[31] SPM (i.e., sulfuric acid and hydrogen peroxide mixture) cleans organic contaminants from a surface of a substrate by oxidizing the organic contaminants. SPM can also be used to remove a layer of organic materials by oxidizing the organic material.
[32] One embodiment of the present invention provides a system and method for reacting materials (e.g., oxidizing or otherwise reacting the materials) on the surface of the substrate using a combination of hydrogen peroxide (H2O2) and a selected wavelength and intensity of light. By way of example, hydrogen peroxide and the selected wavelength and intensity of light can be used to remove organic residues or polymer films (e.g., photoresist). The selected wavelength and intensity of light dissociates the hydrogen peroxide into two hydroxyl radicals. The hydroxyl radicals can also form hydrogen peroxide byproducts (e.g., hydroperoxy radicals, atomic oxygen and ozone). The hydroxyl radicals and hydrogen peroxide byproducts are hereafter referred to as oxidizing radicals. The oxidizing radicals are very reactive with organic materials and can readily oxidize organic material to form CO and other organic by-products (e.g., CO2 and HHCO, etc.). The CO and the other organic by- products can then be more easily removed such as rinsed away from the surface of the substrate.
[33] The selected wavelength of light can be within an ultraviolet (UV) light spectrum (i.e., between wavelengths of about 180nm and about 300nm). As the UV light passes through the hydrogen peroxide, the hydrogen peroxide absorbs the UV light to form the oxidizing radicals. However, the UV light is only able to pass through a relatively small depth (e.g., about 3 mm) of the hydrogen peroxide before being fully absorbed by the hydrogen peroxide. Therefore, if a relatively thin film (i.e., less than about 2 mm) of hydrogen peroxide is formed on the surface of the substrate, then the oxidizing radicals can be formed on the surface of the substrate so as to react with any organic materials on the surface of the substrate.
[34] Ih one embodiment, the relatively thin film of hydrogen peroxide can be formed on the surface of the substrate by spinning the substrate. By way of example, the substrate can be spun greater than about 200 rpm. As the rotational speed of the substrate is increased, the depth of the film of hydrogen peroxide formed on the surface of the substrate is reduced.
[35] Once a desired depth of the film of hydrogen peroxide is formed on the surface of the substrate, then a UV light source (e.g., laser, pulsed lamp, blanket, collimated light source, etc.) can be applied to the hydrogen peroxide film. As a result, the oxidizing radicals are formed on the surface of the substrate and react with any organic material that may be present.
[36] In one embodiment, the combination of hydrogen peroxide and UV light can be used to perform a near-anisotropic, wet etch process. Typical wet etch processes are isotropic in nature. By way of example, if a polymer layer to be etched includes an opaque mask layer (e.g., hard mask or other opaque mask layer suitable to sufficiently block the type of energy applied) covering an organic layer (e.g., photoresist). The opaque mask layer is pattered in a conventional patterning process. Once the opaque mask layer is patterned, the desired film of peroxide can be formed. The thickness of the peroxide film can be between 1 micron and about 100 micron in depth. Once the desired film of peroxide is formed, the light (e.g., a UV light) can be applied. The UV light causes the oxidizing radicals to be formed on the surface of the exposed substrate and react with the organic material in the organic layer that is not protected by the patterned opaque mask layer. As the oxidizing radicals are formed by the reaction of the UV light and the hydrogen peroxide, then the etching is generally formed only in the areas that the UV light impinges upon due to the fast radical recombination rate and diffusion path length of reactants to the surface of the substrate.
[37] Figure 2 shows a side view of a system 200 for cleaning a substrate, in accordance with one embodiment of the present invention. The system 200 includes a substrate support 202 that supports the substrate 208. The substrate support actuator 203 can move the substrate support 202 and the substrate 208 in a vertical direction 204 and can also rotate the substrate 208, such as in direction 206.
[38] The substrate 208 includes several layers 210, 212. A puddle of processing solution 222 (e.g., water and/or hydrogen peroxide or combinations thereof) is formed on a top surface 208A of the substrate 208. A dispensing nozzle 224 can dispense the solution 222 from a source 226 onto the top surface 208A.
[39] An energy source 230 is oriented toward the top surface 208A of the substrate 208. The energy source 230 can include a light source or other source of energy source sufficient to dissociate the solution 222 to produce at least one reactive species (e.g., at least one species of oxygen radical). In one embodiment the energy source 230 is oriented such that the energy 232 (i.e., light) emitted therefrom and is emitted in direction 234 that is substantially perpendicular to the top surface 208A. It should be understood, that while the light 232 is shown being emitted in a direction 234 substantially perpendicular to the top surface 208 A, that the light can be directed in any direction such that the resulting reacting species are formed so as to contact the organic material desired to be reacted with. The light source 230 can be a continuous D2 lamp (i.e., about 180 nm to about 220 nm), a Xenon lamp (i.e., about 240 to about 300 nm wavelength) that is pulsed (e.g., about 5 microsecond pulse at a pulse rate of about 80 Hz). The selected light source is dependent on the reactivity desired. By way of examples, a first organic material may react more oxidizing radicals generated by UV in the 180 nm range. Alternatively, a second organic material may react more readily with oxidizing radicals generated by UV in the 300 nm range.
[40] The precise intensity of the light source 230 used is dependent upon the desired results. By way of example, as the intensity of the of the light source 230 is increased, the reactivity of the generated oxidizing radicals is also increased. Therefore to quickly remove some relatively minor organic residue, then a low intensity may be selected. Conversely, to etch through a layer of organic material, then the intensity may be significantly increased. Further, some organic materials may react in a more desirable manner with a pulsed light source that is pulsed at a relatively high, peak intensity (i.e., power) but with an average intensity over time that is relatively low. By way of example, a first organic material may react in a desirable manner (e.g., timely reaction rate, predictable, controllable, etc.) with oxidizing radicals that are generated by a light source 230 that is pulsed in 5 microsecond pulses, at 20-40 mJ per pulse, at a pulse rate of 80Hz. Conversely, the first organic material may not react as desirably (e.g., reaction rate is reduced as compared to pulsed light source) if the light source 230 is operated at a continuous intensity of 3 watts.
[41] The system 200 for cleaning the substrate 208, can also be enclosed within an enclosure 240. The enclosure 240 can be any type of suitable enclosure. By way of example, variables such as the temperature, pressure or other environmental factors (e.g., atmosphere, purging gas or liquid flow rates, etc.) that may be controlled during the cleaning process. The enclosure 242 can be any type of enclosure suitable to provide the control of the desired factors.
[42] A control system 242 is also included. The control system 242 is coupled to the hydrogen peroxide source 226, substrate support actuator 203 and the light source 230 so as to control the operating parameters of the hydrogen peroxide source, substrate support actuator and the light source. The control system 242 can also control variables such as the temperature, pressure or other environmental factors (e.g., atmosphere, purging gas or liquid flow rates, etc.) that may be desired to be controlled during the cleaning process.
[43] Figure 3 is a graph 300 of a concentration of the reacting species as compared to a depth of the solution 222 from which the reacting species (e.g., oxidizing radicals) are generated, in accordance with one embodiment of the present invention. As the light passes through the solution 222, the intensity of the light is reduced in accordance with the absorption rate of the solution 222. As the intensity of the light is reduced, the concentration of reacting species correspondingly reduced. As a result, near the surface of the solution 222, the intensity of the light is at its greatest and therefore the corresponding concentration of the reacting species is also at its greatest.
[44] The concentration of the reacting species at least in part determines the reactivity or oxidizing rate of the organic material on the surface 208A of the substrate. Therefore, if a selected concentration of A is required to achieve a desired reactivity at the surface 208 A of the substrate, then the solution 222 can have a depth no greater than about depth d to the surface 208A of the substrate.
[45] By way of example, if solution 222 contains a 70% concentration of peroxide that is diluted in a substantially UV transparent liquid (e.g., water), then the UV absorption rate is 100 cm'1 and therefore the UV light can penetrate about 0.01 cm.
[46] As with the depth of the solution 222, the concentration of the solution can also be selected to select a corresponding reactivity. By way of example, the solution 222 can be between 1 and 70% dilution of hydrogen peroxide in a liquid, such as water, that is substantially transparent to UV light or other applicable energy type.
[47] Reacting specie can also be generated from H2O (i.e. a 0% hydrogen peroxide concentration) in a deep UV light having a wavelength shorter than about 180 nm with a sufficient intensity. The gaseous environment of the enclosure 240 can also be other than ambient air. By way of example, a substantially pure nitrogen atmosphere can be used to minimize dissipation of UV light intensity. Other gases could include any inert gases such as Argon or other noble gases.
[48] The temperature of the solution 222 can also be manipulated to select a corresponding reactivity. The temperature can be between about 0 and about 180 degrees C.
[49] Figure 4A shows a portion 402 of the substrate 208 that has been etched by exposure to hydrogen peroxide and UV light, in accordance with one embodiment of the present invention. The portion 402 is approximately 40 mm across. The substrate 208 has a surface layer that is about 3200 angstroms thick. Figure 4B is a graph 450, of a scan across the region 402, in accordance with one embodiment of the present invention. As shown in the graph 450, the surface layer has a thickness of about 3200 angstroms. Toward the center of the portion, the surface layer has been etched to a thickness of about 1900 angstroms. On average, the thickness of the surface layer has been reduced (e.g., etched) by about 800 angstroms.
[50] Figure 5 shows a substrate 208, in accordance with one embodiment of the present invention. The substrate 208 includes an organic layer 504 formed on top of the substrate. A patterned mask layer 502 is formed on the organic layer 504. The mask layer 502 can be of a material that blocks the transmission of the light or at least those wavelengths of light that may be used to produce the reactive species. The patterned mask layer 502 is also substantially less reactive to the reactive species than the underlying organic layer 504 (e.g., the reacting species can be selective to the mask layer 502). A solution 222 is supported on the surface of the patterned mask layer 502. The patterned mask layer 502 includes an opening 508, through which the underlying organic layer 504 is exposed.
[51] The light source 230 directs light 232 through the solution 222 and onto the surface of the mask layer 502. Reactive species 512 are represented as star-shapes. The reactive species 512 are generated within the solution 222, only where the light 232 contacts the solution. As shown, the concentration of the reactive species 512 is very high near the surface of the solution 222. Conversely, the concentration of the reactive species 512 is reduced as the depth of the solution 222 increases (e.g., near the bottom of the feature 514 that is being etched into the organic layer 504).
[52] As the reactive species 512 are generated within the solution 222, only where the light 232 contacts the solution, the oxidizing radicals are substantially absent from regions 510. As a result, the etching of the organic layer 504 is generally limited to the portion of the organic layer that is in a direct "line of sight" with the light source 230. While the reactive species 512 are not being generated in regions 510, a relatively low concentration of the reactive species does still exist outside of the direct light until the low concentration of reactive species undergo recombination. This distance is referred to a recombination length and is further defined as the distance the reactive species persist away from direct interaction with the light 232. As a result, the etching of the organic layer is substantially anisotropic. This can provide significant benefits in reduced undercutting that may occur in prior art, isotropic wet etching processes.
[53] Some devices and processes cannot easily tolerate exposure to some wavelengths of light or other energy sources. By way of example UV light can damage certain structures such as by promoting corrosion or otherwise. Therefore, it may not be desirable to expose the surface 208 A of the substrate 208 to UV light. Figure 6 is a detailed view of a system 600 for generating reactive species upstream of the surface of the substrate, in accordance with one embodiment of the present invention. The system 600 includes a light source 230 that is enclosed within a light source enclosure 610. The light source enclosure 610 includes baffles 612 that block the UV from impinging on the surface 208 A. In operation, the light 232 generates reactive species 614 (e.g., oxidizing radicals) in the solution 222 at a first concentration while exposed to the light 232. As described above, outside of the light 232, the concentration of oxidizing radicals quickly dissipates. However, if the first concentration is sufficiently high concentrated enough, then when the oxidizing radicals 614 pass through the baffles 612 and reach the surface 208A at second concentration of the oxidizing radicals. The second concentration of the oxidizing radicals is sufficient to oxidize the organic materials on the surface 208A.
[54] Figure 7 is a diagram of a system 700 for generating reactive species upstream of the surface 208 A of the substrate, in accordance with one embodiment of the present invention. The system 700 includes a light source enclosure 610 wherein the light source 230' is oriented substantially parallel to the surface 208 A. The light 232' is therefore applied substantially parallel to the surface 208A. Baffles 612' ensure that the light 232' is blocked from reaching the surface 208A.
[55] Figure 8 is a diagram of a proximity head 810 for supporting a dynamic liquid meniscus, in accordance with one embodiment of the present invention. The proximity head 810 can include the light source enclosure 610. The reactive species 614 are generated in the light source enclosure 610. The solution, including the reactive species 614, is transported to the surface via a dynamic liquid meniscus 812. The proximity head 810 supports the dynamic liquid meniscus 812. The solution is provided to the proximity head 810 by a solution source 820. A second solution (e.g., IPA) can also be provided from a second solution source 824. One or both of the solution and the second solution can be transported to the proximity head at least in part by a substantially inert gas (e.g., nitrogen, argon, etc.). A vacuum source 232 is applied to the proximity head 810 from a vacuum source 822. The light source enclosure 610 included in the proximity head 810 may or may not include the baffles 612. In the light source enclosure 610 does not include the baffles, then the reactive species can be generated at the surface of the substrate as described above.
[56] Figure 9 flowchart of the method operations 900 of generating oxidizing radicals for processing a substrate, in accordance with one embodiment of the present invention. In an operation 905, a substrate is received for processing. In an operation 910, a solution is applied to the surface of the substrate. The solution can be water, hydrogen peroxide or a mixture of water and hydrogen peroxide or other solutions from which reactive species can be generated as described herein. [57] In an operation 915, reactive species are generated in the solution. Illuminating the solution with a light of the desired wavelength and intensity can generate the reactive species. By way of example, one or more oxidizing radicals can be generated from a solution containing water, hydrogen peroxide or a combination thereof.
[58] In an operation 920, the reactive species are applied to the surface of the substrate until a desired quantity of organic material is reacted. The reactive species can be generated at the surface of the substrate (e.g., as described in Figures 2-5) or "up stream" of the surface of the substrate (e.g., as described in Figures 6-8). On the surface of the substrate, the reactive species readily react at least a portion of the organic material (e.g., an organic layer or an organic residue).
[59] In an optional operation 925, the generation of the reactive species can be stopped and the surface rinsed to remove any reacted organic material. The method operations can then end.
[60] With the above embodiments in mind, it should be understood that the invention may employ various computer-implemented operations involving data stored in computer systems. These operations are those requiring physical manipulation of physical quantities. Usually, though not necessarily, these quantities take the form of electrical or magnetic signals capable of being stored, transferred, combined, compared, and otherwise manipulated. Further, the manipulations performed are often referred to in terms, such as producing, identifying, determining, or comparing.
[61] Any of the operations described herein that form part of the invention are useful machine operations. The invention also relates to a device or an apparatus for performing these operations. The apparatus may be specially constructed for the required purposes, or it may be a general-purpose computer selectively activated or configured by a computer program stored in the computer. In particular, various general-purpose machines may be used with computer programs written in accordance with the teachings herein, or it may be more convenient to construct a more specialized apparatus to perform the required operations.
[62] The invention can also be embodied as computer readable code on a computer readable medium. The computer readable medium is any data storage device that can store data that can thereafter be read by a computer system. Examples of the computer readable medium include hard drives, network attached storage (NAS), read-only memory, random- access memory, CD-ROMs, CD-Rs, CD-RWs, magnetic tapes, and other optical and non- optical data storage devices. The computer readable medium can also be distributed over a network coupled computer systems so that the computer readable code is stored and executed in a distributed fashion.
[63] It will be further appreciated that the instructions represented by the operations in the above figures are not required to be performed in the order illustrated, and that all the processing represented by the operations may not be necessary to practice the invention. Further, the processes described in any of the above figures can also be implemented in software stored in any one of or combinations of the RAM, the ROM, or the hard disk drive.
[64] Although the foregoing invention has been described in some detail for purposes of clarity of understanding, it will be apparent that certain changes and modifications may be practiced within the scope of the appended claims. Accordingly, the present embodiments are to be considered as illustrative and not restrictive, and the invention is not to be limited to the details given herein, but may be modified within the scope and equivalents of the appended claims. Wliat is claimed is:

Claims

Claims
1. A method of processing a substrate comprising: applying a solution to a surface of a substrate, wherein at least one reactive species is produced by dissociation of the solution by applying a light to the solution; reacting a first material on the substrate; and removing the reacted first material from the substrate.
2. The method of claim 1, wherein solution includes at least one of a group consisting of H2O2 or H2O.
3. The method of claim 1, wherein the reactive species includes at least one of a group consisting of O3, O2, OH, O or 0OH.
4. The method of claim 1, wherein the light is an ultraviolet light.
5. The method of claim 1, wherein the light is applied to the solution before the solution contacts the surface of the substrate.
6. The method of claim 1, wherein the light is applied to the solution for at least a portion of a time the solution contacts the surface of the substrate.
7. The method of claim 1, wherein the light has a wavelength of less than or equal to about 300 nm.
8. The method of claim 1, wherein the light has a wavelength of between about 180 nm and about 220 nm.
9. The method of claim 1, wherein the light is collimated.
10. The method of claim 1, wherein the light is pulsed.
11. The method of claim 1 , wherein substantially only a portion of the first material that is exposed to the light is reacted.
12. The method of claim 1, wherein applying the solution to the surface of the substrate includes forming a layer of the solution on the surface of the substrate having a thickness less than a recombination length of the reacting species.
13. The method of claim 1, wherein solution includes at least a ten percent concentration OfH2O2.
14. The method of claim 1, wherein the first material is an organic material.
15. The method of claim 14, wherein the first material is exposed through a pattern in a mask layer.
16. The method of claim 1, wherein applying the solution to the surface of a substrate includes applying the solution through a dynamic liquid meniscus.
17. The method of claim 1, further comprising rinsing the surface of the substrate.
18. A system for processing a substrate comprising: a substrate support, a substrate supported thereon; a layer of a solution supported on a surface of the substrate; and an energy source capable of dissociating the solution to produce a reacting species.
19. The system of claim 18, wherein the energy source is a light source.
20. The system of claim 18, wherein the energy source is a UV light source.
21. The system of claim 18, wherein the energy source is included in an enclosure.
22. The system of claim 18, wherein the energy source is included in a proximity head and wherein the layer of the solution is supported on the surface of the substrate within a dynamic liquid meniscus.
23. A system for etching an organic layer in a substrate comprising: a substrate support, a substrate supported thereon, the substrate having a patterned mask layer formed on an organic layer, a first portion of the organic layer being exposed by a first portion of the pattern in the mask layer; a layer of a solution supported on a top surface of the substrate; and a light source capable of dissociating the solution to produce at least one reacting species.
EP05762857A 2004-06-28 2005-06-23 System and method of cleaning and etching a substrate Withdrawn EP1782461A4 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US88000704A 2004-06-28 2004-06-28
PCT/US2005/022172 WO2006012174A2 (en) 2004-06-28 2005-06-23 System and method of cleaning and etching a substrate

Publications (2)

Publication Number Publication Date
EP1782461A2 true EP1782461A2 (en) 2007-05-09
EP1782461A4 EP1782461A4 (en) 2008-05-28

Family

ID=35786645

Family Applications (1)

Application Number Title Priority Date Filing Date
EP05762857A Withdrawn EP1782461A4 (en) 2004-06-28 2005-06-23 System and method of cleaning and etching a substrate

Country Status (6)

Country Link
EP (1) EP1782461A4 (en)
JP (1) JP2008504714A (en)
KR (1) KR20070026687A (en)
CN (1) CN101006571A (en)
TW (1) TWI271793B (en)
WO (1) WO2006012174A2 (en)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010236088A (en) * 2009-03-09 2010-10-21 Hitachi High-Technologies Corp Cleaning device and cleaning method of mask member and organic el display
US20130068264A1 (en) * 2011-09-21 2013-03-21 Nanya Technology Corporation Wafer scrubber apparatus
KR101992422B1 (en) * 2012-08-14 2019-06-24 주식회사 동진쎄미켐 Apparatus and method for polishing metal layer using photolysis advanced oxidation process
US10347503B2 (en) * 2013-11-11 2019-07-09 Tokyo Electron Limited Method and hardware for enhanced removal of post etch polymer and hardmask removal
TWI570806B (en) 2013-11-11 2017-02-11 東京威力科創股份有限公司 System and method for enhanced removal of metal hardmask using ultra violet treatment
US10867815B2 (en) 2018-09-04 2020-12-15 Tokyo Electron Limited Photonically tuned etchant reactivity for wet etching
US10896824B2 (en) * 2018-12-14 2021-01-19 Tokyo Electron Limited Roughness reduction methods for materials using illuminated etch solutions

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6533902B1 (en) * 1999-08-12 2003-03-18 Sipec Corporation Ultraviolet processing apparatus and ultraviolet processing method

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5512123A (en) * 1992-05-19 1996-04-30 Maxwell Laboratories Method for using pulsed optical energy to increase the bondability of a surface
US5782986A (en) * 1996-01-11 1998-07-21 Fsi International Process for metals removal using beta-diketone or beta-ketoimine ligand forming compounds
US6631726B1 (en) * 1999-08-05 2003-10-14 Hitachi Electronics Engineering Co., Ltd. Apparatus and method for processing a substrate
JP3961240B2 (en) * 2001-06-28 2007-08-22 株式会社半導体エネルギー研究所 Method for manufacturing semiconductor device

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6533902B1 (en) * 1999-08-12 2003-03-18 Sipec Corporation Ultraviolet processing apparatus and ultraviolet processing method

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
DATABASE INSPEC [Online] THE INSTITUTION OF ELECTRICAL ENGINEERS, STEVENAGE, GB; 2003, SASAKI D ET AL: "Photo-chemical pattern etching of silicon-carbide by using excimer laser and hydrogen peroxide solution" XP002476854 Database accession no. 7839009 & SILICON CARBIDE 2002 - MATERIALS, PROCESSING AND DEVICES. SYMPOSIUM 2-4 DEC. 2002 BOSTON, MA, USA, 2003, pages 271-276, Silicon Carbide 2002 - Materials, Processing and Devices. Symposium (Mater. Res. Soc. Symposium Proceedings Vol.742) Mater. Res. Soc Warrendale, PA, USA *
See also references of WO2006012174A2 *

Also Published As

Publication number Publication date
TWI271793B (en) 2007-01-21
JP2008504714A (en) 2008-02-14
WO2006012174A2 (en) 2006-02-02
TW200608478A (en) 2006-03-01
CN101006571A (en) 2007-07-25
EP1782461A4 (en) 2008-05-28
KR20070026687A (en) 2007-03-08
WO2006012174A3 (en) 2006-09-14

Similar Documents

Publication Publication Date Title
US10347503B2 (en) Method and hardware for enhanced removal of post etch polymer and hardmask removal
US7921859B2 (en) Method and apparatus for an in-situ ultraviolet cleaning tool
JP3616442B2 (en) Surface treatment method and apparatus
JP3977807B2 (en) Processing and equipment for handling workpieces such as semiconductor wafers
JP5404361B2 (en) Semiconductor substrate surface treatment apparatus and method
US6551409B1 (en) Method for removing organic contaminants from a semiconductor surface
WO2006012174A2 (en) System and method of cleaning and etching a substrate
JPH1027771A (en) Cleaning method and device
KR101098726B1 (en) Substrate cleaning apparatus and cleaning method thereof
US6009888A (en) Photoresist and polymer removal by UV laser aqueous oxidant
US7682457B2 (en) Frontside structure damage protected megasonics clean
WO2003088324A2 (en) Method and apparatus for wafer cleaning
US6627846B1 (en) Laser-driven cleaning using reactive gases
JP4088810B2 (en) Substrate cleaning apparatus and substrate cleaning method
KR20170105439A (en) Systems and methodologies for vapor phase hydroxyl radical processing of substrates
US6489590B2 (en) Laser removal of foreign materials from surfaces
KR100994791B1 (en) Systems and methods for insitu lens cleaning in immersion lithography
JP3445765B2 (en) Substrate surface treatment method for semiconductor element formation
US8817226B2 (en) Systems and methods for insitu lens cleaning using ozone in immersion lithography
JP3329200B2 (en) Method and apparatus for manufacturing semiconductor device
JP2006120681A (en) Cleaning processor and cleaning processing method of workpiece
WO1995002472A1 (en) Post treatment of a coated substrate with a gas containing excited halogen to remove residues
KR20010093999A (en) Fabrication method for ultra thin gate oxide
JPH09237772A (en) Substrate cleaner

Legal Events

Date Code Title Description
PUAI Public reference made under article 153(3) epc to a published international application that has entered the european phase

Free format text: ORIGINAL CODE: 0009012

17P Request for examination filed

Effective date: 20070108

AK Designated contracting states

Kind code of ref document: A2

Designated state(s): AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IS IT LI LT LU MC NL PL PT RO SE SI SK TR

RIC1 Information provided on ipc code assigned before grant

Ipc: B08B 7/04 20060101ALI20070607BHEP

Ipc: H01L 27/01 20060101ALI20070607BHEP

Ipc: C23C 14/00 20060101ALI20070607BHEP

Ipc: H01L 21/461 20060101AFI20070607BHEP

DAX Request for extension of the european patent (deleted)
RIN1 Information on inventor provided before grant (corrected)

Inventor name: BOYD, JOHN M.

Inventor name: RAVKIN, MICHAEL

Inventor name: KOROLIK, MIKHAIL

Inventor name: MIKHAYLICH, KATRINA

Inventor name: DE LARIOS, JOHN, M.

Inventor name: REDEKER, FRED, C.

A4 Supplementary search report drawn up and despatched

Effective date: 20080429

17Q First examination report despatched

Effective date: 20080805

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: THE APPLICATION IS DEEMED TO BE WITHDRAWN

18D Application deemed to be withdrawn

Effective date: 20081216