EP1546649A1 - Method for in-situ monitoring of patterned substrate processing using reflectometry - Google Patents

Method for in-situ monitoring of patterned substrate processing using reflectometry

Info

Publication number
EP1546649A1
EP1546649A1 EP03785199A EP03785199A EP1546649A1 EP 1546649 A1 EP1546649 A1 EP 1546649A1 EP 03785199 A EP03785199 A EP 03785199A EP 03785199 A EP03785199 A EP 03785199A EP 1546649 A1 EP1546649 A1 EP 1546649A1
Authority
EP
European Patent Office
Prior art keywords
patterned substrate
reflectance spectrum
modeled
parameters
calculating
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
EP03785199A
Other languages
German (de)
English (en)
French (fr)
Inventor
Vijayakumar C. Venugopal
Andrew J. Perry
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/286,409 external-priority patent/US7399711B2/en
Priority claimed from US10/286,410 external-priority patent/US7019844B2/en
Priority claimed from US10/401,118 external-priority patent/US6979578B2/en
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of EP1546649A1 publication Critical patent/EP1546649A1/en
Withdrawn legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • G01B11/0683Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating measurement during deposition or removal of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • G01B11/0625Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating with measurement of absorption or reflection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66083Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by variation of the electric current supplied or the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched, e.g. two-terminal devices
    • H01L29/66181Conductor-insulator-semiconductor capacitors, e.g. trench capacitors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/038Making the capacitor or connections thereto the capacitor being in a trench in the substrate
    • H10B12/0387Making the trench

Definitions

  • the invention relates generally to methods for monitoring and controlling processes used in forming features on patterned substrates. More specifically, the invention relates to an optical diagnostic method for detecting an endpoint in patterned substrate processing.
  • etching In semiconductor manufacturing, various combinations of processes such as etching, thin-film deposition, and chemical-mechanical polishing are used to form features on a patterned substrate.
  • the features are formed by selectively removing materials from and selectively depositing materials on the surface of the patterned substrate. While forming the features, the patterned substrate is monitored to determine when an endpoint has been reached in the process. An endpoint could be a point at which the process conditions should be changed or a point at which the process should be stopped.
  • the ability to accurately detect an endpoint while processing a patterned substrate is becoming increasingly important as pattern geometries shrink and dimensional control on small feature sizes become increasingly stringent.
  • the ability to accurately detect an endpoint is crucial when the layers of materials to be removed from the patterned substrate are very thin and/or some of the layers on the substrate must remain substantially unaffected after processing of the substrate. For example, in gate etch processes, multiple layers of materials must be removed without damaging the gate oxide layer.
  • Optical diagnostic methods are typically used to detect endpoints in patterned substrate processing because they are non-intrusive.
  • Optical emission spectroscopy is one example of an optical diagnostic method that detects an endpoint by monitoring emissions from a plasma. The plasma emissions are monitored for the presence or absence of one or more active species. The response of this method is usually delayed because it detects the plasma state instead of the substrate state.
  • optical emission spectroscopy is generally unsuitable for etching applications where a sacrificial layer that marks an etching endpoint is absent or where an effective etch stop layer is so thin that the chances of etching through it prior to detection of the active species in the plasma is fairly high.
  • Single-wavelength interferometry is an example of an optical diagnostic method that detects an endpoint by monitoring relative changes in the vertical dimensions of features on the patterned substrate.
  • the method involves directing a narrow light beam onto the substrate surface and measuring the intensity of the beam reflected from the substrate surface.
  • the basic assumption in this method is that the intensity of the reflected beam varies primarily as a result of changes in the feature of interest.
  • the relative changes in the vertical dimension of the feature of interest can be determined.
  • single- wavelength interferometric approaches monitor relative changes in vertical dimensions of features as opposed to absolute vertical dimensions of the features, they are limited in their ability to compensate for incoming material variations, such as variation in thickness of layers formed on substrates, variation in starting depth of trenches, variation in pattern densities, and variation in wafer orientation.
  • Spectroscopic ellipsometry, polarimetry, and reflectometry are examples of optical diagnostic methods that can be used in conjunction with rigorous optical modeling techniques to determine the absolute vertical and lateral dimensions of features of special test structures such as one-dimensional gratings on a patterned substrate.
  • these techniques are limited to in-line metrology applications ⁇ i.e., pre- and post-processing metrology) rather than in-situ diagnostics since they involve measurements only on special test structures and also a significant computational load.
  • Efforts have been made to combine the use of spectroscopic ellipsometry and simple, considerably less accurate, modeling techniques for in-situ diagnostics.
  • the invention relates to a method of determining a parameter of interest during fabrication of a patterned substrate.
  • the method comprises illuminating at least a portion of the patterned substrate with a normal incident light beam and obtaining a measured net reflectance spectrum of the portion of the patterned substrate from a normal reflected light beam.
  • the method further includes calculating a modeled net reflectance spectrum of the portion of the patterned substrate as a weighted incoherent sum of reflectances from n > 1 different regions constituting the portion of the patterned substrate, wherein the reflectance of each of the n different regions is a weighted coherent sum of reflected fields from k > 1 laterally-distinct areas constituting the region.
  • the method further includes determining a set of parameters that provides a close match between the measured net reflectance spectrum and the modeled net reflectance spectrum.
  • the invention relates to a process control method for fabrication of a patterned substrate.
  • the process control method comprises illuminating at least a portion of the patterned substrate with a normal incident light beam while processing the patterned substrate and obtaining a measured reflectance spectrum of the portion of the patterned substrate over a range of wavelengths.
  • the process control method further includes calculating a modeled reflectance spectrum of the portion of the patterned substrate over a range of wavelengths as a weighted incoherent sum of reflectances from n > 1 different regions constituting the patterned substrate, wherein the reflectance of each of the n different regions is a weighted coherent sum of reflected fields from k > 1 laterally-distinct areas constituting the region.
  • the process control method further includes determining a set of parameters that provides a close match between the measured reflectance spectrum and the modeled reflectance spectrum, deriving a parameter of interest from the set of parameters, and signaling an endpoint in the processing of the patterned substrate if the value of the parameter of interest satisfies a predetermined endpoint criterion.
  • the invention in yet another aspect, relates to a method for determining a vertical dimension of a feature on a patterned substrate.
  • the method comprises illuminating at least a portion of the patterned substrate including the feature with a normal incident light beam and obtaining a measured net reflectance spectrum of the portion of the patterned substrate from a normal reflected light beam.
  • the method further includes calculating a modeled net reflectance spectrum of the portion of the patterned substrate as a weighted incoherent sum of reflectances from n > 1 different regions constituting the portion of the patterned substrate, wherein the reflectance of each of the n different regions is a weighted coherent sum of reflected fields from k > 1 laterally-distinct areas constituting the region.
  • the method further includes determining a set of parameters that provides a close match between the measured reflectance spectrum and the modeled net reflectance spectrum and extracting the vertical dimension of the feature from the set of parameters.
  • Figure 1 is a generalized schematic of a thin-film stack.
  • Figure 2A shows a transverse cross-section of a typical patterned substrate.
  • Figure 2B shows the patterned substrate of Figure 2 A divided into four laterally- distinct areas or thin-film stacks.
  • Figure 3 shows a patterned substrate divided into two laterally-distinct areas or thin- film stacks.
  • Figure 4 shows a process setup according to an embodiment of the invention.
  • Figure 5 A is an overview of a-process for detecting an endpoint in patterned substrate processing step according to an embodiment of the invention.
  • Figure 5B is an overview of a process for collecting normal incidence reflectance data according to an embodiment of the invention.
  • Figure 5 C is an overview of a process for matching measured reflectance spectrum to modeled reflectance spectrum according to an embodiment of the invention.
  • Figure 6A is a schematic of a measured reflectance spectrum.
  • Figure 6B is a schematic of a modeled reflectance spectrum.
  • Figure 6C compares the measured reflectance spectrum of Figure 6 A to the modeled reflectance spectrum of Figure 6B.
  • the invention uses reflectometry to measure a reflectance spectrum of a patterned substrate while the patterned substrate is being processed. For each given time step, physical parameters of interest are estimated by matching the measured reflectance spectrum to a modeled reflectance spectrum of the patterned substrate.
  • a model for calculating the reflectance spectrum of the patterned substrate does not place any restrictions on arrangement of features on the patterned substrate, i.e., the model is not limited to a patterned substrate having special test features and can be applied to a patterned substrate having a complex array of random features.
  • the model is also designed to accommodate incoming material variations.
  • Figure 1 shows a tl in-film stack 100 having a stack of three thin-film layers 102, 104, 106 on a substrate layer 108.
  • Each of the layers 102, 104, 106, 108 has a thickness (t), a refractive index (n), and an extinction coefficient (k).
  • Reflectance measurements are made by illuminating the thin-film stack 100 at normal incidence with a light beam 109 and collecting the light beam 111 reflected normally from the thin-film stack 100.
  • the response of an isotropic, homogeneous thin-film stack is nominally polarization-independent.
  • the patterned substrate can be assumed to have a nominally polarization-independent reflectance, which greatly simplifies the computational aspects of the model.
  • the technique can be easily adapted to model a polarization-dependent response too. For example, this may indeed be the case when the distribution of structures constituting the pattern is known to be predominantly oriented in one direction within the plane of the patterned substrate.
  • Figure 2A shows a transverse cross-section of atypical patterned substrate 210, e.g., a gate wafer, having stacks of thin-film layers 212, 214 formed on a gate oxide layer 216.
  • the field oxide layer 218 is formed by etching a shallow trench 219 into a silicon substrate 220, overfilling the trench 219 with an oxide or other dielectric material, and planarizing the overfill using, for example, a chemical-mechanical polishing process.
  • the patterned substrate 210 can be divided into four laterally-distinct areas or thin- film stacks based on the number of thin-film layers in the stacks 212, 214 and the lateral extent of the field oxide layer 218.
  • Figure 2B shows the patterned substrate 210 divided into four distinct areas 222, 224, 226, 228.
  • the distinct area 222 includes thin-film layers 230, 232, 234, a portion 216a of the gate oxide layer 216, a portion 218a of the field oxide layer 218, and a portion 220a of the substrate 220.
  • the thin-film layers 230, 232 could be mask layers and the thin-film layer 234 could be a polysilicon layer.
  • the distinct area 224 includes a portion 216b of the gate oxide layer 216, a portion 218b of the field oxide layer 218, and a portion 220b of the substrate 220.
  • the distinct area 226 includes a portion 216c of the gate oxide layer 216 and a portion 220c of the substrate 220.
  • the distinct area 228 includes thin-film layers 238, 240, 242, a portion 216d of the gate oxide layer 216, and a portion 220d of the substrate 220.
  • the layers 230 and 238 are the same material and, nominally, have the same thickness.
  • the layer 232 corresponds to layer 240
  • the layer 234 corresponds to layer 242.
  • the reflectance of the patterned substrate 210 is a combination of the reflected fields from the thin-film stacks 222, 224, 226, 228.
  • the reflected field for a given thin-film stack illuminated by a plane wave of known intensity and polarization can be calculated by setting up and solving a boundary value problem or by using Fresnel equations.
  • differences in the heights of the thin-film stacks 222, 224, 226, 228 may be compensated for by adding layers of air or vacuum, equal in thickness to the difference in height with respect to the highest thin-film stack structure on the substrate, to the top of the thin-film stack.
  • a layer of air or vacuum 244 is added to the film stack 224
  • a layer of air or vacuum 246 is added to the film stack 226,
  • a layer of air or vacuum 236 is added to the film stack 228 to make the heights of the film stacks 222, 224, 226, and 228 uniform.
  • the reflected fields from the patterned substrate are likely to add coherently over some regions of the pattern and incoherently over some other regions of the pattern.
  • the net reflectance from a patterned substrate can be calculated as a weighted incoherent sum of reflectances from n different regions constituting the pattern:
  • R is the net reflectance measured, E; are the individual incoherently adding field terms, and Wj( ⁇ 0 ) are the weighting factors for the incoherently adding terms.
  • 2 denotes the magnitude of the complex field Ej in the frequency domain notation of electromagnetic field theory.
  • the patterned substrate 300 has been divided into two laterally-distinct areas or thin-film stacks 302, 304.
  • the thin-film stack 302 is made of thin-film layers 306, 308, 310 and a portion 312a of a substrate 312.
  • the thin-film stack 304 is made of a layer of air or vacuum 314, a thin-film layer 316, and a portion 312b of the substrate 312.
  • an incident beam 317 illuminates the patterned substrate 300 and is reflected, as shown at 318.
  • ri represent the reflected field due to the thin-film stack 302
  • r 2 represent the reflected field due to the thin-film stack 304.
  • the inventors propose herein that there is a region 320 overlapping the boundary 322 between the thin-film stacks 302, 304, demarcated by imaginary lines 324, 326, where the reflected fields ri and r 2 would add coherently because of lateral interference effects.
  • the reflectance from the region 328 to the left of the imaginary line 324 is expected to be due to the reflected field from the thin-film stack 302 only.
  • the reflectance from the region 330 to the right of the imaginary line 326 is expected to be due to the reflected field from the thin-film stack 304 only.
  • R 3oo w 328 (A 0 ) I E 328 1 2 +w 320 (2 0 ) I E 320 1 2 +w 330 (2 0 ) I E 330
  • E 328 , E 320 , E 330 are the individual incoherently adding field terms from the regions 328, 320, 330, respectively, and w 328 ( ⁇ 0 ), w 320 ( ⁇ o), w 330 ( ⁇ o) are the weighting factors for the incoherently adding terms.
  • E 320 is:
  • Equation (3) can be rewritten as:
  • R 300 w 328 I r t I 2 + w 32 o I ⁇ i + (1 - ⁇ )r 2 1 2 +(1 - w 320 - w 328 ) I r 2
  • w 328 , w 320 , and ⁇ could vary as a function of free-space wavelength, ⁇ 0 .
  • Equation (1) provides a simplified model wherein reflectance from a patterned substrate can be parameterized with respect to several quantities of interest, such as film thicknesses and etch depths.
  • the invention uses normal incidence reflectometry as a technique for measuring reflectance, meaning the patterned substrate is illuminated by a beam incident normal to the substrate and only the light reflected normal to the substrate is collected, i.e., only specularly reflected light is collected.
  • the patterned substrate is illuminated by a beam incident normal to the substrate and only the light reflected normal to the substrate is collected, i.e., only specularly reflected light is collected.
  • specularly reflected light is collected.
  • a scattering loss factor is applied to parts of the adding terms in equation (1) or to the entire reflectance in equation (1).
  • the scattering loss factor could be a function of ⁇ 0 .
  • Figure 4 shows a patterned substrate 400 that is to be subjected to various processing steps so that features, such as trenches, can be formed.
  • the processing equipment is not shown in Figure 4.
  • an optical reflectometer 402 is positioned above the patterned substrate 400.
  • the reflectometer 402 is used to make real-time measurements of reflectance from the substrate 400.
  • the reflectometer includes a light source (not shown) for generating a light beam, a system of optical elements (not shown) for focusing the light beam on the substrate, and a spectrometer (not shown) for detecting and analyzing the spectrum of light reflected from the substrate.
  • the light source in the reflectometer 402 is a broadband light source.
  • the operating wavelength band of the light source is selected to be in the region where sensitivity to the parameters of interest is heightened. Generally speaking, a broader range is more useful. In one example, , the wavelength range of the light source is 190 to 1000 nm.
  • Figure 4 shows a process module 404 that controls processing of the patterned substrate 400.
  • the process module 404 sends a signal to a data collection control unit 406 to trigger operation of the reflectometer 402.
  • a light beam 408 is generated by the light source in the reflectometer 402 and directed to strike the patterned substrate 400 at normal incidence.
  • the spectrometer in the reflectometer 402 detects the light beam 410 reflected at normal incidence from the substrate 400.
  • the spectrometer analyzes the reflected light beam 410 and sends data representative of the reflectance spectrum to a computer 412 for further analysis.
  • the process module 404, the data collection control unit 406, and the computer 412 all communicate with one another too.
  • the computer 412 includes a model for calculating reflectance of the patterned substrate 400 and a non-linear regression routine that searches for a set of parameterized parameters that provides an optimal match between the modeled reflectance and the reflectance data obtained from the reflectometer 402. It must be noted that as an alternative to the non-linear regression technique, a technique such as multivariate regression analysis or neural net matching might be used to extract the set of optimal parameters.
  • a model for calculating reflectance of a patterned substrate has been described above.
  • the set of parameterized parameters can be mapped to several key quantities of interest, such as film thicknesses and etch depths.
  • Figure 5 A is an overview of a process for detecting an endpoint in patterned substrate processing step according to an embodiment of the invention.
  • a set of user inputs are collected which contain information necessary to set up the endpoint detection algorithm (500).
  • data collection is triggered (501).
  • Normal incidence reflectance data is collected from the substrate over a given time interval (502).
  • a non-linear regression routine is used to compute a set of parameterized parameters that provides the closest match between the reflectance data and the modeled reflectance of the substrate (504).
  • an endpoint criterion is applied to the parameters (506). For an etching process, for example, an endpoint criterion could be whether the etch depth is greater than or equal to the target etch depth.
  • the system checks whether the endpoint criterion is satisfied (507). If the endpoint criterion is satisfied, a signal indicating a process endpoint is sent to the process module (508). Otherwise, the system returns to step 502.
  • Figure 5B is a flowchart elaborating on step 502 of Figure 5 A, i.e., normal incidence reflectance data collection in-situ.
  • One objective is to improve a high-quality reflectance signal even in the presence of significant background light levels such as the emission from a luminous plasma.
  • the process module (404 in Figure 4) informs the data collection control unit (406 in Figure 4) about how the data should be collected and calibrated (510). For example, the process module tells the data collection control unit how often to collect the reflectance data from the substrate and the number of reflectance spectra to collect for each time step.
  • the process module also gives the data collection control unit a baseline reflectance spectrum, typically a bare silicon reflectance spectrum, for calibration of the measured reflectance spectra. The bare silicon reflectance spectrum is collected prior to processing the substrate.
  • the light source in the reflectometer (402 in Figure 4) When the data collection control unit (406 in Figure 4) receives instruction to start collecting data, the light source in the reflectometer (402 in Figure 4) is turned to the ON position to generate a light beam and the spectrometer in the reflectometer collects reflectance data from the substrate (512). Then, the light source is turned to the OFF position and the spectrometer again collects reflectance data from the substrate (514).
  • the data collected by the spectrometer is due to detector noise and other background light sources different from the light source in the reflectometer. For example, in plasma etching, this background light source would be the plasma emissions.
  • the next step is to subtract the reflectance data obtained in step 514 from the reflectance data obtained in step 512 to remove the contribution of the detector noise and background light sources (516).
  • the corrected reflectance spectrum is normalized by the baseline spectrum (518). Then, the system checks if the desired number of spectra has been collected for the current time step (520). If the desired number of spectra has not been collected, the system returns to step 512 and starts collecting data for another reflectance spectrum (522). If the desired number of spectra has been collected, the system computes an average of the collected spectra to obtain an averaged, normalized, reflectance spectrum (524). The averaged spectrum is sent to the computer (412 in Figure 4) for matching with the model of the substrate (526). After sending the averaged spectrum to the computer, the system waits for the end of the current time step (528). At the end of the current time step, the system returns to step 512 to start collecting data for the next time step (529).
  • Figure 5C is a flowchart elaborating on step 504 of Figure 5 A, i.e., non-linear regression analysis.
  • One objective is to quickly reach a converged set of parameter values by incrementally stepping the parameter values in the appropriate direction through the parameter space till the solution is reached.
  • user inputs Prior to start of the non-linear regression analysis, user inputs are received by the non-linear regression routine (530).
  • the user inputs include initial guesses for the parameters to be determined by matching the measured reflectance spectrum to the modeled reflectance spectrum.
  • the non-linear regression routine also receives the (averaged) measured reflectance spectrum (531).
  • the modeled reflectance spectrum is calculated using equations (1) and (2) and the initial guesses included in the user inputs (532).
  • the non-linear regression routine is used to calculate increments to the parameters in equations (1) and (2) to move closer to the best match between the measured reflectance spectrum and the modeled reflectance spectrum (534).
  • the parameters in equations (1) and (2) are the layer thicknesses, etch depths, the weighting factors w, and the coupling factors ⁇ , which can be functions of the free-space wavelength ⁇ 0 .
  • the system checks whether the increments calculated in step 534 are small enough to be negligible (536). If the increments are not small enough to be negligible, the system increments the values of the parameters and returns to step 532 to recalculate the modeled spectrum using the new parameter values (538). If the increments are small enough to be negligible, the system outputs the optimal parameter values (540).
  • the physical parameters of interest e.g., trench depth
  • an endpoint criterion is applied to the physical parameters.
  • an endpoint criterion could be that the trench depth is within a certain tolerance from the target depth.
  • the algorithm checks if the endpoint criterion is satisfied (544). If the endpoint criterion is satisfied, a signal is sent to the process module (546). If the endpoint criterion is not satisfied, the next measured reflectance spectrum is obtained and the non-linear regression analysis is repeated (548). The parameter values obtained for the current time step are used as initial guesses for the next non-linear regression analysis (550) to speed up the non-linear regression routine.
  • the user inputs also include information about how to subdivide the substrate into laterally-distinct areas or thin- film stacks.
  • the user inputs also include optical properties of each thin-film stack so that the reflected fields of each thin-film stack can be calculated, as previously described.
  • the reflected fields are recomputed because the structure of the thin-film stacks may have changed during processing of the substrate, consequently resulting in changes in the values of the weighting factors w and the coupling factors ⁇ (i.e., in equations (1) and (2) above).
  • the invention uses a modified version of a non-linear regression technique called the Levenberg-Marquardt Compromise to quickly and accurately locate optimal values of key parameter starting from the initial guesses of the parameter values.
  • Levenberg-Marquardt Compromise technique is the preferred technique, other techniques, such as multivariate regression analysis and neural net approaches, may also be employed to extract key parameters of interest.
  • Figure 6A shows a measured reflectance spectrum 600 and Figure 6B shows a modeled reflectance spectrum 602 computed using initial guesses from user inputs.
  • the first step in the non-linear regression routine is to calculate a least squares difference error metric between the two reflectance spectra 600, 602.
  • Figure 6C shows the measured reflectance spectrum 600 superimposed on the modeled reflectance spectrum 602.
  • the least squares difference is computed by taking several points across the wavelength range, calculating the vertical difference between the spectra 600, 602 at each point, and summing the square of the differences at all the points.
  • the least squares difference error metric is then used to determine the increments for the parameter values.
  • the description of the non-linear regression analysis above is standard. Now, what happens in many cases is that a lot of the parameters that are not of interest cause significant changes in the entire modeled spectrum while the parameters of interest cause changes in small regions of the modeled spectrum.
  • the differences in the regions of the spectrum where the parameters of interest are expected to make a difference are amplified by a factor, e.g., (1 + ⁇ i), prior to summing the square of the differences at all the points.
  • the least squares difference error is larger if the differences in the region of interest are larger.
  • a constant or weighting factor may also be applied to the amplification factor to further bias the least squares difference error.
  • a patterned substrate having a random array of features can be monitored in-situ using a method of the invention.
  • the invention provides a robust model that can be used to calculate reflectance of the patterned substrate and from which parameters of interest related to the processing of the substrate can be extracted.
  • the model can accommodate incoming material variations, such as layer thicknesses, starting trench depth variation, and differences in pattern density and substrate orientation.
  • the invention uses a biased non-linear regression technique to focus on key parameters of interest much more accurately, thus improving the sensitivity of the system.

Landscapes

  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Investigating Or Analysing Materials By Optical Means (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Weting (AREA)
  • Semiconductor Memories (AREA)
  • Electrical Discharge Machining, Electrochemical Machining, And Combined Machining (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Element Separation (AREA)
EP03785199A 2002-08-13 2003-08-12 Method for in-situ monitoring of patterned substrate processing using reflectometry Withdrawn EP1546649A1 (en)

Applications Claiming Priority (11)

Application Number Priority Date Filing Date Title
US286410 1981-07-24
US286409 1981-07-24
US40321302P 2002-08-13 2002-08-13
US403213P 2002-08-13
US40861902P 2002-09-06 2002-09-06
US408619P 2002-09-06
US10/286,409 US7399711B2 (en) 2002-08-13 2002-11-01 Method for controlling a recess etch process
US10/286,410 US7019844B2 (en) 2002-08-13 2002-11-01 Method for in-situ monitoring of patterned substrate processing using reflectometry.
US401118 2003-03-27
US10/401,118 US6979578B2 (en) 2002-08-13 2003-03-27 Process endpoint detection method using broadband reflectometry
PCT/US2003/025147 WO2004015364A1 (en) 2002-08-13 2003-08-12 Method for in-situ monitoring of patterned substrate processing using reflectometry

Publications (1)

Publication Number Publication Date
EP1546649A1 true EP1546649A1 (en) 2005-06-29

Family

ID=31721852

Family Applications (3)

Application Number Title Priority Date Filing Date
EP03785199A Withdrawn EP1546649A1 (en) 2002-08-13 2003-08-12 Method for in-situ monitoring of patterned substrate processing using reflectometry
EP03785204A Expired - Lifetime EP1529193B1 (en) 2002-08-13 2003-08-12 Method for controlling a recess etch process
EP03785203A Expired - Lifetime EP1546650B1 (en) 2002-08-13 2003-08-12 Process endpoint detection method using broadband reflectometry

Family Applications After (2)

Application Number Title Priority Date Filing Date
EP03785204A Expired - Lifetime EP1529193B1 (en) 2002-08-13 2003-08-12 Method for controlling a recess etch process
EP03785203A Expired - Lifetime EP1546650B1 (en) 2002-08-13 2003-08-12 Process endpoint detection method using broadband reflectometry

Country Status (9)

Country Link
EP (3) EP1546649A1 (zh)
JP (3) JP4841953B2 (zh)
KR (3) KR20050028057A (zh)
CN (4) CN100370221C (zh)
AT (1) ATE445141T1 (zh)
AU (3) AU2003255273A1 (zh)
DE (1) DE60329602D1 (zh)
TW (3) TWI276802B (zh)
WO (3) WO2004015365A1 (zh)

Families Citing this family (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7006224B2 (en) * 2002-12-30 2006-02-28 Applied Materials, Israel, Ltd. Method and system for optical inspection of an object
US20050020073A1 (en) * 2003-07-22 2005-01-27 Lam Research Corporation Method and system for electronic spatial filtering of spectral reflectometer optical signals
US7799273B2 (en) 2004-05-06 2010-09-21 Smp Logic Systems Llc Manufacturing execution system for validation, quality and risk assessment and monitoring of pharmaceutical manufacturing processes
US7444197B2 (en) 2004-05-06 2008-10-28 Smp Logic Systems Llc Methods, systems, and software program for validation and monitoring of pharmaceutical manufacturing processes
JP4531465B2 (ja) * 2004-07-06 2010-08-25 株式会社フジクラ ブラインドビアの深さ評価方法および深さ評価装置ならびに基板の研磨装置
JP5441332B2 (ja) * 2006-10-30 2014-03-12 アプライド マテリアルズ インコーポレイテッド フォトマスクエッチングのための終点検出
US7521332B2 (en) * 2007-03-23 2009-04-21 Alpha & Omega Semiconductor, Ltd Resistance-based etch depth determination for SGT technology
CN101599433B (zh) * 2008-06-03 2012-05-23 中芯国际集成电路制造(北京)有限公司 半导体刻蚀方法及刻蚀系统
JP5027753B2 (ja) 2008-07-30 2012-09-19 東京エレクトロン株式会社 基板処理制御方法及び記憶媒体
FR2960340B1 (fr) * 2010-05-21 2012-06-29 Commissariat Energie Atomique Procede de realisation d'un support de substrat
CN102954903B (zh) * 2011-08-22 2015-02-04 上海华虹宏力半导体制造有限公司 锗硅薄膜监控片的制备方法及采用该片进行监控的方法
CN102519364B (zh) * 2011-11-30 2014-10-15 上海华力微电子有限公司 用于等离子体刻蚀结构的光学探测方法及计算机辅助系统
CN102426421B (zh) * 2011-11-30 2014-08-13 上海华力微电子有限公司 用于等离子体刻蚀的先进工艺控制方法
JP5789275B2 (ja) 2012-02-03 2015-10-07 エーエスエムエル ネザーランズ ビー.ブイ. 3dレジストプロファイルのシミュレーション用のリソグラフィモデル
NL2010163A (en) * 2012-02-07 2013-08-08 Asml Netherlands Bv Substrate-topography-aware lithography modeling.
CN103575703B (zh) * 2012-08-09 2016-03-09 中国科学院微电子研究所 利用反射光谱测量单晶硅基太阳能表面增透膜的方法
US10718881B2 (en) 2013-07-09 2020-07-21 Halliburton Energy Services, Inc. Integrated computational elements with laterally-distributed spectral filters
US10247662B2 (en) 2013-07-09 2019-04-02 Halliburton Energy Services, Inc. Integrated computational elements with frequency selective surface
EP2909762B1 (en) 2013-12-24 2016-12-21 Halliburton Energy Services, Inc. Fabrication of critical layers of integrated computational elements
EP2926116A4 (en) 2013-12-24 2016-11-30 Halliburton Energy Services Inc IN-SITU MONITORING OF THE MANUFACTURE OF INTEGRATED COMPUTER ELEMENTS
WO2015099709A1 (en) 2013-12-24 2015-07-02 Halliburton Energy Services, Inc. Real-time monitoring of fabrication of integrated computational elements
EP2909763A4 (en) 2013-12-24 2015-12-23 Halliburton Energy Services Inc SETTING UP THE MANUFACTURE OF INTEGRATED CALCULATION ELEMENTS
US11274365B2 (en) 2013-12-30 2022-03-15 Halliburton Energy Services, Inc. Determining temperature dependence of complex refractive indices of layer materials during fabrication of integrated computational elements
MX360943B (es) 2013-12-31 2018-11-13 Halliburton Energy Services Inc Fabricación de elementos informáticos integrados mediante el uso de un soporte de sustrato con forma que coincida con el perfil de la pluma de deposición.
MX359196B (es) 2014-02-14 2018-09-19 Halliburton Energy Services Inc Espectroscopía in situ para el monitoreo de la fabricación de elementos computacionales integrados.
EP2943774A4 (en) 2014-03-21 2016-05-11 Halliburton Energy Services Inc MONOLITHIC, BAND-LIMITED, INTEGRATED CALCULATION ELEMENTS
US9708908B2 (en) 2014-06-13 2017-07-18 Halliburton Energy Services, Inc. Integrated computational element with multiple frequency selective surfaces
KR101844203B1 (ko) * 2014-11-02 2018-05-14 노바 메주어링 인스트루먼츠 엘티디. 패턴처리 구조물의 광학적 계측 방법 및 시스템
US9576811B2 (en) * 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US9792393B2 (en) * 2016-02-08 2017-10-17 Lam Research Corporation Methods and apparatuses for etch profile optimization by reflectance spectra matching and surface kinetic model optimization
US10032681B2 (en) * 2016-03-02 2018-07-24 Lam Research Corporation Etch metric sensitivity for endpoint detection
KR102455343B1 (ko) * 2016-07-13 2022-10-17 에바텍 아크티엔게젤샤프트 광대역 광학 모니터링
US10262910B2 (en) * 2016-12-23 2019-04-16 Lam Research Corporation Method of feature exaction from time-series of spectra to control endpoint of process
US10861755B2 (en) * 2017-02-08 2020-12-08 Verity Instruments, Inc. System and method for measurement of complex structures
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US10763083B2 (en) 2017-10-06 2020-09-01 Lam Research Corporation High energy atomic layer etching
US10784174B2 (en) * 2017-10-13 2020-09-22 Lam Research Corporation Method and apparatus for determining etch process parameters
KR102642011B1 (ko) 2018-03-30 2024-02-27 램 리써치 코포레이션 내화성 금속들 및 다른 고 표면 결합 에너지 재료들의 원자 층 에칭 및 평활화 (smoothing)
US10572697B2 (en) 2018-04-06 2020-02-25 Lam Research Corporation Method of etch model calibration using optical scatterometry
US11624981B2 (en) 2018-04-10 2023-04-11 Lam Research Corporation Resist and etch modeling
US11921433B2 (en) 2018-04-10 2024-03-05 Lam Research Corporation Optical metrology in machine learning to characterize features
KR102200662B1 (ko) * 2019-10-23 2021-01-12 충남대학교 산학협력단 비침습형 플라즈마 공정 진단 방법 및 장치
WO2023286180A1 (ja) * 2021-07-14 2023-01-19 株式会社日立ハイテク プラズマ処理装置、データ解析装置及び半導体装置製造システム
KR102630373B1 (ko) * 2022-05-02 2024-01-30 세메스 주식회사 기판 처리 장치 및 기판의 부상량 측정 방법
US20230417682A1 (en) * 2022-06-23 2023-12-28 Onto Innovation Inc. Metrology solutions for complex structures of interest
CN115996031B (zh) * 2023-03-24 2023-06-13 武汉敏声新技术有限公司 谐振器的制作方法以及谐振器

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US271047A (en) 1883-01-23 Geoege b
US4147435A (en) * 1977-06-30 1979-04-03 International Business Machines Corporation Interferometric process and apparatus for the measurement of the etch rate of opaque surfaces
DE19640273C1 (de) * 1996-09-30 1998-03-12 Siemens Ag Verfahren zur Herstellung barrierenfreier Halbleiterspeicheranordnungen
US5900633A (en) * 1997-12-15 1999-05-04 On-Line Technologies, Inc Spectrometric method for analysis of film thickness and composition on a patterned sample
US6081334A (en) * 1998-04-17 2000-06-27 Applied Materials, Inc Endpoint detection for semiconductor processes
US6271047B1 (en) * 1998-05-21 2001-08-07 Nikon Corporation Layer-thickness detection methods and apparatus for wafers and the like, and polishing apparatus comprising same
JP2000241126A (ja) * 1999-02-25 2000-09-08 Nikon Corp 測定装置及び測定方法
US6166819A (en) * 1998-06-26 2000-12-26 Siemens Aktiengesellschaft System and methods for optically measuring dielectric thickness in semiconductor devices
US6275297B1 (en) * 1998-08-19 2001-08-14 Sc Technology Method of measuring depths of structures on a semiconductor substrate
JP2000292129A (ja) * 1999-04-09 2000-10-20 Toshiba Corp エッチング深さ測定方法および装置
US6160621A (en) * 1999-09-30 2000-12-12 Lam Research Corporation Method and apparatus for in-situ monitoring of plasma etch and deposition processes using a pulsed broadband light source
US6340602B1 (en) * 1999-12-10 2002-01-22 Sensys Instruments Method of measuring meso-scale structures on wafers
US6413867B1 (en) * 1999-12-23 2002-07-02 Applied Materials, Inc. Film thickness control using spectral interferometry

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See references of WO2004015364A1 *

Also Published As

Publication number Publication date
TWI276802B (en) 2007-03-21
JP2005536075A (ja) 2005-11-24
TWI303090B (en) 2008-11-11
JP4679365B2 (ja) 2011-04-27
EP1546650A1 (en) 2005-06-29
AU2003255273A1 (en) 2004-02-25
KR20050047097A (ko) 2005-05-19
ATE445141T1 (de) 2009-10-15
WO2004015727A3 (en) 2004-04-29
JP2005536076A (ja) 2005-11-24
CN100595899C (zh) 2010-03-24
EP1529193A2 (en) 2005-05-11
TW200403785A (en) 2004-03-01
CN100376864C (zh) 2008-03-26
TW200405501A (en) 2004-04-01
AU2003255272A1 (en) 2004-02-25
CN100353140C (zh) 2007-12-05
WO2004015727A2 (en) 2004-02-19
WO2004015364A1 (en) 2004-02-19
DE60329602D1 (de) 2009-11-19
JP4841953B2 (ja) 2011-12-21
CN1675517A (zh) 2005-09-28
TW200405011A (en) 2004-04-01
AU2003258170A1 (en) 2004-02-25
CN100370221C (zh) 2008-02-20
TWI314762B (en) 2009-09-11
EP1529193B1 (en) 2009-10-07
CN1675518A (zh) 2005-09-28
CN101221917A (zh) 2008-07-16
JP4679364B2 (ja) 2011-04-27
JP2005536074A (ja) 2005-11-24
KR20050047098A (ko) 2005-05-19
AU2003255273A8 (en) 2004-02-25
KR20050028057A (ko) 2005-03-21
CN1675516A (zh) 2005-09-28
EP1546650B1 (en) 2012-10-03
WO2004015365A1 (en) 2004-02-19

Similar Documents

Publication Publication Date Title
WO2004015364A1 (en) Method for in-situ monitoring of patterned substrate processing using reflectometry
US6979578B2 (en) Process endpoint detection method using broadband reflectometry
US7019844B2 (en) Method for in-situ monitoring of patterned substrate processing using reflectometry.
US7042569B2 (en) Overlay alignment metrology using diffraction gratings
US5835221A (en) Process for fabricating a device using polarized light to determine film thickness
US7049156B2 (en) System and method for in-situ monitor and control of film thickness and trench depth
IL123727A (en) Method and apparatus for measurement of patterned structures
US7399711B2 (en) Method for controlling a recess etch process
EP1037012B1 (en) Method and apparatus for measurements of patterned structures
US6486675B1 (en) In-situ method for measuring the endpoint of a resist recess etch process
US6605482B2 (en) Process for monitoring the thickness of layers in a microelectronic device
Venugopal et al. Manufacturability considerations in designing optical monitoring methods for control of plasma etch processes
Venugopal et al. Reflectometry-based approaches for in-situ monitoring of etch depths in plasma etching processes
Hu et al. Measurements of shallow trench isolation by normal incidence optical critical dimension technique

Legal Events

Date Code Title Description
PUAI Public reference made under article 153(3) epc to a published international application that has entered the european phase

Free format text: ORIGINAL CODE: 0009012

17P Request for examination filed

Effective date: 20050207

AK Designated contracting states

Kind code of ref document: A1

Designated state(s): AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LI LU MC NL PT RO SE SI SK TR

AX Request for extension of the european patent

Extension state: AL LT LV MK

DAX Request for extension of the european patent (deleted)
17Q First examination report despatched

Effective date: 20100610

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: THE APPLICATION IS DEEMED TO BE WITHDRAWN

18D Application deemed to be withdrawn

Effective date: 20140301