EP1347804A1 - Systeme d'implantation ionique et procede de controle - Google Patents

Systeme d'implantation ionique et procede de controle

Info

Publication number
EP1347804A1
EP1347804A1 EP01944435A EP01944435A EP1347804A1 EP 1347804 A1 EP1347804 A1 EP 1347804A1 EP 01944435 A EP01944435 A EP 01944435A EP 01944435 A EP01944435 A EP 01944435A EP 1347804 A1 EP1347804 A1 EP 1347804A1
Authority
EP
European Patent Office
Prior art keywords
ion
ions
source
ion implantation
aperture
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
EP01944435A
Other languages
German (de)
English (en)
Other versions
EP1347804A4 (fr
Inventor
Thomas N. Horsky
Brian C. Cohen
Wade A. Krull
George P. Sacco, Jr.
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semequip Inc
Original Assignee
Semequip Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from PCT/US2000/033786 external-priority patent/WO2001043157A1/fr
Priority claimed from US09/736,097 external-priority patent/US6452338B1/en
Application filed by Semequip Inc filed Critical Semequip Inc
Priority to EP11008982.8A priority Critical patent/EP2426692A3/fr
Publication of EP1347804A1 publication Critical patent/EP1347804A1/fr
Publication of EP1347804A4 publication Critical patent/EP1347804A4/fr
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J27/00Ion beam tubes
    • H01J27/02Ion sources; Ion guns
    • H01J27/20Ion sources; Ion guns using particle beam bombardment, e.g. ionisers
    • H01J27/205Ion sources; Ion guns using particle beam bombardment, e.g. ionisers with electrons, e.g. electron impact ionisation, electron attachment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/04Arrangements of electrodes and associated parts for generating or controlling the discharge, e.g. electron-optical arrangement, ion-optical arrangement
    • H01J37/08Ion sources; Ion guns
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3171Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation for ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/04Means for controlling the discharge
    • H01J2237/047Changing particle velocity
    • H01J2237/0473Changing particle velocity accelerating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/04Means for controlling the discharge
    • H01J2237/047Changing particle velocity
    • H01J2237/0475Changing particle velocity decelerating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/06Sources
    • H01J2237/08Ion sources
    • H01J2237/0812Ionized cluster beam [ICB] sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/06Sources
    • H01J2237/08Ion sources
    • H01J2237/0815Methods of ionisation
    • H01J2237/082Electron beam

Definitions

  • This invention relates to ion sources, implantation, and more particularly to ion implantation with high brightness, low emittance ion sources, acceleration-deceleration transport systems and improved ion source constructions.
  • Ion implantation has been a key technology in semiconductor device manufacturing for more than twenty years, and is currently used to fabricate the p-n junctions in transistors, particularly for CMOS devices such as memory and logic chips.
  • the ion implanters can selectively control both tl e energy (hence implantation depth) and ion current (hence dose) introduced into the transistor structures.
  • Ion implanters have traditionally used ion sources which generate ribbon beams of up to about 50mm in length; these beams are transported to the substrate and the required dose and dose uniformity is accomplished by electromagnetic scanning of the ribbon across the substrate, mechanical scanning of the substrate across the beam, or both.
  • electromagnetic scanning of the ribbon across the substrate mechanical scanning of the substrate across the beam, or both.
  • Taller ribbon beams enable higher dose rates, since more ion current can be transported through the implanter beam line due to reduced space charge blowup of the extended ribbon beam.
  • a serial (one wafer at a time) process chamber which offers high tilt capability (e.g., up to 60 degrees from substrate normal).
  • the ion beam is typically electromagnetically scanned across the wafer, which is mechanically scanned in the orthogonal direction, to ensure dose uniformity.
  • the ion beam In order to meet implant dose uniformity and repeatability specifications, the ion beam must have excellent angular and spatial uniformity (angular uniformity of beam on wafer of ⁇ ldeg, for example).
  • the beam characteristics are nonetheless determined to a large extent by the emittance properties of the ion source (i.e., the beam properties at ion extraction which determine the extent to which the implanter optics can focus and control the beam as emitted from the ion source).
  • Arc-discharge plasma sources which are currently in use have poor emittance, and therefore limit the ability of ion implanters to produce well-focused, collimated, and controllable ion beams.
  • Ion Implantation Sources The standard ion source technology of the implanter industry is the Enhanced Bernas source. As illustrated in Fig. 1, this is an arc discharge source which incorporates a reflex geometry: a hot filament cathode immersed in the ionization chamber (where the dopant feed gas resides) emits thermionic electrons confined by a magnetic field, and are reflected from an anticathode located at the opposite end of the chamber. Thus, the electrons execute helical trajectories between the cathode and anticathode, and generate a high-density plasma (on the order of 10 12 ions/cm 2 ).
  • plasma column is parallel to an ion extraction aperture slot from which the ions are extracted by beam-forming optics.
  • the Enhanced Bernas source efficiently dissociates tightly-bound molecular species such as BF 3 .
  • the emittance of this source is large due to the following plasma-related effects:
  • the plasma potential (typically about 5 V) introduces a random component of velocity to the ions, which directly translates into increased angular dispersion of the extracted ions.
  • the temperature of the ions and electrons within the plasma can reach 10,000 K, introducing a thermal velocity which adds to (1), and also introduces an energy spread of several eV to the ions (according to a Maxwell-Boltzmann distribution), making the beam exhibit chromatic aberrations.
  • a high extracted current density is needed due to a predominance of unwanted ions (i.e., fragments such as BF , BF 2 , and F- in a BF 3 plasma), increasing space-charge forces at extraction and causing emittance growth.
  • unwanted ions i.e., fragments such as BF , BF 2 , and F- in a BF 3 plasma
  • High-frequency noise present in the plasma is propagated into the beam as high- frequency fluctuations in beam current and in beam potential.
  • This time-varying beam potential makes charge compensation in the beam plasma difficult to maintain, since it can cause a significant steady or even abrupt loss of the low-energy electrons which normally orbit the beam (being trapped by the positive beam potential), leading to space- charge blowup of the ion beam.
  • the ion extraction aperture cannot be significantly elongated beyond, say, 75mm (typical length is between 20mm and 50mm), since this requires a significant elongation of the plasma column. Bernas sources become unstable if the separation between cathode and anticathode is large, and larger cathode-anticathode separations requires a much higher arc discharge current in order to maintain a stable plasma, increasing power consumption.
  • Ion implanters of conventional design exhibit poor transmission of low-energy boron at energies below a few keV, with the result that these boron beam currents are too small to be cost- effective in manufacturing semiconductor chips using sub-0.18 micron design rules.
  • Next- generation implanters which have been long-in-planning, and which were introduced into the capital equipment market within the last few years incorporate a different principle of ion optics, attempting to solve this low-energy transmission problem.
  • a so-called "decei" i.e.
  • deceleration approach has been developed to allow the ion beam to be extracted and transported through the implanter at a higher energy than the desired implantation energy so that space charge effects are not so detrimental, and by introducing a deceleration stage late in the beam-line, but upstream from the wafer target, reducing the ions to the desired implant energy as the ions approach the wafer target.
  • the ion beam can be extracted and transported at 2 keV, but decelerated to 500 eV before the ions reach the wafer, achieving a much higher beam current than is obtainable with space-charge-limited beams in beam lines of a conventional, non-deceleration design.
  • Li is that of using molecular ion beams (having clusters of the dopant atom of interest) in conventional implanters that do not have a deceleration stage. Decaborane is one example of such a molecular material.
  • Chip manufacturers are currently moving to 300mm-diameter silicon substrates for fabricating Complimentary Metal-Oxide-Semiconductor (CMOS) memory and logic chips to reduce manufacturing costs over that attainable with 200mm substrates. Though such a shift in wafer size requires building new factories populated with new semiconductor manufacturing tools for processing the larger-diameter wafers, the potential cost reduction per die is about a factor of two.
  • CMOS Complimentary Metal-Oxide-Semiconductor
  • Ion Doping Over the last decade, implantation systems have been developed for the ion implantation of very large substrates from which flat-panel displays are manufactured. These "Ion Doping" systems deliver long ribbon ion beams to the glass or quartz substrates, which are typically mechanically scanned across a stationary ion beam.
  • the substrate dimension can be as large as a meter, and so the ion ribbon beam must likewise be long enough to ensure uniform doping (typically wider than the substrate).
  • large-volume In order to generate such long ribbon beams, large-volume
  • Bucket sources are used. Bucket sources in a rectangular or cylindrical geometry are chambers surrounded by an array of permanent magnets which provide magnetic confinement for the enclosed plasma through the creation of cusp magnetic fields.
  • the plasma is generated by one or more RF antennas which couple RF power to the plasma.
  • An extraction lens forms the ribbon beam from the large-diameter source.
  • Bucket sources are also particularly susceptible to the accumulation of deposits within their large ionization volume, hence the potential of severe cross-contamination between n- and p-type dopants requires the use of dedicated-use ion doping systems: the user must purchase one tool for p-type (e.g., boron from diborane gas) and a second complete tool for n-type (e.g., phosphorus from phosphene gas) dopants. This requirement not only doubles the customer's capital equipment costs, but substantially increases the risk of reduced product yield, since moving the substrates between systems requires further wafer handling steps and increased exposure of the substrates to atmosphere.
  • p-type e.g., boron from diborane gas
  • n-type e.g., phosphorus from phosphene gas
  • Implantation of large currents of H (a result of (5)) during the implantation process limits attainable dose rate and hence throughput, since the total ion current delivered to the substrate must be held below a certain limit to prevent overheating of the substrate.
  • the invention provides a method of ion implantation by producing a high brightness ion beam that extends along an axis by ionizing molecules of a gas or vapor, the molecules containing an implantable species.
  • the method includes providing an ionization chamber having a restricted outlet aperture; providing in the ionization chamber the gas or vapor at a pressure substantially higher than the pressure within an extraction region into which the ions are to be extracted external to the ionization chamber, by direct electron impact ionization by primary electrons, ionizing the gas or vapor in a region adjacent the outlet aperture of the ionization chamber in a manner to produce ions from the molecules of the gas or vapor to a density of at least about 10 cm " at the aperture while maintaining conditions that limit the transverse kinetic energy of the ions to less than about 0.7 eV, the width of the ionization volume adjacent the aperture, in which said density of ions is formed, being limited to a width less than about three times the corresponding width of the outlet aperture; and
  • Variations of this aspect of the invention may include one or more of the following features.
  • Conditions are maintained within the ionization chamber to prevent formation of a plasma.
  • the x-emittance of the beam upon extraction is less than about 70 mm-mrad x (Eo/E)' ⁇ (where E is the beam energy and
  • E 0 10keV
  • the beam noise of the stream of ions extracted through the outlet aperture is maintained below 1 %.
  • the field strength of any magnetic field present in the ionization chamber is less than 70 gauss.
  • the field strength of any magnetic field present in the ionization chamber is less than 20 gauss.
  • Any magnetic field present in the extraction region has a field strength of less than about 20 gauss.
  • the consumption of the gas or vapor is maintained less than 10 seem.
  • the primary electrons are introduced into the ionization chamber by electron optics in a directed beam generated external to the ionization chamber.
  • the molecules to be ionized respectively comprise or consist of at least two atoms of the implantable species.
  • the molecules are dimers.
  • the molecules comprise decaborane.
  • the method is conducted in a manner to cause the high brightness ion beam to have a low angular divergence at contact with the target of less than about one degree relative to the axis.
  • the step of implanting the ions of the transported ion beam into the target is employed to cause the high brightness, low divergence beam to form a drain extension region of a transistor structure on the target, in which the transistor structure comprises a source, a gate and a drain.
  • the target further comprises a well dopant and the gate of the transistor structure has a gatelength of 0.20 urn or less, the drain extension intersecting the gate at a lateral junction edge, the drain extension having a lateral abruptness of 3 nm/decade or less, wherein lateral abruptness is defined as the lateral extent required to accomplish a one decade change in the volume concentration of the implanted species at the lateral junction edge, the junction edge be g defined as the region where the volume concentrations of the implanted ions and the well dopant are equal.
  • the drain extension has a lateral abruptness of 2 nm/decade or less.
  • the ions of the high brightness, low angular divergence beam are implanted at opposite ends of the gate, sharply defining a channel beneath the gate. Sharply defining the channel beneath the gate includes sharply defining the length of the channel.
  • the invention provides an ion implantation system for implanting at a desired low implant energy into a target substrate comprising an ion source for producing molecular ions (based on a molecule having a cluster of atoms of the desired species to be implanted), an acceleration stage enabling the ions to be accelerated to a transport energy substantially greater than the desired implant energy, and prior to the target substrate, a deceleration stage for lowering the energy of the ions to the desired implant energy.
  • the ion source includes an electron gun for producing a beam of electrons at controlled energy adapted to ionize the molecules by direct electron impact ionization.
  • the energy of the electrons is between about 20eV and 500eV.
  • the gun is arranged relative to an ion chamber to cause the beam of electrons to transit the chamber to a beam dump.
  • a lengthy elongated ionization chamber has a correspondingly elongated slot-form extraction aperture, and electron optics following the aperture are constructed to reduce the length of the profile of the resultant beam, relative to the corresponding length of the extraction aperture, prior to the beam entering the analyzer.
  • the electron optics comprises a telescope.
  • the extraction aperture of the ionization chamber is of the order of about 6 inches in length.
  • the ion implantation system is constructed for batch operation, a set of wafers being mounted on a carrier that moves relative to the beam to effect scanning.
  • the ion implantation system is constmcted as a serial ion implanter.
  • the ion source has a vaporizer mounted integrally with an ionization chamber of the ion source, and temperature control system for the temperature of the vaporizer.
  • the ionization chamber electron gun and a beam dump to which the beam of electrons is directed are each thermally isolated from the ionization chamber.
  • the ion source is a decaborane source and the electron given is constructed to produce a beam of electron energy between about 50 and 1000 eV.
  • the ion source is a source of As 2 ions.
  • the ion source is a source of P 2 + ions.
  • the ion source is a source of B 2 + ions.
  • the ion source is a source of In + ions.
  • the ion source is a source of Sb 2 ions.
  • the invention provides a method of conducting ion implantation by use of the ion implantation systems of any of che other aspects of the invention.
  • the invention provides a method of ion implantation of ions at a desired implant energy on a target substrate.
  • the method including forming molecular ions (based on a molecule having a cluster of atoms of the desired species to be implanted, accelerating the ions to and transporting the ions at an energy substantially above the implant energy, and prior to implant upon the substrate, decelerating the ions to the implant energy.
  • Variations of this aspect of the invention may include one or more of the following features.
  • the ions are decaborane.
  • the ions are P 2 + ions.
  • the ions are B 2 ions.
  • the ions are In 2 + ions.
  • the ions are Sb 2 .
  • the invention provides an ion implantation system including an ion implanter having an ion. extraction system; an ion source capable of providing ions in commercial ion current levels to the ion extraction system, the ion source including an ionization chamber defined by walls enclosing an ionization volume, one of said walls defining an extraction aperture of a length and width sized and arranged to enable the ion current to be extracted from said ionization volume by said extraction system; an electron gun constmcted, sized and arranged with respect to the ionization chamber to project a directional beam of primary electrons along an axis through the ionization chamber; and a beam dump aligned with the electron gun to receive the directional beam, the beam dump being maintained at a substantial positive voltage relative to the emitter voltage of the electron beam gun, the axis of the beam path of said primary electrons extending in a direction generally adjacent to the aperture, the electron beam having a dimension in the direction corresponding to the direction of the
  • the ion implantation system further includes a vaporizer arranged to introduce vapor to the ionization volume.
  • the ion implantation system further includes a gas passage for introducing gas from a gas source to the ionization volume.
  • the ion implantation system further includes a control system enabling control of an energy associated with the primary electrons to ionize individual vapor or gas molecules principally by collisions with primary electrons from the electron gun.
  • the vapor comprises decaborane.
  • the directional beam is a ribbon ion beam.
  • the ribbon beam is of shorter extent than the length of the ion extraction aperture.
  • the ribbon beam is longer than the ion extraction aperture.
  • the ribbon beam is about the same length as the length of the ion extraction aperture.
  • the length of the aperture is at least as long as the length or width of a target substrate.
  • the invention provides a method of irradiating an extended panel of predetermined dimensions, the method comprising generating a ribbon ion beam with the ion implantation system of any of the other aspects of the invention, and directing the ribbon ion beam onto a surface of the extended panel.
  • the extended panel is a flat panel, the method including irradiating the flat panel across substantially an entire panel surface.
  • the ribbon ion beam produced is stationary, the flat panel being mechanically scanned across the beam to accomplish ion doping of the panel.
  • the length of the ribbon beam is longer than the flat panel substrate dimension orthogonal to the scan direction.
  • the present invention reduces or eliminates the above-described problems associated with conventional ion implantation sources.
  • the disclosed solution has the following features which result in an exceptionally low-emittance ion source, ideally suited to the requirements of next-generation ion implanters: 1) There is no plasma, hence no plasma potential.
  • the ion density is low (on the order of 10 U cm “2 or less), reducing coulomb scattering between ions, and the resultant ion energy spread, to a negligible degree.
  • Molecular ions which are typically dissociated in an arc discharge, are preserved to a high degree.
  • a large percentage of the PH 3 + ion can be produced (e.g., 50% of total extracted current).
  • decaborane (B ⁇ 0 H ⁇ ) can be used to produce a large fraction (>70%) of the decaborane ion, B ⁇ 0 H x + .
  • This ion is very important for implanting boron at very low ( ⁇ lkeV) energies, and its use can greatly increase the implanted boron dose rate.
  • Plasma-based ion sources such as the Enhanced Bernas source cannot produce decaborane ions since plasma effects and elevated wall temperature cause dissociation and subsequent loss of the decaborane molecule.
  • the dimension of the ion extraction aperture is scalable over a broad range, from 12mm to 300mm or greater, for example. This leads to greater extracted currents, and improved compatibility with next-generation ion implanter designs. In fact, this feature enables ion implanter designs not possible with previous ion source designs.
  • I provide a technique for obtaining a great increase in low-energy beam current and higher quality and higher production rates for low energy ion implantation, by novel combination of acceleration/deceleration ion implantation with molecular ions as the species being implanted.
  • I realize conditions that alleviate the aforementioned non-uniformity and dosimetry problems that have been seen as being inherent in acceleration/deceleration ion implantation systems.
  • an ion beam is formed from a compound which contains multiple atoms of the dopant of interest (for example, B, As, P, Sb, or In) to produce both a shallower implantation profile and a higher effective dose rate than possible with conventional monomer (i.e., single atom) ion implantation.
  • the dopant of interest for example, B, As, P, Sb, or In
  • monomer i.e., single atom
  • a 5 keV, 1 mA B !0 H x + ion beam is process- equivalent to a 500 eV, 10 mA B + ion beam.
  • the resulting implantation depth and dopant concentration (dose) of these two methods have been shown to be equivalent, but the decaborane implantation technique has significant advantages. Since the transport energy (mass x velocity 2 ) of the decaborane ion is ten times that of the dose-equivalent boron ion, and the ion current is one-tenth that of the boron current, the space charge forces responsible for beam blowup and the resulting beam loss are much reduced relative to monatomic boron implantation.
  • Equation (1) has a sufficient degree of validity for the deceleration stage to enable comparison of its performance with molecular ions and with monomer ions.
  • equation (3) can also be used to evaluate the deceleration stage.
  • a conventional acceleration/deceleration implanter can deliver up to about 2 mA of boron monomer to the wafer at an implantation energy of 500 eV, albeit with the significant non- unifo ⁇ nity and dosimetry problems mentioned; but by the novel substitution of decaborane (Bi 0 H x + ) for boron monomer in the acceleration/deceleration ion implanter, made possible in a production worthy system by using the techniques described in my above referenced patent applications the same dose rate can be accomplished by implanting 0.2 mA of decaborane at 5 keV.
  • This novel accel/molecular ion combination (acceleration/deceleration ion implantation, using a beam of molecular (cluster) ions), can be employed to increase the low-energy boron dose rate to new proportions, never before possible in ion implantation.
  • a 4: 1 deceleration extracting more than 3 mA of decaborane at 20 keV, and decelerating the decaborane ions down to 5 keV (a 4: 1 deceleration) to achieve a dose rate of up to 30 mA at an effective implantation energy of 500 eV!
  • Such a large effective boron dose rate easily enables high dose implants such as PMOS source/drain extensions at a mechanical throughput limit in excess of
  • Such an acceleration deceleration system can also be used for dimer implantation. Ion beams consisting of dimers (typically not heretofore recognized as suitable ion implantation materials), can be utilized to reap above-described benefits with other dopant species, using the production-worthy vaporization and ionization techniques provided in my above-referenced patent application.
  • Ion beams of, for example, As 2 + , P 2 + , B 2 + , In2 + , or Sb 2 + can be formed, and according to my realization of the beneficial applicability of equation (3) to the deceleration stage, can yield a factor of 4 improvement in the decelerated beams, increasing maximum dose rate and reducing non-uniformity and dosimetry problems in the manner previously described for decaborane implantation.
  • Table la below lists materials suitable for dimer implantation as applied to the present invention.
  • such dimer compounds are vaporized at temperatures below their melting points, and the vapor is ionized principally by impact action of a broad electron beam transiting a volume containing the vapor.
  • the use of the disclosed systems for acceleration/deceleration implantation of decaborane, etc. enables new processes in semiconductor manufacturing.
  • Another aspect of the invention is the realization that one or more costly steps can be eliminated from many implant sequences, or their cost reduced, or the quality of the implant sequence improved, by using in the sequence, the combination decel/molecular ion method and system described above.
  • such a system can be used for the amelioration of transient enhanced diffusion (TED).
  • the resulting damage profile can obviate or reduce the need for a separate pre-amorphization implant, eliminating or reducing the cost of this expensive step in the manufacturing process.
  • boron implants at production-worthy wafer throughputs with acceleration/deceleration systems employing decaborane ions can be performed for both 200mm and 300mm substrates at energies as low as lOOeV. Since TED effects will be further reduced at these extremely low implantation energies, shallower p-n junctions can be fabricated than heretofore possible.
  • heat sensitive ion source materials By ionizing the molecule to produce the molecular ions by primary electron-impact, heat sensitive ion source materials can be employed, especially solid decaborane and the dimers mentioned above.
  • the invention makes possible: 1) Production-worthy wafer throughput for boron implants using decaborane ions at implantation energies between lOOeV and IkeV, for 200mm and 300mm wafers;
  • Electron-Beam Ion Source for Ion Doping Advantages
  • the present invention can be implemented into an ion doping system as a replacement for the above-described bucket source.
  • the disclosed ion doping system offers the following advantages:
  • the electron-beam ion source is a small-volume source, and is elongated in only one direction, the desired length of the ribbon beam.
  • the present invention enables the efficient production of molecular ions such as decaborane, which offer significant advantages in throughput and efficiency in ion doping applications over, for example, diborane.
  • the present invention also enables a single icn doping system to be constmcted with two ion sources, one for p-type dopants, and one for n-type dopants.
  • the ion doping system can switch between the two ion sources while processing a lot of substrates. This relieves the high equipment cost of two dedicated systems, halves costly fab floor space, and reduces the risk to product yield which is presently a consequence of prior art ion doping systems.
  • FIG. 1 is a schematic view of a prior art source for ion implantation.
  • FIG. 2 is an enlarged schematic view of a portion of the prior art source for ion implantation of Fig. 1.
  • FIG. 3 is a diagrammatic view of an ion implantation source of the present invention, shown in a cutaway view down the center axis of the source, so the internal components can be seen.
  • FIG. 4 is a magnified diagrammatic view of the ionization chamber of the ion implantation source of Fig. 3.
  • FIG. 4a is a diagrammatic view of Fig. 4a of a preferred embodiment of the electron optics of the ion implantation source of Fig. 3.
  • FIG. 5 is a schematic diagram of the biasing scheme of power supplies which provide voltage to the ion implantation source of FIG. 3.
  • FIGs. 6a and 6b are diagrammatic cross-sectional side and top views, respectively, of an alternate ionization chamber for an implantation source of the present invention.
  • FIGs. 7a and 7b are diagrammatic perspective and top views of an apparatus for improving the focus of the electron beam of the ionization chamber of Fig. 6.
  • FIG. 7c is a Fig. 7C is a schematic illustration of dimensional geometry of the apparatus Figs. 7a and 7b.
  • FIG. 8 is a diagrammatic view of the apparatus of Figs. 7a and 7b incorporated into an ion implantation source similar to that depicted in Fig. 3.
  • FIG. 9 is a diagrammatic top view of an alternative ionization chamber of the present invention.
  • FIG. 10 is a diagrammatic view similar to that of Fig. 3 illustrating the ionization chamber of Fig. 9 incorporated into an ion implantation source.
  • FIG. 11 is a general schematic illustration of ion implantation.
  • FIG. 12 is a general schematic illustration of an ion source emitting an ion beam.
  • FIG. 13 is a general schematic illustration of ion implantation forming a drain extension adjacent a gate on a target substrate.
  • FIG. 14a is a general schematic illustration of a gate edge and drain extension layer formed by boron ion implantation of a previously phosphorus doped silicon substrate while Fig.
  • 14b is a graphical illustration of boron and phosphoras ion concentrations taken along section AA of Fig. 14a and charted on a logarithmic scale.
  • FIG. 15 is a graphical illustration of the lateral straggle exhibited during ion implantation as a function of the incident angle of ion approach to the target and the ion implantation energy.
  • FIG. 16a and 16b are schematic illustrations of predicted lateral straggle exhibited during ion implantation for normal incidence and 7 degree nonparallel incidence angles, respectively.
  • FIG. 17 is a view similar to that of Fig. 4, illustrating an alternative ion implantation source of the present invention for producing extended ribbon beams.
  • FIG. 18a is a diagrammatic view of a dual ion source system of the present invention for enabling both n - and p-type dopants to be implanted in a single ion doping tool.
  • FIG. 18b is a diagrammatic view of the ion source of Fig. 18a implanting ions onto a flat panel substrate.
  • FIG. 19 is a diagrammatic view of a doping tool for flat panel displays into which the arrangement of Fig 18a can be incorporated.
  • FIG. 19a is a graphical illustration of a cracking pattern for decaborane.
  • FIG. 20 is a side cross-sectional view of an acceleration/deceleration ion implantation system, of the kind having a fixed beam line, wafers being carried on a spinning disk.
  • FIG. 21 is a side view of an ion source, suitable for decaborane, etc., retro fittable into the ion source housing of a pre-existing acceleration/deceleration ion implantation system, such as shown in Figure 1.
  • FIG. 22 is a side view of another ion source suitable for decaborane, etc., used in an acceleration/decele ation ion implantation system, featuring a highly elongated extraction aperture for producing an initial beam of ions having a highly extended cross-section;
  • FIG. 23 is a view, similar in kind to Figure 2, of an ion source capable of magnetically confining an electron beam passing through the ionization chamber;
  • FIG. 24 is a diagrammatic representation of ion optics combined with an ionization chamber from which a beam of ions of highly extended cross-section is extracted.
  • FIG. 25 is an unsealed perspective view of a lens and lens holder combination of the present invention.
  • FIG. 26 is an unsealed cross-sectional perspective view of the electron gun of Fig. 27.
  • FIG. 27 is an unsealed perspective view of an electron gun of the present invention.
  • Transverse kinetic energy The component of kinetic energy transverse to the direction of beam propagation, t.e., the direction of the extraction field. ET - '2 mvf , where vr is the component of velocity orthogonal to the beam direction.
  • Beam noise Fluctuation in beam current intensity as a percentage of average current level, above a frequency of 100Hz.
  • Emittance ( ⁇ ): The total emittance ⁇ is the product of the two emittances, ⁇ ⁇ ⁇ ⁇ y , where in the case of vertically-oriented slot lenses, ⁇ is the emittance in the horizontal direction (along the slot width), and ⁇ y is the emittance in the vertical direction.
  • ⁇ and ⁇ y are defined along the two orthogonal directions normal to the direction of beam propagation.
  • the emittance components e are defined as follows:
  • ⁇ x and ⁇ y are the beam divergence half-angles into the x- and y-directions, respectively; and ⁇ x and ⁇ y are the beam dimensions in the x- and y-directions, respectively, and the emittance variables are all measured at the same z-position along the direction of propagation, and are chosen to contain at least 70% of the beam current.
  • Emittance components e are expressed in units of mm-mrad or in cm-deg.
  • B is the beam current I divided by total beam emittance.
  • B - 17 ⁇ ⁇ ⁇ y Plasma is defined as a region containing the ionization volume which is substantially electrically neutral, containing electrons and ions contributing approximately equal charge densities opposite in sign.
  • a conventional ion source used in ion implantation is shown in Figs. 1 and 2.
  • the Enhanced Bernas source is commonly used in high current, high energy, and medium current ion implanters.
  • the ion source a is mounted to the vacuum system of the ion implanter through a mounting flange b which also accommodates vacuum feedthroughs for cooling water, thermocouples, dopant gas feed, N 2 cooling gas, and power.
  • the dopant gas feed c feeds gas into the arc chamber d in which the gas is ionized.
  • dual vaporizer ovens e, fin which solid feed materials such as As, Sb 2 O 3 , and P may be vaporized.
  • the ovens, gas feed, and cooling lines are contained within a cooled machined aluminum block g.
  • the water cooling is required to limit the temperature excursion of the aluminum block g while the vaporizers, which operate between 100C and 800C, are active, and also to counteract radiative heating by the arc chamber d when the source is active.
  • the arc chamber d is mounted to, but in poor thermal contact with, the aluminum block g.
  • the ion source a is an arc discharge source, which means that it operates by sustaining a continuous arc discharge between an immersed hot-filament cathode /? and the internal walls of the arc chamber d. Since this arc can typically dissipate in excess of 300W, and since the arc chamber d cools only through radiation, the arc chamber can reach a temperature in excess of 800C during operation.
  • the gas introduced to arc chamber d is ionized through electron impact with the electron current, or arc, discharged between the cathode h and the arc chamber d.
  • a uniform magnetic field i is established along the axis joining the cathode h and an anticathode y by external magnet coils 90, shown in Fig. 2, to provide confinement of the arc electrons.
  • An anticathode or repeller electrode./ ' located within the arc chamber d but at the end opposite the cathode h) is typically held at the same electric potential as the cathode h, and serves to reflect the arc electrons confined by the magnetic field / back toward the cathode h and back again repeatedly.
  • the trajectory of the thus-confined electrons is helical, resulting in a cylindrical plasma column between the cathode h and anticathode./.
  • Fig. 2 shows a possible electron trajectory between cathode and anticathode, which is helical due to the confining magnetic field B.
  • the plasma density within the plasma column is typically high, on the order of 10 I 2 per cubic centimeter; this enables further ionizations of the neutral and ionized components within the plasma column by charge-exchange interactions, and also allows for the production of a high current density of extracted ions.
  • the cathode h is typically a hot filament or indirectly- heated cathode, which thermionically emits electrons when heated by an external power supply.
  • the anticathode are typically held at a voltage V c between 60V and 150V below the potential of the ionization chamber d.
  • High discharge currents D can be obtained by this approach, up to 10A.
  • the plasma develops a sheath adjacent to the surface of the cathode h (since the cathode h is immersed within the arc chamber and is thus in contact with the resulting plasma).
  • This sheath provides a high electric field to efficiently extract the thermionic electron current for the arc; high discharge currents can be obtained by this method.
  • the hot cathode h also radiates power to the arc chamber d walls.
  • the arc chamber d provides a high temperature environment for the dopant plasma, which also boosts ionization efficiency relative to a cold environment by increasing the gas pressure within the arc chamber d, and by reducing condensation of dopant material on the hot chamber walls. If the solid source vaporizer ovens e or/are used, the vaporized material feeds into the arc chamber cl through vaporizer feeds k and / , and into plenums m and n.
  • the plenums serve to diffuse the vaporized material into the arc chamber d, and are at about the same temperature as the arc chamber cl. Radiative thermal loading of the vaporizers by the arc chamber also typically prevents the vaporizers from providing a stable temperature environment for the solid feed materials contained therein below about lOOC. Thus, only solid dopant feed materials that both vaporize at temperatures >100C and decompose at temperatures >800C (the nominal wall temperature of a Bernas source) can be vaporized and introduced by this method.
  • Fig. 3 shows one embodiment of the present invention, certain aspects of which are also described in the above-referenced patent applications, shown in a cutaway view down the center axis of the source, so the internal components can be seen.
  • the external vaporizer 28 is comprised of vaporizer body 30 and crucible 31 in which solid source feed material 29 such as decaborane resides. Resistive heaters are imbedded into the vaporizer body 30, and water cooling channels 26 and convective gas cooling channels 27 are in intimate contact with vaporizer body 30, and are used in combination to provide a uniform operating temperature above room temperature to the crucible 31.
  • Thermal conduction between the crucible 31 and the temperature-controlled vaporizer body 30 is provided by pressurized gas introduced by a gas feed 41 into the crucible-vaporizer body interface 34, while the temperature of the vaporizer housing is monitored through a thermocouple.
  • Vaporized decaborane or other vaporized material 50 is fed through vaporizer exit channel 39 and through heated gate valves 100 and 1 10 into the ionization chamber 44 through conductance channel 32.
  • the source mounting flange 36 and source block 35 are also temperature controlled to a temperature near or above the vaporizer temperature.
  • the ion source gas delivery system includes two conduits that feed the ionization chamber from two separate sources.
  • the first is a small diameter, low-conductance path from a pressurized gas source such as a gas cylinder.
  • the second is from a high-conductance path from a low-temperature vaporizer, which vaporizes solid material.
  • the gas delivery system maintains a gas concentration of a few millitorr in the ionization chamber.
  • the vaporizer maintains tight temperature control of its surfaces that contact the solid material, in order to maintain stable concentrations of gas in the ionization chamber.
  • the vaporizer assembly, 30a is comprised of a heated and cooled body, 30 and a removable crucible, 34. Access to the cmcible is made possible by removing the end plate, 28 on the back of the vaporizer.
  • the crucible Once the crucible is removed from the vaporizer, it can be recharged by removing its cover, 34b that is elastomerically sealed to the end of the cmcible and raising the grate, 34a, which contains the solid, 29.
  • the cmcible After recharge the cmcible is inserted in the body and a gas seal is made to the bore, 39, at the front end of the body. This bore, 39 is the exit for the vaporized gas.
  • the mechanical fit between the crucible and the body is precisely maintained to achieve temperature unifomiity of the cmcible.
  • the gap is filled with a gas (cool gas) to facilitate thermal transfer between the two surfaces. The cool gas enters the gap through an end plate fitting, 28a.
  • Temperature control is performed using PID closed loop control of resistive elements that are imbedded in the body.
  • the body material is highly thermally conductive to maintain temperature unifomiity.
  • a small thermal leak is intentionally applied to the body to create stability in the control system using external air channels.
  • the air channels 27 pass around the vaporizer body and are covered by plates that are not shown. Air is ducted to the channels within a manifold system, which is integrated into the vaporizer end plate, 28, to provide slight convective cooling.
  • the air is fed through the inlet after proceeding past a metering valve used for flow control.
  • the air discharges from the assembly into house exhaust.
  • there are also provisions for liquid cooling the vaporizer body In addition to the air-cooling, there are also provisions for liquid cooling the vaporizer body.
  • Coolant is ducted through a 1 -meter long 6 mm diameter bore that travels back and forth throughout the body. Connections are made through fittings mounted to body ports, 26.
  • the liquid cooling provides rapid cooling of the vaporizer assembly to provide quick service turnaround and also to change solid species.
  • ionization chamber 44 is in good thermal contact with block 35 through pressurized gas conducted through a conduit into the interface 36 between ionization chamber 44 and block 35. Gaseous materials, for example process gases such as PH 3 which are held in gas cylinders, can be fed into the ionization chamber 44 through gas feed 33.
  • the gas pressure within the ionization chamber 44 is approximately 1 10 "3 Torr, while the region external to the ionization chamber 44 is approximately 1 ⁇ 10° Torr.
  • the electron beam 125 produced by electron gun 42 enters the ionization chamber 44 through electron beam entrance aperture 45, and transits the ionization chamber 44 parallel to and in close proximity to the ion extraction aperture slot 46 contained within ion extraction aperture plate 80, exiting the ionization chamber 44 through electron beam exit aperture 47 and intercepted by beam dump 70.
  • Beam dump 70 is water cooled through water cooled holder 130, which carries electrically resistive (>10 M ⁇ -cm) de-ionized water.
  • the beam dump is electrically isolated by insulating standoff 56, so that the e-beam current intercepted by beam dump 70 can be monitored externally at HV water feedthrough 170.
  • the ion extraction aperture 80 is electrically isolated from ionization chamber 44 by an electrically insulating, thermally conductive gasket, and biased to a negative potential relative to ionization chamber 44. This bias of ion extraction aperture 80 establishes an electric drift field which attracts the ions towards the aperture 80, and provides a drift velocity to the otherwise thermal ions, enabling higher extracted ion currents than possible in the absence of a drift field.
  • Typical dimensions for some of the ion source structures are: a 7.5mm diameter round electron entrance aperture 45, a 10mm diameter electron beam exit aperture 47, a 25mm diameter by 65mm long electron gun assembly 42, and a 67mm tall ionization chamber 44. Cutout 48 in the gun housing 142 enable the portion of the electron gun assembly 42 which contains the cathode to be exposed to the vacuum environment of the source housing, extending the service lifetime of the cathode 143.
  • the electron gun optics consist of the cathode 143, beam shaping electrode 145, first anode 147, focus electrode 149, second anode 150, and exit lens 152.
  • This lens system extracts a space charge-limited electron current, and the downstream four-element lens comprised of first anode 147, focus electrode 149, second anode 150, and exit lens 152 both collimates and accelerates the electron beam to the desired final energy.
  • Thermionic electrons are emitted by the hot cathode 143, which may be constmcted of refractory metal or LaB 6 , for example, and may be heated directly or indirectly.
  • the electrons are accelerated across the first anode gap in a Pierce geometry, the equipotentials between cathode 143 and first anode 147 being shaped by the conical beam-shaping electrode 145 and first anode, which maximizes the output current by allowing for space-charge effects. They can be extracted at up to 5 keV, and decelerated to a final energy which is variable between about 70eV and 2000eV by the downstream optics.
  • Fig. 4a shows a preferred embodiment of the electron optics, in which the second anode 150'and exit lens 152 are shaped according to a Pierce geometry.
  • This Pierce geometry is inverted from the geometry of the Pierce extractor 144 defined by lenses 145' and 147', so that the lens 153 defined by the concatenation of 150' and 152' can be efficiently used as a deceleration lens, introducing a low-energy (e.g., lOOeV or less), generally collimated electron beam into the ionization chamber 44.
  • a low-energy e.g., lOOeV or less
  • the incorporation of a "reverse Pierce" geometry for the deceleration lens 153 helps correct for a space charge-limited electron beam, so that a higher and more collimated low energy electron flux may be introduced into the ionization chamber 44 than otherwise possible.
  • the electron beam may be extracted into lens 147' at IkeV, propagate ini J lens 150' at 500 eV, and decelerate to lOOeV within lens 152', enabling a higher electron current than if the beam were extracted at lOOeV at the extractor 144.
  • the space charge forces present within the electron gun optics and especially within the deceleration lens 153 can be further ameliorated by the intentional production of positive ions along the electron beam path.
  • the positive space charge of the ions compensates for the negative space charge of the electrons, reducing the net coulomb repulsion between electrons within the beam, thus reducing beam blow-up and enabling higher electron currents than otherwise possible.
  • This is an effective means for reducing space charge forces: since the ions ' are heavy and slow-moving, their depletion rate is low, and a reasonable charge balance can be maintained if the rate of ion production is similar to the ion loss rate.
  • the ion production rate at any point in the electron beam path is proportional to the local pressure of ionizable gas at that point.
  • PI is highest, approximately 10 "3 Torr, so that space charge compensation is very effective. This ensures uniform propagation of the electron beam within the primary ionization region, ensuring good uniformity of the ion density adjacent to the ion extraction aperture 46, and hence a uniform ion density in the extracted ion beam.
  • P4 is the ambient pressure of the source vacuum housing (nominally 10 '5 Torr or less), and because the gas within the ionization chamber 44 propagates through electron beam entrance aperture 45', a large pressure gradient is established between these extrema. Since the deceleration lens 153 is close to 45', P2 is relatively high, and space charge repulsion is reduced substantially.
  • the region P3 is adjacent to the cathode 143', hence it is desired to maintain P3 close to P4, i.e., at a sufficiently low pressure that the arrival rate of decaborane molecules to the cathode surface is less than the desorption rate of decaborane byproducts which can deposit on the cathode surface. This is particularly important for low- temperature cathodes such as LaB 6 , and for field emitter cathodes. In general, refractory metal cathodes operate at sufficiently high temperatures that deposition of cracked process gases is not a problem.
  • Fig. 5 shows the biasing scheme of power supplies which provide voltage to the electron gun elements and to the ion source, and dedicated meters.
  • the symbols used in Fig. 5 have the following significance:
  • Vc cathode V
  • Vc cathode V
  • V2 anode V
  • V3 focus V
  • V4 exit lens V: 0-2 keV pos @ 50 mA.
  • Biases exit lens relative to cathode and determines the energy at which electron beam leaves tetrode consisting of cathode, anode, focus, and exit elements.
  • VD beam dump V
  • Ml measures electron current leaving electron gun.
  • Another embodiment of the present invention is suited particularly for ion implantation systems which extract ions from a slot between one and three inches long.
  • the embodiment provides an efficient design for the generation of high currents of ions (e.g., 5 mA of each dopant beam is achievable).
  • a filament approximately the same length as the ion extraction slot provides a one-dimensional "sheet" of low-energy electrons.
  • the filament is oriented parallel to the ion extraction aperture slot, as also indicated in Fig. 6.
  • An electron beam, as contained within the first embodiment, is not required.
  • This geometry has two very significant advantages: 1) A high electron current is attainable, and 2) low electron injection energies can be achieved while still delivering high electron currents.
  • a corollary to 2) is that, since ionization cross sections at approximately 100 eV are a factor of 5 greater than, e.g., 2 keV, very significant ion cuirents can be achieved. 3) Finally, no magnetic field is used, and magnetic confinement is not necessary to keep the electron beam from diverging, since the electrons are extracted as a one-dimensional beam, significantly reducing space-charge effects.
  • Fig.6a shows a simple design for an ion source in which the electrons are injected into an ionization chamber along the same direction as the extracted ion beam.
  • a long filament 170 is heated through filament leads 171 and DC power supply 172 to emit electrons 173 along the length of the filament.
  • the filament may be a ribbon, or a thick tungsten wire, for example.
  • the filament 170 is biased below the potential of the ionization chamber 175 by power supply
  • FIG. 6a A top view of this geometry is shown in projection D-D, Fig. 6b.
  • the extended electron beam will ionize the gas within the ionization chamber 175; the ions are extracted through ion extraction aperture 176 within the ion extraction aperture plate 177.
  • the advantage of the design of Fig. 6a is that high electron currents can be generated by the long filament 170 and focused uniformly along the ion extraction aperture 176.
  • the ion beam thus produced should be uniform, since the electron path length through the gas within the ionization chamber 175 is the same along the length of the ion extraction aperture 176. Also, since the electron beam is elongated in the vertical dimension, it is less susceptible to space charge blow-up, and thus higher total electron currents of a given energy can be delivered into the ionization chamber 175 than with a small, round electron beam.
  • a grid electrode 179 with a long rectangular slot can also be inserted between filament 170 and chamber entrance aperture 174 to improve focusing of the electron beam.
  • the filament can be further remoted, removing evaporated material from line-of-sight with the ionization chamber.
  • This embodiment is illustrated in schematic Fig. 7a.
  • the filament 170 is remoted away from the ionization chamber 175, and the electron beam is propagated through a lens comprised of a series of long, rectangular apertures.
  • FIG. 7a shows a triode arrangement in which filament 170, beam shaping electrode 178, grid electrode 179, and ionization chamber entrance aperture 174 are all held at different potentials, but this arrangement is not limited to a triode; more lenses can be added as needed.
  • V E is a bias voltage on the ion extraction aperture 177, which establishes a constant drift field E as also indicated in Fig. 7b.
  • Fig. 8 shows the triode filament injection embodiment of Figs. 7a and 7b incorporated into an ion source similar to that depicted in Fig. 3.
  • Fig. 8 shows a detail of the ionization chamber 175 and triode 200, and shows the triode contained within the source block 35.
  • the filament is remoted to a lower pressure location (by directly exposing it to ambient vacuum within the source vacuum housing through vacuum conductances 190, for example), enhancing filament life; 2) remoting of the filament prevents contamination of the ionization chamber by the filament material; 3) the lens system facilitates accel-decel transport of the electron beam, enabling higher electron currents to be achieved within the ionization chamber. 4) The radiative heat load produced by filament 170 is conveniently conducted away in large part by the water-cooled source aluminum block 35. 5) The electron beam can be made to focus on the ion extraction aperture, resulting in a high ion extraction efficiency, and small lateral momentum.
  • Fig. 7b and Fig. 8 results in a low-emittance, high-brightness source of ions, enabling improved control of ion beam propagation through the implanter, and much improved spatial and angular uniformity of the ion beam on the wafer substrate, relative to the prior art.
  • Fig. 7c shows a tetrode geometry similar to the triode of Fig. 7b, but also having dimensional information. Dimensions are given in mm. The tetrode enables true zoom capability, so that the focusing properties of the lens system are somewhat independent of the final electron energy.
  • Representative lens voltages are shown in Table A, given for an object distance of 4 lens aperture diameters ("D") and an image distance of 6D from the reference plane of the tetrode.
  • These lens tunings inject lOOeV electrons into the ionization region by extracting the electrons at energies ranging from 300eV to lOOeV. These tunings assume that the electrons have lOOeV upon entering the ionization chamber.
  • these lenses are one-dimensional, they do not focus or confine the beam in the long (y-direction) dimension of the slot.
  • the electron beam expands along y due to space-charge repulsion, resulting in beam loss through vignetting.
  • Space charge repulsion and beam loss can be much reduced by allowing positive ions to be produced along the beam path by electron-impact ionization.
  • the positive space charge of the ions compensates for the negative space charge of the electrons, reducing the net coulomb repulsion between electrons within the beam, thus reducing beam blow-up and enabling higher electron currents than otherwise possible.
  • lens element 180' is always maintained at a substantially positive potential relative to V 0 , the ionization chamber potential.
  • the creation of positive ions in the beam path is an effective means for reducing space charge forces: since the ions are heavy and slow-moving, their depletion rate is low, and a reasonable charge balance can be maintained if the rate of ion production is similar to the ion loss rate.
  • the ion production rate at any point in the electron Deam path is proportional to the local pressure of ionizable gas at that point. For example, consider local gas pressures P1>P2>P3>P4 shown in Fig.
  • P4 is the ambient pressure of the source vacuum housing (nominally 10 "5 Torr or less), and because the gas within the ionization chamber 175' propagates through electron beam entrance aperture 174", a large pressure gradient is established between these extrema. Since the deceleration lens 153 is close to 45', P2 is relatively high, and space charge repulsion is reduced substantially.
  • the region P3 is adjacent to the cathode 143', hence it is desired to maintain P3 close to P4, i.e., at a sufficiently low pressure that the arrival rate of decaborane molecules to the cathode surface is less than the desorption rate of decaborane byproducts which can deposit on the cathode surface. This is particularly important for low-temperature cathodes such as LaB 6 , and for field emitter cathodes. In general, refractory metal cathodes operate at sufficiently high temperatures that deposition of cracked process gases is not a problem.
  • Fig. 9 shows the top view of a hybrid source which incorporates the design and operating features of the first two embodiments. It is analogous to Fig. 7b, but shows to intersection of the round electron beam 210 (shown going into the plane of the drawing) with the ribbon electron beam 173 from the long filament emitter-based triode 200.
  • this third embodiment has both an axially-positioned, Jong filament emitter and a longitudinal electron beam.
  • Fig. 10 shows a detail of the present embodiment incorporated into the ion source, which is a modification of Fig. 3.
  • Fig. 10 further incorporates a high-temperature vaporizer 220 enclosed by the source block 35.
  • Vaporizer 210 is positioned within source block 35 such that it does not interfere with the vapor conduit 32 or the gas feed 33 of Fig. 3. Vapor is conducted from vaporizer 220 to the volume within ionization chamber 175 by vapor conduit 225, shown in Fig. 10.
  • the purpose of this second vaporizer 220 is to introduce vapors from solid dopant compounds such as elemental P, As and Sb, and also Sb 2 O 3 and InCl 3 , for example.
  • solid dopant compounds such as elemental P, As and Sb, and also Sb 2 O 3 and InCl 3 , for example.
  • Figs. 9 and 10 The features and advantages of the embodiment of Figs. 9 and 10 are: 1) high ion beam currents can be obtained; 2) lack of a magnetic field, combined with a high electron density near the extraction aperture, and the creation of ions in a path along the drift field direction, result in a very low-emittance source of ions.
  • the technology disclosed herein has significant advantages when applied to the process of implanting the drain extension of a transistor that will result in a higher performance device.
  • the basic concept is that the disclosed sources will provide a beam with low emittance, which in turn will create a junction with reduced lateral abruptness, which gives the transistor higher performance in exactly the ways needed for scaled technologies.
  • the transistor with a more abrupt lateral junction will have improved performance in several ways.
  • the junction region contributes a component to the series resistance, and the more abrupt junction will contribute less series resistance, which in turn increases the drive current and transconductance of the transistor.
  • the abrupt junction also reduces subthreshold conduction, which is very important as the supply voltage is reduced.
  • One benefit of this feature is the reduction of off-state current, which would reduce the static current of the entire circuit, extending battery life, for instance. Improved subthreshold characteristics also allow for more freedom in the overall design of the technology, allowing the reduction of the threshold voltage without increasing static current. This allows a direct tradeoff between circuit performance (improved by lower threshold voltages) and the standby power (which is improved by reducing static current). These features are increasingly important as the supply voltage is reduced, as it is with each generation of technology beyond 0.25um.
  • a beam of ions is produced and transported to an impact target, with some degree of energy which causes the ions to enter the target material and penetrate to some depth.
  • the target is typically a silicon wafer 1 where a transistor or other structure is being fabricated.
  • the ion beam 2 is directed at the silicon wafer 1 to intentionally place the ions into the silicon into some feature that contributes to the creation of a functional device.
  • the ions do not all travel along the same path, but rather create a distribution of atoms within the silicon, as shown by the depth profile 5 in figure 1.
  • the depth profile is generally characterized by two parameters: the projected range 6 which is the average depth of penetration, and the straggle 7 which is a measure of the variation in depth of the atom distribution. These parameters depend strongly on the conditions of the ion beam being used for the implantation process, with heavier ions or those with lower energy producing shallower profiles. Generally analysis of ion implantation processes only consider an ion beam 2 which is entirely parallel, which allows direct computation of the expected profile, projected range and straggle. However, ion beams are not entirely parallel. There always exists some fraction of the beam that is not parallel, such as the illustrated nonparallel ion 4. Nonparallel ion 4 will impact the silicon substrate at a nonzero angle of incidence 3. In general, all ion beams contain non parallel components, the magnitude of which depend strongly on the beam conditions, the implantation equipment details, and the tuning of the implanter. Some of the implications of the various ion angles contained in the ion beam are discussed below.
  • d e emittance 8 can be measured to characterize the angular distribution of beam 10, as illustrated in Figure 12. This parameter is a measure of the total angular distribution of the beam as it is extracted from the source, and is usually expressed in terms of the solid angle.
  • divergence 11 refers to the maximum angle of the beam relative to the beam axis.
  • each ion When the beam reaches the target, each ion has an angle of incidence as described above, because the target might be tilted relative to the beam axis for specific process effects.
  • beam divergence 11 generates a range of incident angles when the beam reaches the target. It is important to note that these terms and parameters are limiting: the beam actually contains ions traveling at many angles, and there is a distribution function which would describe the beam density as a function of divergence angle. Still, these terms are useful because much of the following discussion relates to the ions with the largest angles.
  • One exemplary ion implantation process discussed herein is the drain extension implant.
  • the drain extension implant is very important because it forms the stmctures that define the most important aspects of transistor functionality.
  • the gate electrode 13/ gate oxide 12 stack is patterned to create a well defined gate edge 14. The feature masks the ion beam 2 which is going to form the drain extension. Where the gate stack has been removed, the implant penetrates into the silicon and forms an implanted layer which is the drain extension 15, but where the gate stack has not been removed the implant penetrates into the gate electrode
  • Scaling is the process by which all dimensions are reduced so that more transistors can be placed in a given silicon area, reducing the cost per function.
  • ion implantation the result is a constant need to reduce the ion energy, because reducing dimensions also includes reducing the ion depth dimension, and this is accomplished by reducing the implant energy.
  • many aspects of semiconductor technology must continuously develop new methods, equipment and materials to keep up with the industry demands for scaling, and ion implantation is included. This scaling has progressed sufficiently to create a major issue for ion implantation: challenge to make the junctions shallow enough to meet scaling requirements.
  • the most severe issue relates to the formation of the p-type junctions since the boron atoms used are light and tend to penetrate deep into the silicon.
  • the p-type drain extension is the most challenging implant because it uses the lowest energy boron beam. It is noted that there are fundamental problems with ion implanters delivering high current beams at low energy, and the methods being used to improve the low energy boron beam currents have adverse effects on the quality of the beam delivered, especially its divergence.
  • the ion implantation is not the only step required to form the drain extension.
  • a heat treatment or annealing step must be performed to make the implanted atoms electrically active.
  • Another problem is that this heat treatment must be performed at a high temperature (i.e. > 900C) such that there are also diffusion effects to consider. Diffusion is the movement of implanted ions out of their as-implanted depth profile, and generally deeper and laterally within the substrate. Since the challenge is to make a shallow junction (actually Ultra- Shallow Junction, or USJ), diffusion effects must be minimized. There is also an additional issue as the diffusion time is minimized: an effect known as transient-enhanced diffusion (TED).
  • TED transient-enhanced diffusion
  • This effect is a result of silicon interstitials present in the implanted layer due to the implantation damage to the silicon crystal caused by the ion implantation.
  • the result is a strong enhancement of the boron diffusion, which only lasts a short time.
  • a short anneal cycle is desirable to minimize diffusion, and so the TED effect increases the need to shorten annealing time.
  • diffusion, and TED move the implanted layer both in depth and laterally. There is much development of advanced annealing equipment and processes to perform this critical process, and whatever is developed to keep the junctions shallow will also provide a benefit in improving the lateral abruptness.
  • the first approach is to design a beamline with the shortest possible distance between the source and the silicon wafer, which allows more of the beam to reach the wafer. These short beamline are also made with a more open transport bath, so that a larger beam is able to transit the length. In terms of the beam divergence, this approach results in higher divergence of the beam at the wafer.
  • the second approach to increasing LEB ion current is the use of deceleration. In this approach, the beam is extracted and transported most of the way to the wafer at higher energy, and then the beam is decelerated right before the wafer to implant at the correct energy. This approach also results in higher divergence at the wafer, and also introduces energy contamination to the beam on wafer.
  • Figs. 14a and 14b The most important part of the depth profile is at the junction edge, as shown in Figs. 14a and 14b.
  • the implanted boron layer interacts with the existing doping concentration to fomi a P/N junction.
  • the gate edge and drain extension layer is shown in Fig 14a, where a lateral cut is shown (A-A' 17) which is below and parallel to the silicon surface.
  • the doping concentrations are shown along the A-A cut.
  • the boron concentration 18 is high, of order 1E20 cm-3, which is shown as a high value on the log scale 19.
  • N-type doping concentration 20 Prior to the LEB implant for the drain extension, there was an N-type doping concentration 20 already established, which is shown as Phosphoras, but could be any kind of N-type dopant.
  • the critical feature is the spot where the two doping concentrations are equal, which is the junction edge, 21.
  • the value of the concentrations is much lower here, since the N-type concentration is more likely to be of the order E17-E18 cm-3.
  • the boron concentration 18 is also of this magnitude at this spot. It is now noted that the boron concentration at the junction edge is much less than the concentration within the drain extension, by at least 100X.
  • the slope of the boron profile in the lateral direction at the junction edge is defined as the lateral abruptness of the drain junction.
  • This parameter is usually expressed in units of nm/decade, or the lateral extent of the profile, in nm, required to move up one order of magnitude in boron concentration.
  • a typical value of the drain lateral abruptness, for 0.18um technology, would be lOnm/dec, while requirements for future scaling reduce with each generation with a goal of ⁇ 5nm/decade.
  • a low divergence beam, such as that of the present invention, when used to form the drain extension, will improve the lateral abruptness of the drain extension and result in a higher performance transistor.
  • Two mechanisms contribute to this advantage: reduced lateral penetration and reduced lateral straggle.
  • the first component, lateral penetration, is strictly geometric.
  • a beam with low divergence essentially places all of the incoming ions within the drain extension region, out from under the gate edge, which is desired.
  • a divergent beam will have nonparallel ions incoming, and we are particularly interested in those nonparallel ions positioned exactly as depicted in Fig 13, where the spot where the ion penetrates the silicon substrate is right at the base of the gate edge 14. This ion, on average, will come to rest at the position marked with the X, which is laterally displaced from the primary distribution of drain extension boron atoms. Since there is a distribution of incident angles within a divergent beam, the result is a lateral extension of the profile under the gate edge.
  • the lateral displacement is 12% of the junction depth, while the normal estimate is the lateral junction edge is at 70% of the junction depth. Since this would now place the lateral junction at 82% of the vertical junction depth, the divergent beam produced a junction 17% more extended than a low divergence beam would (for this effect).
  • the second mechanism involved with beam divergence and lateral junction formation is the ia L eral straggle.
  • Straggle occurs because the silicon target is not a uniform homogenous medium and is rather a crystalline lattice with individual atoms arranged in a regular pattern with space in between.
  • the incoming ions may either hit a silicon atom directly, hit a silicon atom with a glancing incidence, or miss the silicon atoms completely. This statistical process results in a distribution of conditions for the various incoming boron ions.
  • the straggle is normally considered as a vertical variation in the depth profile, but a masked edge like our present case also involves lateral straggle.
  • the data points are for various incident angles and energies, but it is seen that it is quite likely that ions incident with an angle of 7 degrees has at least double the lateral straggle of the conventional model. It is also noted that the effect is larger for higher angles, so the distribution spreads out laterally, which is exactly the opposite of the desire to keep the junction abmpt.
  • a model is constmcted which resolves these effects into the same terms.
  • the first step in the model is to reduce the variables by making an approximation: the vertical junction depth is equal to the projected range (Rp) plus two times the vertical straggle ( ⁇ Rp) or
  • the low divergence lateral junction edge occurs at a position which is two times the lateral straggle by this model. Since we already know that the lateral straggle is 0.7 times the vertical straggle, the lateral junction edge occurs at 1.4 ⁇ Rp inside the gate edge. Now, the divergent beam case includes two terms, which add to produce the lateral junction edge. The first term is that the lateral straggle is twice the normal incidence case, so the this contribution is
  • the second term is the geometric effect which was 12% of the junction depth, which is now 0.48 ⁇ Rp. Adding this to the other term produces a lateral junction edge which occurs at a position 3.28 ⁇ Rp inside the gate edge, or 2.3 times more extended in the lateral direction. The lateral abruptness will also be improved by a similar ratio. This is a dramatic advantage which directly results from having a source with low emittance.
  • the channel length is the distance between the source and drain, that is, between the lateral junction edge of the source and the drain. While the discussion so far has centered on the drain side of the transistor, there is another region on the other side of the gate which forms the source at the same time that the drain is formed. It is important to note that it is the lateral extension of the source and drain that determine the channel length.
  • the implantation profile is determined by the implantation parameters, most importantly species and energy, but also tilt, twist, mask edge, and beam divergence. Once again, the beam emittance determines the beam divergence, and thus has an impact on the transistor formation.
  • the channel length will be longer for the low divergence beam, since there is less ion beam penetrating under the gate stack. It is not a benefit to have longer channel lengths in general, but the low divergence case produces a transistor closer to the ideal situation where the channel length is the same as the gate length. For this case, the problem with the high divergence beam is that the divergence is not always the same. This is a natural result for beam conditions with significant divergence, because of the natural variation with beam tuning and setup.
  • the variation comes because any time that the beam intersects an aperture, some of the beam is lost, and part of the divergence envelope is also lost, because there is a direct relationship between the position in space and the angle that that part of the beam will have with the substrate when it gets there. For example, take two conditions: one with the beam setup centered on an aperture and one where the aperture clips part of the beam. For the centered case, the divergence will also be centered, and so the beam intersects the wafer with the nominal angle plus or minus the same amount, say +/- 5 degrees. For the second case, the edge of the beam, which is clipped by the aperture, is also tiie extreme of the angular spread, which is only taken off of one side.
  • the angle at the substrate might be the nominal angle with asymmetric divergence, say +2/-5 degrees.
  • the side where the divergence has been clipped is the side which is defining the edges of the transistor channel, we have changed the junction profile by eliminating the high angle ions, such that the channel would now likely be longer because the ions did not penetrate as far under the gate edge.
  • This variation in channel length is highly undesirable, and a beam with low emittance would not be subject to this variability.
  • the variations discussed are nomial, and are a result of the automation of modem equipment. In today's production tools, an automatic routine establishes the beam conditions, running a sequence of prescribed steps to establish and optimize the beam conditions.
  • this system does not reach the same beam conditions each time; its task is to create a beam consistent with the requirements, and there are always many solutions to the tuning problem. These tuning solutions produce different divergences in the beam itself, it is not necessary to have an off center beam, or any abnormal condition, to create beams with variable divergence.
  • Another benefit of the low emittance ion beam would be the ability to design the process so that the channel lengths are uniformly small, rather than having to design so that the shortest channel only occurs for worst case conditions. Since the performance of the circuit is directly connected to the channel length, the ability to produce uniformly short channels directly allows higher performance to be realized, for all other process steps being unchanged. Note that channels too short are likely to fail, either because the drain to source voltage caimot be sustained because the channel is too short, or that the tlireshold voltage falls out of the operational range due to short channel effects.
  • the distribution of channel lengths produced by the normal range of process variation must be conservatively considered, so that none of the transistors fail (failure rates of even one ppm are too large).
  • the distribution of chaimel lengths shows less variation, and this allows the average channel length to be designed to be smaller, resulting in higher performance at no additional cost.
  • an electron gun 230 delivering a variable- energy electron beam 235 into a ionization chamber 240 filled with dopant-containing gas, and intercepted by a water-cooled beam dump 250.
  • the electron beam propagates parallel to, and adjacent to, ion extraction aperture 260 from which an ion beam is extracted by extraction optics.
  • An optional external magnetic field B is provided by magnet coils (not shown).
  • the use of a longitudinal magnetic field oriented parallel to the path of the electron beam will confine the electron beam 235 over even a very long path length.
  • the path length is given by (x + y) as indicated in Fig. 17, where x is the extent of the electron gun, and y is the extent of the ionization chamber (y is also roughly the length of the ion extraction aperture, and the desired length of the extracted ribbon ion beam 270).
  • x is the extent of the electron gun
  • y is the extent of the ionization chamber (y is also roughly the length of the ion extraction aperture, and the desired length of the extracted ribbon ion beam 270).
  • Fig. 17 can be advantageously used as an ion source for the ion doping of iiat panel displays.
  • the ion extraction aperture 260 can be 850mm long for producing ribbon beams to implant rectangular panels having a short dimension of 750mm.
  • Electron gun 230 is designed to deliver a high current, low energy electron beam 235 into ionization chamber 240.
  • the electron beam is confined both in the electron gun and in the ionization chamber by an external magnetic field B produced by a pair of magnet coils.
  • a magnetic flux density of between 50 G and 200 G is applied in order to maintain good uniformity of ion production (ion density) across the length of the ion extraction aperture 260 by limiting spreading of the electron beam diameter as it propagates through the ionization chamber 240 due to space-charge forces within the low-energy electron beam.
  • the uniformity of ion generation along the aperture is further improved by reducing the feed gas pressure (relative to typical prior art ion source pressure which ranges between about 4 l0 "4 Torr and 4 ⁇ l0 "3 Torr) within the ionization chamber 240 so that a smaller fraction of electrons are scattered out of the beam, for example an ionization chamber pressure of 1 x 10 "4 Torr or less.
  • feed gas pressure relative to typical prior art ion source pressure which ranges between about 4 l0 "4 Torr and 4 ⁇ l0 "3 Torr
  • FIG. 18b which shows a dual ion source system 600 with dual-slit extraction optics 610.
  • a single pair of large-diameter magnet coils 620 provides a uniform magnetic field which encompasses both ion sources.
  • the embodiment of Fig. 18b enables both n- and p-type dopants to be implanted in a single ion doping tool by dedicating n-type (e.g., phosphorus) materials in source 1, and p-type materials (e.g., boron) in source 2.
  • Sources 1 and 2 are not typically ran at the same time. If desired, both ion sources can be run simultaneously with the same dopant, producing two ribbon beams, doubling the implanted dose rate.
  • Fig. 18b illustrates two ribbon ion beams being generated, beam 630 (e.g., boron-containing), and beam 640 (e.g., phosphorus-containing).
  • Fig. 18b shows the dual ion source of Fig. 18a doping a rectangular panel 650 with ribbon beam 660.
  • pane 1 650 is mounted on scan stage 670 and mechanically scanned along direction 680, along the long dimension of the panel 650.
  • ion beam 660 is indicated as longer than the short dimension of the panel.
  • Fig. 19 shows a generic ion doping system for the doping of flat panels.
  • Panel 690 is loaded from vacuum cassette 700 into process chamber 710, rotated through 90 degrees, and scanned vertically in front of ion beam 660'.
  • the dual ion source 600' of Fig. 18a, 18b is shown integrated onto the system in schematic. Magnet coils and details of mechanical feedthroughs are not included, for clarity.
  • the p-type feed gases of choice in ion doping are diborane (B2H6) and boron triflouride (BF3). Since there is no mass analysis between the ion source and the substrate, all ions produced in the ion source are implanted into the substrate. This makes the use of BF3 problematic, since fluorine is detrimental to oxides, for example, and has undesirable process effects. Also, there is three times as much fluorine as boron in the source plasma, so much F can be implanted.
  • B2H6 diborane
  • BF3 boron triflouride
  • the beam emittance can always be kept below a given value by the addition of a series of apertures, the resulting beam flux is unacceptably low. Therefore, the use of a high-brightness ion source is desirable, where brightness is defined as beam current divided by emittance (i.e., beam current per unit area per unit solid angle). The brightness is unchanged by such a series of apertures, and hence is a useful figure of merit.
  • Fig. 20 which is substantially the same as Fig. 1 of above-incorporated
  • Fig. 20 shows a general schematic of a decel implanter such as is used with conventional boron implantation.
  • Fig. 20 describes a conventional, non-decel implanter.
  • the ion source 548 produces ions which are extracted from a one-dimensional aperture (i.e., an elongated slot) and accelerated to a transport energy significantly greater than the desired final implantation energy by an electrode 553, and are injected into analyzer magnet 543 which disperses the beam laterally according to the mass-to-charge-ratio of the ions.
  • a mass-resolving aperture (slot) 544 allows only the ion of interest (the ion having a preselected mass-to-charge ratio) to pass downstream to a moveable Faraday for measuring ion beam current, or (when the Faraday is retracted) to the deceleration electrode 557.
  • the deceleration electrode 557 decelerates the ion beam to the desired implantation energy, which then impacts the wafer substrate 555.
  • the schematic of Fig 1 illustrates a batch-style implanter with a mechanically rotating and scanning disk 545, but the general approach of decel can also be adopted in serial implanters.
  • acceleration/deceleration implanters also incorporate a neutral beam filter (not shown in Fig. 20) or other type of energy filter (for example, E x B filter, electrostatic deflector, dogleg, etc.) to make sure that only ions of a predetermined energy reach the wafer, as is known in the art.
  • a neutral beam filter not shown in Fig. 20
  • E x B filter electrostatic deflector, dogleg, etc.
  • a batch style decel implanter will utilize a stationary ion beam, the scanning of the beam across the wafers being accomplished by the rotating and mechanically scanning disk 545; however, other embodiments are possible.
  • the present invention can, with advantage, be incorporated in a serial-style implanter (one wafer at a time) which accomplishes fast scanning (by either electrostatic scanning plates, or by a directional magnetic field) in one direction, while the wafer holder accomplishes a slower mechanical scan in the orthogonal direction.
  • a serial-style implanter one wafer at a time
  • fast scanning by either electrostatic scanning plates, or by a directional magnetic field
  • the wafer holder accomplishes a slower mechanical scan in the orthogonal direction.
  • dual electromagnetic scanning of a stationary wafer is also possible.
  • Serial-style decel implanters have never to my knowledge been commercialized, I realize such a design would have distinct advantages by being able to accommodate single-wafer processing, as well as enabling high tilt angles (up to 60 degrees) of the wafer holder (not currently possible with batch implanters).
  • High tilt implants can be important in many processes, being preferred, for example, in "quad" implants for the fabrication of well stmctures, and for profiling of the S D extensions.
  • newer wafer fabrication facilities are expected to adopt single-wafer processing in the future to reduce the risks to expensive 300mm process wafers incurred by batch processing.
  • a feature of my invention is combining cluster beam implantation with the acceleration/deceleration technique by a serial, high-current beam line implantation system, the improved profile of the beam, as described, meeting the beam profile uniformity demands needed for the serial implanter to be production worthy.
  • Fig. 21 shows one preferred embodiment of the ion source used in the present invention, mounted onto the vacuum housing of a conventional ion implanter.
  • This ion source is fully described in the above referenced PCT Application Serial Number US00/33786, in which it is included as Fig. 9B.
  • the ion source operates on a different principal than conventional ion sources presently in use in commercial ion implantation, in that the ions are produced not by an arc discharge or by a plasma, but by direct electron-impact ionization by a broad directed beam of energetic primary electrons that transit an ionization volume 516'.
  • This ion source is an enabling technology for ionizing molecular compounds, and provides high currents of decaborane ions, as well as of the dimer-containing compounds of Table la.
  • the source incorporates a low-temperature vaporizer 528 for producing vapors from solid materials having a low melting point such as indium hydroxide, trimethyl indium, and decaborane, for example, and also incorporates a gas feed 526 which allows the ionization of gaseous compounds such as PH 3 , AsH 3 , GeH , B 2 H 6 , as well as more common implanter gases such as BF 3 , SbF 5 , and PF 3 .
  • gaseous compounds such as PH 3 , AsH 3 , GeH , B 2 H 6
  • more common implanter gases such as BF 3 , SbF 5 , and PF 3 .
  • 21 shows an extended electron gun which incorporates a 90 degree bending stage or mirror 587 to reduce the footprint of the source assembly for retrofit into existing ion implanters, and to conserve space while enabling a full complement of electron optics to be incorporated into the electron gun to achieve variation of the electron energy to match the ionization needs of the selected molecular species.
  • Fig. 23 shows another different embodiment of an ion source and it's electron gun, which is also fully disclosed in the above-referenced application.
  • the electron gun operates, itself, on an acceleration/deceleration principle, and does not use a turning stage.
  • Fig. 23 shows the ion source mounted in a volume of the vacuum housing similar to Fig. 21 , but with the housing modified to accommodate the straight- thro ugh electron gun design.
  • the embodiment of Fig. 23 also has a set of magnet coils to provide confinement for primary electrons within the ionization volume of the ion source.
  • High electron currents at a controllably variable electron energy can be injected into the ionization chamber by the electron gun; the majority of electrons which transit the ionization volume are intercepted by a beam dump 536' (Fig. 22).
  • the length of the ionization chamber and the corresponding elongation of the ion extraction aperture is greatly extended, such extended length of the ionization chamber having little negative effect and a very positive advantage on the successful operation of the ion source.
  • This great elongation is in sharp contrast to the conventional
  • Bernas-style arc discharge ion sources commonly used in ion implantation In these the arc becomes unstable if the chamber length (and hence the separation between the cathode and repeller) is made significantly longer than the common length while the arc current required to operate the Bemas source would increase dramatically (a conventional Bernas source has a cathode - repeller separation of about 2 inches, and draws up to 5 A of arc current).
  • a conventional Bernas source has a cathode - repeller separation of about 2 inches, and draws up to 5 A of arc current.
  • Special optics are provided, constructed to reduce the length of the beam profile thus produced as the beam progresses away from the aperture. This approach is illustrated by Fig. 24.
  • Elongated ionization chamber 500 has an elongated ion extraction aperture 510 from which the ion beam is extracted and accelerated by extraction lens 520.
  • the extraction aperture 510 is about six inches in length, three times the length of the extraction slit of a conventional arc discharge chamber of a high-current ion implanter.
  • Extraction lens 520 has the special property of being telescopic; it is a two-stage acceleration lens in which the second focal point of the first lens and the first focal point of the second lens roughly coincide, enabling telescopic focusing.
  • the height of the ion beam is substantially reduced while preserving a well-collimated beam trajectory 530 for injection into the implanter's analyzer magnet 540.
  • an ion optical approach would be unsuccessful, since if the ion extraction aperture of a high-current implanter were made much longer to increase extracted beam current of a monomer beam, space-charge forces would not permit lens 520 to function properly, and the beam trajectory 530 would not be well- collimated; that is, the beam would simply blow up. In fact, the net result would be to extract and inject into the analyzer magnet less useful beam current than with a conventional, two-inch aperture!
  • the ion source of the present invention in contrast, can produce 1 mA or more of ion current from a two-inch aperture, of which about 70% is decaborane, so that even from a six-inch aperture, between about 3 mA and 5 mA of ion current should be obtainable.
  • an elegant temperature control arrangement is achieved by radiative heat transfer and vacuum to a cool body which subtends nearly 4 ⁇ steradians surrounding the lens elements and more specifically heat transferable holders which also accomplish precise registration of the lens elements with each other and also with the ion source per se.
  • a lens element 300 is held by clamping holder 310.
  • Holder 310 is comprised of an elongated rectangular cross-section aluminum rods having large radiative surface which grasps lens 300 via a clamping arrangement.
  • the lens 300 is inserted into a bore by spreading of the clamp through the insertion of a spreading tool, not shown.
  • clamp 310 and lens 300 may be joined through extreme cooling of lens 300 to shrink it to a smaller size than the bore, for example by immersion in a liquid nitrogen bath, and insertion of the cold, reduced diameter lens 300 into clamp 310, subsequently allowing lens 300 to expand to room temperature, thus cold welding the assembly together.
  • lens element 300 produces effective heat transfer across the mated, contacting surfaces and enables radiative heat dissipation from the large surface area of clamp holder 310.
  • the services can be anodized or coated with a colloidal suspension of carbon such as Aquadag®.
  • An additional benefit of the assembly of lens holder 310 and lens element 300 is that the holder and the lens element may be of different material.
  • lens 300 may be constmcted of stainless steel which is chemically inert or molybdenum which has very good stractural characteristics at high temperature.
  • the electron gun consists of four discrete and separated lens elements: the cathode assembly 320 followed, in sequence, by first anode 330, focus electrode 340, and exit lens 350, each lens in array to be at different potential held by a respective holder of the general construction just described for holder 310.
  • a gap is provided between lens element 350 and the base of a housing 360 which completely surrounds the assembly of four spaced-apart lens holders.
  • the housing 360 of aluminum maintained at much colder temperature than lens elements 320-350 and allows radiative coupling between the several lens holders 370. This is accomplished by close coupling the base 360a of housing 360 in good thermal contact with a water cooled or temperature controlled source block which has been previously described.
  • the housing 360 can be maintained at a temperature not too different from the temperature of the source block.
  • a temperature difference is maintained between the several lens holders 370 and the housing 360, enabling good radiative transfer from the broad radiative surface of the lens holder.
  • the use of radiative cooling enables a stable operating temperature for the electron gun, that is somewhat independent of power dissipated in the gun elements. This stability is due to the nonlinear effect of radiative cooling which is much less efficient at low temperatures than at the very efficient high temperatures.
  • the assembly is, to a degree, self regulating and allows for consistent operating temperature of the electron gun elements.
  • the several lens holders 370 are constructed in a mostly rectangular profile so that significant surface area is exposed both to neighboring surfaces of the other lens element holders as well as to the surface of the housing 360.
  • This arrangement accomplishes two functions.
  • the first function is that the regions where the highest power dissipation is expected (namely the uppermost lens element comprising the cathode assembly 320 and the bottommost lens, exit lens 350) are directly cooled by close proximity to the heat reservoir represented by housing 360, whereas some of the power dissipated in the lens element 330 and 340 shown in Fig. 26 is distributed among the lens elements, enabling a more uniform operating temperature to occur.
  • holder 310 typically has a length 1 of 170 millimeters, width w of 26 millimeters, and height h of 12 millimeters.
  • Lens element 300 typically has an inside diameter of 12.5 millimeters and an outside diameter of 16 millimeters.
  • Fig. 26 the overall dimensions of the gun assembly are shown with length L of 6 inches, height H of 3 inches, and width W of 1.5 inches.
  • Feed through 380 contacts the individual lens elements through ⁇ uetal springs indicated by 390 which are electrically isolated from each other by a holder plate 395 of -ceramic, which allows mechanical stability of the clips such that when the clips are engaged to the several lens holders 370 they accomplish both electrical contact to the individual elements and also provide indexing and registration to maintain alignment of the lens elements.
  • the lens elements are further constrained and insured to be coaxial by an alumina rod 400 which passes through several alumnus spacers 410 that are individually counterbored into each of the lens holders 370. This accomplishes both electrical isolation and also controls the spacing between the lens elements and insures alignment in three dimensions.
  • the lens elements 320, 330, 340, 350 and any subsequent lens must be maintained in a coaxial relationship to a high degree of tolerance to ensure proper focusing of the electron beam and to limit aberrations.
  • the fields that are set up between the lens elements are very sensitive to this alignment, especially in the polar angular coordinates defined by the cylindrical symmetry of the lens system (the z-axis being along the mechanical axis, i.e., the direction being the direction of propagation of the electron beam), and also the two dimensional spatial coordinates that are transverse to this axis.
  • the degree of alignment required for the correct direction and focusing of the electron beam is within the normal limits of machining practices and most importantly, of the alignment techniques accomplished by alumna rod 400, individual spacers 410, precision machining of both lens elements 320 through 350 and the several holders 370.
  • the construction of the lens elements in correct alignment ensures that the beam will propagate in the correct direction through the desired volume in the ionization chamber and also that the beam will be well defined, propagating parallel to the long dimension of the ion extraction aperture.
  • a small volume ionization region is precisely located adjacent to the ion extraction aperture to achieve high brightness and low emittance, as described above.
  • proper temperature control of the lens elements is important for the operation of the ion source as a whole to prevent either condensation or decomposition of process gas or process vapor which normally occupies the ionization chamber and therefore penetrates into the electron gun region. Should excessive decomposition or condensation occur in the lens elements it will degrade the overall life time of the ion source and have a negative impact on preventive maintenance intervals.
  • the ionization region be uniformly located with respect to the ion extraction aperture.
  • the brightness of the ion beam that is extracted from the ion source which is proportional to the total current and inversely proportional to the emittance of the ions as they exit the ion extraction aperture, becomes higher as the ionization volume is decreased as long as the total current remains constant.
  • decaborane or similar clusters to further enhance the brightness of beams delivered in any-kind of implanter and in particular with respect to accel-decel implant ions
  • excellent temperature control of all parts of the ion source including the electron gun elements which are in contact with the decaborane vapor, as achieved here, is critical to the success of the ion source. In particular, it will enable high ion currents to be produced. It will also enable much longer life time and much higher production worthiness in the final ion source and in the implants.
  • Decaborane in particular will dissociate to boron components when it meets a wall temperature in excess of 350C. Decomposed boron particles can deposit on cathodes and lens elements.

Abstract

L'invention concerne une implantation ionique à luminosité élevée, à faible émission de faisceau ionique par ionisation de gaz ou de vapeur, par exemple de dimères, ou de décaborane, par ionisation par impact d'électrons dans une région jouxtant l'orifice de sortie (46; 176) de la chambre d'ionisation (80; 175). De préférence, on maintient les conditions qui produisent une densité ionique sensible et une énergie cinétique transversale des ions inférieure à 0,7 e.V. La largeur du volume d'ionisation jouxtant l'orifice est limitée à une largeur inférieure à au moins trois fois celle de l'orifice. L'orifice est extrêmement allongé. Des champs magnétiques sont évités ou limités. Le bruit de faisceau ionique est maintenu bas, ainsi que les conditions à l'intérieur de la chambre d'ionisation qui permettent d'empêcher la formation d'une décharge en arc. A l'aide d'optiques de faisceau ionique, telles que l'implanteur d'ions par lots de la Figure 20, ou dans les implanteurs en série, les ions provenant de la source ionique sont transportés vers une surface cible et implantés, dans certains cas, avantageusement, en conjugaison avec des lignes de faisceau d'accélération-décélération utilisant des faisceaux ioniques en grappes. L'invention concerne également des constructions de canon électronique, des sources de rubans conçues pour des configurations de chambres d'électrons et d'ionisation. Elle concerne enfin la formation de caractéristiques de dispositifs à semi-conducteurs, par exemple des extensions drain de dispositifs CMOS, et le dopage de panneaux plats.
EP01944435A 2000-11-30 2001-06-12 Systeme d'implantation ionique et procede de controle Withdrawn EP1347804A4 (fr)

Priority Applications (1)

Application Number Priority Date Filing Date Title
EP11008982.8A EP2426692A3 (fr) 2000-11-30 2001-06-12 Source d'ions

Applications Claiming Priority (11)

Application Number Priority Date Filing Date Title
US736097 1985-05-20
US25008000P 2000-11-30 2000-11-30
US250080P 2000-11-30
PCT/US2000/033786 WO2001043157A1 (fr) 1999-12-13 2000-12-13 Source d'ions, systeme et procede pour implantation ionique
US09/736,097 US6452338B1 (en) 1999-12-13 2000-12-13 Electron beam ion source with integral low-temperature vaporizer
WOPCT/US00/33786 2000-12-13
US25732200P 2000-12-19 2000-12-19
US257322P 2000-12-19
US26726001P 2001-02-07 2001-02-07
US267260P 2001-02-07
PCT/US2001/018822 WO2002043803A1 (fr) 2000-11-30 2001-06-12 Systeme d'implantation ionique et procede de controle

Publications (2)

Publication Number Publication Date
EP1347804A1 true EP1347804A1 (fr) 2003-10-01
EP1347804A4 EP1347804A4 (fr) 2009-04-22

Family

ID=27533372

Family Applications (2)

Application Number Title Priority Date Filing Date
EP01944435A Withdrawn EP1347804A4 (fr) 2000-11-30 2001-06-12 Systeme d'implantation ionique et procede de controle
EP11008982.8A Withdrawn EP2426692A3 (fr) 2000-11-30 2001-06-12 Source d'ions

Family Applications After (1)

Application Number Title Priority Date Filing Date
EP11008982.8A Withdrawn EP2426692A3 (fr) 2000-11-30 2001-06-12 Source d'ions

Country Status (5)

Country Link
EP (2) EP1347804A4 (fr)
JP (1) JP2004519070A (fr)
CN (1) CN100385605C (fr)
AU (1) AU2001266847A1 (fr)
WO (1) WO2002043803A1 (fr)

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7838842B2 (en) * 1999-12-13 2010-11-23 Semequip, Inc. Dual mode ion source for ion implantation
JP4744141B2 (ja) * 2002-06-26 2011-08-10 セムエキップ インコーポレイテッド N及びp型クラスターイオン及び陰イオンの注入によるcmos素子の製造方法
US20050061997A1 (en) * 2003-09-24 2005-03-24 Benveniste Victor M. Ion beam slit extraction with mass separation
US7087913B2 (en) * 2003-10-17 2006-08-08 Applied Materials, Inc. Ion implanter electrodes
KR101160642B1 (ko) * 2003-12-12 2012-06-28 세미이큅, 인코포레이티드 고체로부터 승화된 증기의 유동제어
KR101133090B1 (ko) 2005-03-30 2012-04-04 파나소닉 주식회사 불순물 도입 장치 및 불순물 도입 방법
CN101292139B (zh) * 2005-05-20 2013-04-24 瓦里安半导体设备公司 产生原子和分子的低杂质强离子束的共振方法
US7531819B2 (en) * 2005-12-20 2009-05-12 Axcelis Technologies, Inc. Fluorine based cleaning of an ion source
US7851773B2 (en) 2006-06-13 2010-12-14 Semiquip, Inc. Ion beam apparatus and method employing magnetic scanning
US7732309B2 (en) * 2006-12-08 2010-06-08 Applied Materials, Inc. Plasma immersed ion implantation process
US8900982B2 (en) * 2009-04-08 2014-12-02 Varian Semiconductor Equipment Associates, Inc. Techniques for processing a substrate
US9076914B2 (en) 2009-04-08 2015-07-07 Varian Semiconductor Equipment Associates, Inc. Techniques for processing a substrate
US9006688B2 (en) 2009-04-08 2015-04-14 Varian Semiconductor Equipment Associates, Inc. Techniques for processing a substrate using a mask
EP2867915B1 (fr) 2012-06-29 2016-07-13 FEI Company Source d'ions multi-espèce
EP2870115A1 (fr) * 2012-07-07 2015-05-13 LIMO Patentverwaltung GmbH & Co. KG Dispositif générateur de faisceau d'électrons
US8497486B1 (en) * 2012-10-15 2013-07-30 Varian Semiconductor Equipment Associates, Inc. Ion source having a shutter assembly
GB2518122B (en) * 2013-02-19 2018-08-08 Markes International Ltd An electron ionisation apparatus
US8994272B2 (en) * 2013-03-15 2015-03-31 Nissin Ion Equipment Co., Ltd. Ion source having at least one electron gun comprising a gas inlet and a plasma region defined by an anode and a ground element thereof
US9275819B2 (en) * 2013-03-15 2016-03-01 Nissin Ion Equipment Co., Ltd. Magnetic field sources for an ion source
CN103794453A (zh) * 2013-07-18 2014-05-14 北京中科信电子装备有限公司 一种双灯丝等离子淋浴装置
US9570271B2 (en) * 2014-03-03 2017-02-14 Praxair Technology, Inc. Boron-containing dopant compositions, systems and methods of use thereof for improving ion beam current and performance during boron ion implantation
CN105206492A (zh) * 2014-06-18 2015-12-30 上海华力微电子有限公司 一种改善带状离子束均匀性的装置
CN104078297A (zh) * 2014-07-05 2014-10-01 宁波大学 大气压下现场离子源装置及其工作方法
KR102589972B1 (ko) * 2015-09-11 2023-10-13 어플라이드 머티어리얼스, 인코포레이티드 슬롯형 접지 플레이트를 갖춘 플라즈마 모듈
US9899181B1 (en) 2017-01-12 2018-02-20 Fei Company Collision ionization ion source
US9941094B1 (en) 2017-02-01 2018-04-10 Fei Company Innovative source assembly for ion beam production
CN108732610B (zh) * 2017-04-25 2020-12-25 北京中科信电子装备有限公司 一种新型测量离子束的法拉第装置
CN111326380B (zh) * 2020-03-30 2022-09-02 赣南师范大学 一种复合聚焦载网光阴极的直流超快电子枪
CN114496852B (zh) * 2022-01-25 2022-11-29 永耀实业(深圳)有限公司 一种用于集成电路生产线的离子注入机

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3557365A (en) * 1967-12-22 1971-01-19 Perkin Elmer Corp Ion source for a mass spectrometer
US4841197A (en) * 1986-05-28 1989-06-20 Nihon Shinku Gijutsu Kabushiki Kaisha Double-chamber ion source
EP0329461A2 (fr) * 1988-02-18 1989-08-23 FISONS plc Spectromètre de masse
US4933551A (en) * 1989-06-05 1990-06-12 The United State Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Reversal electron attachment ionizer for detection of trace species
WO1990015658A1 (fr) * 1989-06-06 1990-12-27 Viking Instruments Corp. Systeme de spectrometrie de masse miniaturise
US5101110A (en) * 1989-11-14 1992-03-31 Tokyo Electron Limited Ion generator
WO1997017717A1 (fr) * 1995-11-08 1997-05-15 Applied Materials, Inc. Implanteur d'ions a deceleration apres selection de masse

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3248587A (en) * 1963-04-18 1966-04-26 Hitachi Ltd Electron bombardment ion source
US3915757A (en) * 1972-08-09 1975-10-28 Niels N Engel Ion plating method and product therefrom
US3908183A (en) * 1973-03-14 1975-09-23 California Linear Circuits Inc Combined ion implantation and kinetic transport deposition process
US4315153A (en) * 1980-05-19 1982-02-09 Hughes Aircraft Company Focusing ExB mass separator for space-charge dominated ion beams
US4847504A (en) * 1983-08-15 1989-07-11 Applied Materials, Inc. Apparatus and methods for ion implantation
JPH0191431A (ja) * 1987-04-16 1989-04-11 Sumitomo Eaton Noba Kk イオン打ち込み装置におけるウエハ帯電量検知装置
US5313061A (en) * 1989-06-06 1994-05-17 Viking Instrument Miniaturized mass spectrometer system
JPH05106037A (ja) * 1991-10-16 1993-04-27 Mitsubishi Electric Corp イオン注入装置及びその制御方法
US5340983A (en) * 1992-05-18 1994-08-23 The State Of Oregon Acting By And Through The State Board Of Higher Education On Behalf Of Oregon State University Method and apparatus for mass analysis using slow monochromatic electrons
JP3366402B2 (ja) * 1993-11-19 2003-01-14 理化学研究所 電子ビーム励起負イオン源及び負イオン発生方法
US5811823A (en) * 1996-02-16 1998-09-22 Eaton Corporation Control mechanisms for dosimetry control in ion implantation systems

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3557365A (en) * 1967-12-22 1971-01-19 Perkin Elmer Corp Ion source for a mass spectrometer
US4841197A (en) * 1986-05-28 1989-06-20 Nihon Shinku Gijutsu Kabushiki Kaisha Double-chamber ion source
EP0329461A2 (fr) * 1988-02-18 1989-08-23 FISONS plc Spectromètre de masse
US4933551A (en) * 1989-06-05 1990-06-12 The United State Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Reversal electron attachment ionizer for detection of trace species
WO1990015658A1 (fr) * 1989-06-06 1990-12-27 Viking Instruments Corp. Systeme de spectrometrie de masse miniaturise
US5101110A (en) * 1989-11-14 1992-03-31 Tokyo Electron Limited Ion generator
WO1997017717A1 (fr) * 1995-11-08 1997-05-15 Applied Materials, Inc. Implanteur d'ions a deceleration apres selection de masse

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See also references of WO0243803A1 *

Also Published As

Publication number Publication date
CN1477984A (zh) 2004-02-25
EP2426692A2 (fr) 2012-03-07
CN100385605C (zh) 2008-04-30
EP2426692A3 (fr) 2013-08-21
JP2004519070A (ja) 2004-06-24
WO2002043803A1 (fr) 2002-06-06
AU2001266847A1 (en) 2002-06-11
EP1347804A4 (fr) 2009-04-22

Similar Documents

Publication Publication Date Title
US7609003B2 (en) Ion implantation system and control method
EP2426692A2 (fr) Source d'ions
US8618514B2 (en) Ion implantation device and a method of semiconductor manufacturing by the implantation of boron hydride cluster ions
US7960709B2 (en) Ion implantation device and a method of semiconductor manufacturing by the implantation of boron hydride cluster ions
KR100702582B1 (ko) 기판에 도핑 물질을 주입하는 방법
JP5107567B2 (ja) イオン注入イオン源、システム、および方法
US20070278417A1 (en) Ion implantation ion source, system and method
KR100569213B1 (ko) 이온 주입 시스템 및 제어 방법

Legal Events

Date Code Title Description
PUAI Public reference made under article 153(3) epc to a published international application that has entered the european phase

Free format text: ORIGINAL CODE: 0009012

17P Request for examination filed

Effective date: 20030627

AK Designated contracting states

Kind code of ref document: A1

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LI LU MC NL PT SE TR

AX Request for extension of the european patent

Extension state: AL LT LV MK RO SI

RIN1 Information on inventor provided before grant (corrected)

Inventor name: SACCO, GEORGE, P., JR.

Inventor name: KRULL, WADE, A.

Inventor name: COHEN, BRIAN, C.

Inventor name: HORSKY, THOMAS, N.

A4 Supplementary search report drawn up and despatched

Effective date: 20090324

RIC1 Information provided on ipc code assigned before grant

Ipc: H01J 49/14 20060101ALI20090318BHEP

Ipc: H01J 27/20 20060101ALI20090318BHEP

Ipc: H01J 37/317 20060101ALI20090318BHEP

Ipc: H01J 37/08 20060101AFI20090318BHEP

17Q First examination report despatched

Effective date: 20091111

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: THE APPLICATION HAS BEEN WITHDRAWN

18W Application withdrawn

Effective date: 20140422