EP1163488A1 - Procede et appareil de metrologie de plaquette - Google Patents

Procede et appareil de metrologie de plaquette

Info

Publication number
EP1163488A1
EP1163488A1 EP00921435A EP00921435A EP1163488A1 EP 1163488 A1 EP1163488 A1 EP 1163488A1 EP 00921435 A EP00921435 A EP 00921435A EP 00921435 A EP00921435 A EP 00921435A EP 1163488 A1 EP1163488 A1 EP 1163488A1
Authority
EP
European Patent Office
Prior art keywords
wafer
metrology
measurement region
station
metrology device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
EP00921435A
Other languages
German (de)
English (en)
Inventor
Fred E. Stanke
Michael Weber-Grabau
Douglas E. Ruth
Edric H. Tong
James M. Cahill, Jr.
Clinton Carlisle
Elliot Burke
Hung Pham
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sensys Instruments Corp
Original Assignee
Sensys Instruments Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US09/495,821 external-priority patent/US6690473B1/en
Application filed by Sensys Instruments Corp filed Critical Sensys Instruments Corp
Publication of EP1163488A1 publication Critical patent/EP1163488A1/fr
Withdrawn legal-status Critical Current

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B49/00Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation
    • B24B49/12Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation involving optical means
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • G01B11/0625Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating with measurement of absorption or reflection
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/30Measuring arrangements characterised by the use of optical techniques for measuring roughness or irregularity of surfaces
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/21Polarisation-affecting properties
    • G01N21/211Ellipsometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions

Definitions

  • This invention relates to the field of optical metrology, and in particular to in-iine thin-film reflectometry and profilometry for semiconductor wafers
  • CMP Chemical Mechanical Polishing
  • Optical methods are convenient to determine the thickness of thin films since light is generally non-destructive and non-invasive Measured optical properties of the surface or measured wave-optics effects due to the interaction of light with thin films yield desired information about the films residing on the wafer As critical dimensions on the wafer are reduced, optical metrology techniques must be advanced to obtain required precision and accuracy Economic factors also drive technology development for semi-conductor capital equipment Machines must process wafers at a rapid rate with high uniformity, high precision, and high reliability Since the fabrication must take place in a strictly controlled environment, the size of the machine is also an important factor Easy operation is also highly important, despite the complexity of the processing and measurements Performance in terms of these and other economic factors can be expressed through figures-of-me ⁇ t such as COO and OEE
  • the integrated metrology approach consists of integrating a metrology system into the process tool, enabling a substantial reduction in times required to perform metrological measurements and shortening feedback times between the metrology system and the process controls By measuring critical parameters as each wafer is processed, the process tool has information on the most recent wafer without stopping production This results in good wafer-to-wafer control
  • the integrated metrology approach also significantly reduces operating costs by reducing the requirement for expensive test wafers, speeding up process qualifications and maintenance schedules, and provides an overall reduction in scrap wafers From the above, it can be appreciated that many processes used in microelectronics manufacturing could benefit from integrated metrology, including CMP, plasma etch, chemical vapor deposition, and lithograpghy processes
  • Prior art commercial devices for integrated thin-film metrology are limited regarding combining the ability to precisely and accurately measure thin-film thickness while meeting the other industry requirements
  • prior art in-line devices are limited to measurements of films of about 80 nanometers thickness
  • prior art in-line devices are limited to measurements of films of about 80 nanometers thickness
  • film thickness of only a few tens of nanometers
  • prior art devices are limited in their ability to make rapid, successive measurements over the totality of a wafer's surface Therefore, there is a need within the semiconductor industry for improved apparatae and methods for integrated thin-film metrology offering significant advantages over limitations of the prior art
  • This invention is an apparatus for imaging metrology
  • One object is to integrate an
  • a metrology device is provided with a first imaging camera with a
  • imaging camera with a second field of view Preferred embodiments comp ⁇ se a broadband
  • ultraviolet light source although other embodiments may have a visible or near infrared light
  • spectrograph or an imaging spectrograph
  • the metrology station and the measurement region are configured to have 4
  • FIG. 1 shows an overview of the system hardware for a particular embodiment
  • FIG. 2A and FIG. 2B show a novel aspect of a particular embodiment
  • FIG. 3 shows an exemplary reference reflector embodiment
  • FIG. 4 shows an exemplary embodiment of the wafer aligner.
  • FIG. 5 illustrates calibration of the wafer aligner
  • FIG. 6 shows another aspect of the invention that improves the accuracy of wafer alignment
  • FIG. 7 illustrates the use of a large Field-Of-View (LFOV) camera and a small Field-Of-View (SFOV) camera to avoid groping in the process of locating a particular region of a wafer
  • LFOV Field-Of-View
  • SFOV Field-Of-View
  • FIG. 8 illustrates the advantage of using of the LFOV camera to enable easy die size determination during training.
  • FIG. 9 shows a specular auto-focus system.
  • FIG. 10 illustrates an asymmetric specular auto-focus system
  • FIG. 11 illustrates the sensitivity to tilt of an asymmetric specular auto-focus method.
  • FIG. 12 shows a particular embodiment of the auto-focus system
  • FIG 13 illustrates the increased sensitivity to surface displacement obtained by utilizing symmetrical specular auto-focus
  • FIG 14 shows the insensitivity to surface tilt of the symmetric specular auto-focus system
  • FIG 15 shows an alternate embodiment of the auto-focus system
  • FIG 16 illustrates the insensitivity to surface tilt of the embodiment shown in FIG 15
  • FIG 17 illustrates a wafer support embodiment
  • FIG 18 illustrates a wafer support embodiment
  • FIG 19 illustrates a wafer support embodiment
  • FIG 20 illustrates a wafer support embodiment
  • FIG 21 illustrates detail of a wafer support embodiment
  • FIG 22 illustrates detail of a wafer support embodiment
  • FIG. 23 illustrates an embodiment of wafer immersion hardware prior to wafer immersion
  • FIG. 24 illustrates an embodiment of wafer immersion hardware at an initial stage of wafer immersion
  • FIG. 25 illustrates an embodiment of wafer immersion hardware at a late stage of wafer immersion
  • FIG. 26 illustrates rotation of the wafer in the rotary wafer chuck.
  • FIG. 27 illustrates one embodiment of an integrated metrology apparatus.
  • FIG 1 shows an overview of the system hardware for a particular embodiment of this invention
  • reflectometer assembly 100 vacuum chuck 101 , vacuum chuck symmetry axis 102, light source fiber 103, first beam splitter 104 second beam splitter 105, semiconductor wafer 110, measurement region 111 , window 120, collimator 130, relay optics 135, first imaging optical assembly 137, second imaging optical assembly 138, third imaging optical assembly 139, spectrographs (including calibration filters) 140 and 141 , spectrograph fiber optic 145, pinhole mirrors 146, large field-of-view camera 150, small field-of-view camera 160, auto-focussing objective lens assembly 190, first optics breadboard 195, and second optics breadboard 197 are shown
  • Reflectometer assembly 100 comprises window 120 and first and second optics breadboards 195 and 197, respectively
  • First optics breadboard 195 is free to translate along the y axis, and may be driven by a direct-drive actuator in a particular embodiment
  • Second optics breadboard 197 is coupled to the first optics breadboard, however, the second optics breadboard is free to translate relative to the first optics breadboard along the x axis
  • Objective lens assembly 190 is attached to the second optics breadboard, however, it is free to translate along the z axis
  • the embodiment shown in FIG 1 has four degrees of freedom of movement translation along the (x,y,z) axes, and rotation of the vacuum chuck about the vacuum chuck symmetry axis In the embodiment shown in FIG 1 , all optical elements except those on second optics
  • Reflectometer assembly 100 takes measurements from selected regions of semiconductor wafer 110 To locate a particular region of the semiconductor wafer for measurement, a surface of the semiconductor wafer is imaged by large field-of-view camera 150, and small field-of-view camera 160
  • the large field-of-view camera has an approximately 20 mm x 27 mm field-of-view
  • the small field-of view camera has an approximately 1 mm x 1 3 mm field-of-view
  • Reflectometer assembly 100 comprises a broadband (UV, visible, NIR) reflectometer measurement system
  • the light source (not shown) may be a Xenon lamp fiber-coupled to the system via source fiber 103
  • Relay optics 135 transfer collimated light from lens assembly 130 to beam splitter 104
  • the light transmitted directly through the beam splitter from the source fiber is referred to as the monitor beam
  • the monitor beam does not interact with the measurement region 111
  • the portion of the illumination that the beam splitter directs toward the wafer is referred to as the measurement beam
  • the measurement beam reflects from the surface of the wafer, where its spectrum is modified by the presence of thin films on the wafer
  • First imaging optical assembly 137 focuses the measurement beam onto pinhole mirror 146
  • the light falling on a pin hole aperture in the pin-hole mirror passes into spectrograph fiber 145, which conveys it to spectrograph 140
  • the resulting spectrum is primary source of information about the films on the wafer
  • the monitor beam follows a similar but distinct path through another pinhole mirror 146 and spectrograph fiber 145 to spectrograph 141
  • the measured monitor spectrum is indicative of the illumination system and optical components, and may be used to correct the measurement of film properties for instrument characteristics
  • a portion of the measurement beam reflected by pinhole mirror 146 is refocused onto small field-of-view (SFOV) camera 160
  • the resulting image is indicative of patterns on semiconductor wafer 110
  • the pinhole itself is also imaged onto the SFOV as a dark spot superimposed on the image of the wafer's patterns This dark spot indicates the precise location where the thickness measurement is made with respect to the patterns on the wafer
  • the relative spectral content of both the incident and reflected light from semiconductor wafer 110 is measured
  • the thickness of thin-films deposited on the measurement region 1 10 can then be determined from the reflected "measurement beam” and incident "monitor beam” light by wave-optics principles well-known in the art
  • An advantage of the invention over the p ⁇ or art is scanning with relay mirrors is employed in only one spatial dimension If the light beams reflected from the relay mirrors were perfectly collimated and aligned, scanning would have no deleterious effects on the performance of the system However, due to diffraction, the beams cannot be perfectly collimated and perfect alignment is unattainable in practice Therefore, it would be preferable to scan the object with respect to the optics as little as possible
  • the majority of the optics scan in one dimension on the first optics breadboard, and the rest of the optics scan in two dimensions with respect to a laboratory-fixed coordinate system, but only one dimension (X) with respect to the first optics breadboard
  • the relay scan length is no more than one wafer diameter
  • the optics are fixed, and the objective scans in two dimensions, requiring a scan length of up to two wafer diameters
  • a further advantage of the invention over the prior art is that the optical path length remains constant, regardless of scan position Thus, if the object is treated as a focal point, with a specular reflection from the surface of the wafer, the amount of diffraction in the beam does not change In prior art devices, spatial scanning over a wafer surface changes the total optical path length, and thus the amount of diffraction suffered by a collimated beam
  • semiconductor wafer 1 10 is located above reflectometer assembly 100
  • the semiconductor wafer may be held in a pool of water below the optical system, which may be configured to look' down instead of up This would necessitate differences from FIG 1 in the handling of the wafer, which would have its device side up
  • either the optical system (including a mam window) may be lowered toward the semiconductor wafer or the semiconductor wafer may be raised toward the optical system
  • Such an alternate embodiment would be a 180 degrees rotation of the system about a horizontal axis, as compared to FIG 1
  • General rotations of the system relative to the configuration shown in FIG 1 are also possible, eg, 90 degrees The main impact of such rotations is on the wafer handling techniques
  • reflective optics in embodiments of the present invention may have advantages There are at least three advantages of reflective optics Fresnel reflections occur at the surfaces of refractive optics (ie lenses) and may be a source of systematic noise in the system For example, light that has suffered a Fresnel reflection at an objective lens can arrive at the detector even if there is no wafer present Thus, this light has no information about the wafer and is noise
  • reflective optics generally do not suffer from Fresnel reflections
  • Refractive optics also can limit the bandwidth of the light that passes through them in two ways
  • Preferred embodiments with refractive optics use anti-reflective coatings (ARC) to minimize Fresnel reflections
  • ARC's are resonant structures that operate well over a limited spectrum of wavelengths Outside of that range, their transmission is reduced, potentially limiting the bandwidth of the system
  • the index of refraction of most materials is a complex function of wavelength The imaginary part of the refractive index (K)
  • Reflective optics however, have certain constraints on aperture and geometry which make the refractive optics a preferred in certain embodiments
  • optics are color-corrected for the semiconductor wafer immersed in water
  • the design of the optics considers the water as an optical component
  • FIG 2A illustrates a prior art device with a single large window fixed relative to the laboratory
  • wafer 200, water surface 201 , containment wall 203, objective lens assembly 207, beam splitter 235, relay optic 237, and window 202 are shown It is noteworthy that this prior art device utilizes a single large window 202 For accurate measurements, window 202 must be of optical quality Due to the size of the window, this can lead to considerable expense
  • FIG 2B shows a novel approach according to aspects of this invention
  • wafer 200 column 201 , small scanning window 202, detector optics 203, beam splitter 235, mirror 237, optical fiber 204, optical assembly 205, illumination optics 206, and objective lens assembly 207 are shown
  • a portion of the optical system is a column of water fixed relative to the objective lens assembly 207
  • the floor of column 201 is a small window 202
  • Column sides 209 rise to leave only a small gap between themselves and the wafer Water flows into the column from supply line 206
  • a combination of surface tension and viscosity hold the water in place
  • water may need to be flowing continuously to maintain a continuous column between the wafer 200 and small window 202
  • Additional jets may be used to remove bubbles
  • the water column forms an optical element
  • Particular embodiments may comprise an extended water trough
  • the watertight, scanning optical assembly 205 has illumination optics 206, which receive light from optical fiber 204
  • the illumination optics transmit a beam of light (which may or may not be collimated) through beam splitter 235 to objective lens assembly 207
  • Objective lens assembly 207 focuses the beam onto the wafer and collects the reflected light and sends it to mirror 237 as a (collimated or uncol mated) beam
  • the mirror deflects the light reflected from wafer 200 into the detector optics 203, which comprises a pinhole spectrometer and a vision system employing pattern recognition (not shown) to allow for precise positioning of the optical assembly 205 to pre- taught locations on the wafer
  • Mechanical translation stages (not shown) scan the entire assembly 205 with its water column and optics
  • This aspect of the present invention has two advantages in comparison to utilization of a single large window and water bath First, the objective always looks through the same portion of the window, so that its quality does little to affect the quality of the measurement (Its effects can be removed by calibration) Second, because it is smaller than windows used in the prior art, it is much easier to obtain a very high- quality surface finish
  • FIG 3 shows an exemplary embodiment with a reference reflector
  • wafer 300, window 302, reference reflector 309, reference volume walls 310, reference volume 311 , main volume of water 301 , objective lens assembly 307 and relay optics 335 are shown
  • reference volume walls 310 separate the reference volume 311 from the main volume of water 301
  • Reference volume 311 may be filled with air, water, or other suitable substances
  • An aspect of this invention is to ensure that the reflectivity of reference reflector 309 is very stable over time
  • the distance between window 302 and the reference reflector can be adjusted if volume 311 is not filled with water, to put the reflector in focus when the objective lens assembly 307 is the same distance below the window 302, as when the wafer is in focus
  • the volume is filled with an inert solid, and the height of the reflective surface above the window 302 is adjusted appropriately
  • Reference reflector 309 may be of silicon, fused silica, chromium or any other inert material It may comprise layers of deposited material on a substrate to achieve mechanical and optical stability
  • the reference reflector comprises a fused silica substrate with a chromium film on a top surface
  • An alternative embodiment of the reference reflector uses silicon with a reflective oxide layer on a lower surface
  • reference reflector 309, reference volume walls 310 and the window 302 may be assembled in a variety of ways
  • the reflector and window are hermetically sealed to the window
  • the reference reflector, reference volume walls and the window are held together with a polymer adhesive, eg, epoxy or super glue
  • volume 309 is not sealed off from main volume 301
  • the components are either bonded together or held in place mechanically, for example with stops and springs
  • the reference volume is sealed in order to preserve the reflectance of the reflector, ie , to avoid it getting dirty or corroded due to materials introduced into the bath, eg , CMP slurry Sealing methods for volume 311 avoid the problem of breaks or leaks caused by different thermal expansion coefficients, either during operation or shipping
  • reference reflector 309 is placed in a position where the objective lens assembly 307 can have direct access to it
  • the objective lens assembly can scan in at least one dimension, and move to the location of the reference reflector
  • the reference reflector may do so as well While a preferred embodiment has the wafer above the objective lens assembly as illustrated in FIG 3, alternate embodiments may have the objective lens assembly above the wafer, or at an arbitrary inclination
  • a reference spectrum from the reference reflector 309 is collected periodically Following collection of a reference spectrum an algorithm utilizing the reference spectrum is used to calculate film thickness from spectra collected from wafer 300
  • a reference spectrum is collected every time just prior to a wafer measurement
  • every spectrum from the wafer is normalized with the most recently measured reference spectrum from the reference reflector
  • Calibration of the measurement apparatus may utilize a calibration wafer and the spectrum collected from it Calibration adjusts the algorithm described above so that it gives the correct answer for the calibration wafer
  • the reference spectrum should be used by the algorithm at calibration in the same way that it is used during measurements of wafers, so that any changes in the system between the last calibration and the current measurement will not affect the results of the algorithm
  • embodiments of this invention may include a reference reflector and dual spectrographs
  • the primary data for the measurement is the spectrum S, which is the system's output representing reflection from the sample under test
  • S depends on the characteristics of the broadband (UV, visible, NIR) illumination, the optical system, detectors and digitizers and other elements that comprise a measurement system
  • Such measurement system characteristics obscure information about the sample
  • an accurate measurement of film thickness should remove their effects
  • An aspect of this invention is to accurately measure the thickness of refractive index of films with quasi-normal incidence reflectometry
  • a cost function constructed with the spectra measured by the instrument may be used to determine the thickness, without employing an intermediate calculation of surface reflectance
  • the apparatus of this invention includes a calibration reflector, a reference reflector and a dual-beam spectrograph
  • the primary data for the measurement is the spectrum, S, which is the system's output representing reflection from the sample under test
  • the spectrum, S depends on the characteristics of the lamp, optical system, detector and digitizer, which comprise the measurement system
  • an arc lamp is the light source Flickering of the arc in it's housing produces very fast changes Bending or flexing of source fiber 103 (see FIG 1 ) and changing an optical path length due to scanning may give rise to fast changes Aging of the lamp may produce slow changes
  • the numerical aperture, NA is an exemplary characteristic of the system that remains essentially constant over time
  • dual spectrographs may collect two spectra essentially simultaneously, a reflection spectrum from the sample under test and the monitor spectrum that does not interact with the sample under test, as shown in FIG 1
  • the sample under test is the semiconductor wafer 110
  • the sample may also be the reference reflector or the calibration reflector, as discussed above
  • the optical path for light determining the monitor spectrum may be similar to the optical path for the light determining the measurement spectrum, except for transit to and from measurement region 1 11
  • a preferred embodiment of the two beams is shown in FIG 1
  • the illumination source may be identical for both beams
  • a beam splitter divides the reflected beam from the monitor beam, which proceeds straight through the beam splitter to the spectrograph 141
  • the reflected beam proceeds from the beam splitter, through the objective and to the sample, back through the objective and beam splitter to a mirror which deflects it parallel to the monitor beam to spectrograph 140
  • the paths from the beam splitter and mirror to the respective spectrometers may include other optical components which are not shown in FIG 1 but are, in a preferred embodiment, as similar as possible for the two beams
  • the reflection spectrum is the measurement spectrum S
  • its associated monitor spectrum is S m
  • the monitor spectrum is used to correct for rapid changes in the system, eg, flickering of the illumination source
  • This invention may utilize a reference spectrum S to correct for slowly varying characteristics of the system S r is the reflection spectrum from a sample in the system that has a very stable reflectance There is a corresponding monitor spectrum Sm collected at same time as S r The system can collect S r , for example, every time a new wafer is being loaded into the instrument
  • This invention may also utilize a calibration spectrum S c to correct for constant or very slowly varying characteristics of the system S c is the reflection spectrum from a known sample
  • S cm there is an associated calibration reference spectrum S c/ -and its monitor spectrum S cr m
  • the latter two spectra are collected just prior to or after S c , which is collected when the system is put into service, and thereafter at long intervals, eg, 3 months
  • the method for data reduction used to determine film properties may be parametric minimization of a cost function
  • a preferred cost function contains the eight spectra identified above, as well as the parameters to be measured and other information known about the sample and measurement system
  • the specific form of the cost function causes measurement system characteristics to balance, so that the minimization process depends only on the properties of the sample under test
  • Information about the measurement system preferably includes the noise characteristics of the spectra, for use in weighted optimization Information about the sample might include the thicknesses and optical properties of various materials in the sample, as well as limits for some or all the parameters As is known in the art, many types and strategies of minimization are possible
  • a preferred cost function is
  • FIG 4 shows an exemplary embodiment of the wafer aligner according to this invention
  • wafer 403, rotary chuck 402, motor 412, water 404, window 405, water level 410, motor housing 400, rotary seal 401 , light source 407, light 413, aligner window 408, detector 406, and tank wall 411 are shown.
  • rigid rotary chuck 402 holds wafer 403.
  • Motor 412 turns the rigid rotary chuck about an axis (not shown).
  • Water 404 fills the area above main window 405 up to water level 410 and over to tank wall 411.
  • Rotary seal 401 seals motor housing 400 from the water.
  • Light source 407 is also in a dry housing. The light source produces light 413 that passes through aligner window 408 from the dry housing into the water.
  • Detector 406 is in the dry volume below window 405. Some of the light 413 strikes wafer 403 and is blocked. The rest of the light passes through main window 405 into the dry volume below it, and onto the detector.
  • Rigid rotary chuck 402 rotates wafer 403. As the wafer rotates, the edge of the wafer that is directly over the detector moves radially (to the left and right in FIG. 4). The radial motion arises due to the wafer being off-center on the rigid rotary chuck or not being perfectly round. Aside from machining tolerances, the presence of a fiducial notch or flat on the rigid rotary chuck causes the wafer to be out of round.
  • Radial motion of the edge of wafer 403 over detector 406 changes the shadowing of light 413 which falls upon the detector.
  • the detector can be either a single long detector, eg, a photo-diode, or an array of detectors, eg, a CCD. In the former case, the total amount of the light falling on the detector is an indication of position of the edge of the wafer. As the edge of wafer 403 moves to the right in FIG. 4, the amount of light falling upon the detector decreases.
  • the output of the detector, I is some function of the position of the edge of the wafer, xe;
  • the detector may consist of an array of detectors, with each element in the array having a different location, ⁇ a .
  • the intensity of light falling on the different detector elements gives rise to a waveform:
  • FIG. 5 illustrates calibration of the wafer aligner.
  • spiral wafer 500, chuck 503, spiral edges 504 and 505, detector 506, and source 507 are shown.
  • Spiral wafer 500 has a thickness comparable to that of a silicon wafer; is made from a durable, clean, machinable, opaque material, eg, stainless steel; and has a mechanical index to insure that its center is aligned with the center of the chuck 503.
  • the spiral edges 504 and 505 block amounts of light emanating from source 507 from reaching detector 506.
  • the system records the detector output as a function of angle.
  • the discontinuity in the radius of the spiral 505 indicates when the spiral is over the detector.
  • the radius of the spiral as a function of angular displacement from the discontinuity 505 is known.
  • the functions g(xe)or f( ⁇ e ) can be recorded, so that ' or h can be calculated for use with real wafers.
  • the outcome of the above-described measurement enables the calculation of the location of a notch or flat on the wafer, and the location of the center of the wafer with respect to the center of the chuck, from I for a set of rotations covering 360 degrees with f or h.
  • FIG. 6 shows another aspect of the invention that improves the accuracy of wafer alignment.
  • beam splitter 600, lens 601 , reference detector 602, light source 607, window 608, rays 613, collimating lens 610, and wafer 606 are shown.
  • the intensity of the source 607 can vary as a function of, eg, time and temperature.
  • some portion of the light can be deflected by a beam splitter 600, possibly focused by lens 601 , and detected by reference detector 602.
  • the output from the reference detector can be used either to control the output intensity of the source, or to correct the inversion of data for variations in the source.
  • FIG. 6 shows another exemplary illumination scheme.
  • the source 607 produces diverging light.
  • lens 613 collimates the rays 613.
  • a collimated source may be used.
  • Additional embodiments may uses a diffusing element following the source in order to homogenize the spatial mode profile of the source.
  • FIG. 7 illustrates the use of a large Field-Of-View (LFOV) camera and a small Field-Of-View (SFOV) camera to avoid groping in the process of locating a particular region of a wafer.
  • LFOV 702, SFOV 703, LFOV pattern 704, and SFOV pattern 701 are shown.
  • LFOV 702 is generally larger than die 700, and much larger than the uncertainty in the location of the center of the wafer. Thus, it can be moved to location where it will certainly find LFOV pattern 704 on a die of a randomly oriented wafer. Once the LFOV pattern has been found, the system has much better knowledge of both the orientation of the wafer and the location of its center. Thus it is able to position the SFOV 703 over the SFOV pattern 701 without groping. This process has a deterministic time that is much shorter than the worst-case scenario for groping with just a SFOV, or than the time for physically aligning the wafer.
  • FIG. 8 illustrates the advantage of using of the LFOV camera to enable easy die size determination during training.
  • dies 800, inter-die streets 840, inter-die alleys 850, die features 805a-c, large field of view 802, small field of view patterns 803, 804 and 801 , and measurement site 806 are shown.
  • An initial rough estimate of die size can be made from three occurances of a die feature, eg. 805a-c, selected by the operator on three different dies.
  • the system can then use pattern recognition and the LFOV and/or SFOV cameras to obtain a very accurate determination of die size by locating LFOV and/or SFOV patterns, 804 and/or 801 , on various dies on the wafer With this method, it is not necessary for the operator to know the die size a priori
  • the large field of view covers a whole die, as shown in FIG 8
  • an operator can select the region of the die 800 to view with SFOV 103 This is similar to using a state map to navigate to a particular city
  • the operator can very precisely select SFOV pattern 801 and the measurement site 806 This is similar to finding the correct intersection on a city map
  • the thickness algorithm ie, the parametric minimization of the cost function discussed above, generally needs to have a priori information, the algorithm recipe, about each stack that is measured In cases where there are multiple sites per die with different stacks, the system must either use multiple algorithm recipes, or have a general algorithm recipe to accommodate the different stacks
  • FIG 9 shows a specular auto- focus system
  • sensor assembly 993, illumination source 991 , detector 992, sensor 990, surfaces 902, 907, and 920, axis 996, illumination beam 900, and reflected beam 904 are shown
  • the components in sensor assembly 993, illumination source 991 , detector 992 and sensor 990 are rigidly held with respect to one another
  • Surface 902 is the nominal surface
  • the nominal surface has the correct distance z from the assembly 993 and is normal to the axis of the sensor 996
  • Surface 907 is an example of a surface displaced by ⁇ from the nominal surface ⁇ is measured on the axis 996 of the sensor 990, as shown
  • Surface 920 is an exemplary surface tilted by angle ⁇ from the nominal surface.
  • the auto-focusing system components are the illumination source 991 and the detector 992.
  • Illumination source 991 generates incident illumination beam 900 and detector 992 detects beam 904 reflected from the surface, eg, 902, 907 or 920.
  • the angle between the beams and sensor axis 996 is ⁇ when the surface is not tilted.
  • the whole assembly 993 moves up and down with respect to the surface.
  • the system accomplishes this by adjusting the height of the assembly based on the output of the detector.
  • FIG. 10 illustrates an asymmetric specular auto-focus system.
  • incident illumination beam 1011 nominal reflecting surface 1002, reflection point 1003, reflected beam 1004, detector plane 1005, nominal detection point 1006, deflected plane 1007, displaced reflection point 1008, displaced reflected ray 1009, and displaced detection point 1010 are shown.
  • An illumination source (laser, photodiode, white light, incoherent, coherent) generates incident illumination beam 1011 travelling toward a nominal reflecting surface 1002.
  • the beam strikes the surface at reflection point 1003, where it produces reflected beam 1004.
  • Particular embodiments may focus the illumination source on the nominal reflecting surface. In other embodiments, the illumination source may be unfocused.
  • the reflected beam impinges on detector plane 1005 at the nominal detection point 1006. If the reflecting surface is displaced to another position, eg, 1007, there results a displaced reflection point 1008, a displaced reflected ray 1009, and a displaced detection point 1010.
  • the downward displacement of the surface gives rise to detection displacement ⁇ i.
  • the detector lying on the detector plane 1005 indicates the displacement ⁇ i of detection point 1010 from the nominal detection point 1006.
  • the detector could be a bi-cell detector, a position-sensitive detector (PSD), or a CCD array, or any other spatially sensitive optical detector.
  • PSD position-sensitive detector
  • CCD array or any other spatially sensitive optical detector.
  • the auto-focus system then adjusts the height of the sensor assembly above the surface to cause ⁇ i to be zero.
  • FIG. 1 1 illustrates the sensitivity to tilt of an asymmetric specular auto-focus method.
  • incident illumination beam 1100 nominal reflecting surface 1 120
  • detector plane 1105 nominal detection point 1106, deflected plane 1107, displaced reflected ray 1122, and displaced detection point 1123 are shown.
  • FIG. 12 shows a particular embodiment of the auto-focus system of this invention.
  • assembly 1293, lamp 1291 , spherical mirror 1250, detector plane 1205, lens 1295, beam-splitter 1294, nominal reflected beam 1204, beam 1200, measurement surface 1202, and detector 1292 are shown.
  • detector 1292 is on the same side of the assembly 1293 as lamp 1291.
  • Spherical mirror 1250 takes the original position of a detector plane in prior art devices.
  • Lens 1295 optionally focuses the beam to minimize the spot size on the wafer or photo- detector.
  • Beam-splitter 1294 allows the detector to be optically in the same position as the lamp.
  • Mirror 1250 is selected so that its focal length is half the distance of nominal reflected beam 1204, so that it essentially images surface onto itself. It is noteworthy that the system of FIG. 11 has left-right asymmetry, in that light propagates only from left to right. In comparison, the system in FIG. 12 is symmetrical since light propagates both from left to right and from right to left in the figure.
  • FIG. 13 illustrates the increased sensitivity to surface displacement obtained by utilizing symmetrical specular auto-focus.
  • illumination source 1391 and detector 1392 have been superposed for clarity so that in the figure the incident beam 1300 passes through the detector, which is not a practically possible.
  • the mirror has a focal plane 1314 that is imaged onto itself. The focal plane is centered on the nominal reflection point 1303, and normal to the nominal reflected beam 1304. For the nominal surface, the mirror 1320 reflects beam 1304 back along its path. This back-reflected beam is re-reflected from the wafer at nominal reflection point 1303 and returns to the detector along the same path as the incident beam 1300.
  • the nominal detection point 1305 lies along the incident beam 1300.
  • the incident beam reflects from displaced reflection point 1310.
  • the reflected beam passes through object point 1315 in focal plane 1314.
  • the back reflected beam 1313 passes from the mirror reflection point 1312 through the image point 1316 to the displaced second reflection point 1317.
  • the re-reflected beam 1318 then strikes the detector at the detection point 1319 that is some distance ⁇ 3 from the nominal detection point 1303.
  • the detection distance ⁇ 3 is greater than four times ⁇ i, the detection distance for a similar asymmetric auto-focus system.
  • the angles between rays, eg, 1313 and 1304 become greater and the sensitivity increases further.
  • the sensitivity of the symmetric system in FIG. 13 is greater than or equal to four times that of the equivalent asymmetric system.
  • FIG. 14 shows the insensitivity to tilt of the symmetric specular auto-focus system.
  • nominal surface 1402 tilted surface 1420, incident ray 1400, nominal reflected ray 1404, reflected ray 1421 , mirror 1422, and detector plane 1426 are shown.
  • surface 1420 is at the correct distance from the sensor assembly, but is tilted by angle ⁇ .
  • the reflected ray 1421 from mirror 1422 is tilted from the nominal reflected ray as a consequence, but is imaged back on itself by the mirror, so that the reflected ray hits the detector at exactly the nominal point 1403 in detector plane 1426.
  • a significant advantage of the SSA approach is that it can be configured in a straightforward manner to produce a linear error signal, with an auto-focus position at the zero crossing. Difference signals from any linear photo-detector (CCD, PSD, or bi-cell) can be used to supply the error signal feedback to correct focus.
  • the operational dynamic range of the SSA is determined by; the f/# of the optics, the size of the photo- detector; and the angle of incidence to the surface.
  • FIG 15 shows an alternate embodiment of the auto-focus system
  • lens 1500 and plane mirror 1501 are shown
  • the embodiment illustrated in FIG 15 comprises lens 1500 and plane mirror 1501 , which can replace the spherical mirror in other embodiments (see FIG 14 for example)
  • the focal length of the mirror is equal to the distance for the nominal reflection point to the lens' center
  • the distance from the lens to the mirror is also one focal length of the lens This reduces the sensitivity by roughly a factor of two in comparison to a system with a spherical mirror
  • FIG 16 illustrates the insensitivity to surface tilt of the embodiment shown in FIG 15 In FIG 16, lens 1600 and plane mirror 1601 are shown
  • the beams have been discussed and represented as lines
  • the beams can be focused, eg , on the wafer with a lens
  • Both symmetric systems roughly refocus the beam on the surface at re-ref lection, if it is focused at reflection
  • a wafer handler receives the wafer from a robot, with the device side of the wafer facing down
  • a wafer handler receives the wafer on a wafer ring with wafer supports, as shown in FIG 17
  • the wafer ring in a preferred embodiment has at least three positions relative to the chuck In a first position, illustrated in FIG 18 , the wafer ring is far enough from the chuck that the robot can place the wafer onto the wafer ring, as shown In the second position, as shown in FIG 19, the wafer ring draws the wafer up against the chuck, so that a vacuum applied to the chuck holds the wafer In the third position, as shown in FIG 20, the wafer ring drops slightly away from the chuck such that
  • This position allows the wafer on the chuck to rotate, while keeping it safe.
  • the wafer handler in FIG. 17-FIG. 20 has three distinct advantages as compared to prior art devices.
  • a suction cup on a wand typically picks up the wafer and lowers it into the measurement position, where it either rests on points around its edge, or is slighty bowed by downward force of the wand.
  • prior art devices do not:
  • the wafer is presented to the instrument with the device side down. It is necessary to contact the wafer only in the exclusion zone around its perimeter, eg, the outer 3 mm annulus of the wafer. There can be a significant uncertainty in the hand-off position where the robot is attempting to set wafer. Also, it is undesirable to obscure the view of the wafer from below, so that the instrument can see as much of the wafer's surface as possible.
  • FIG. 21 Two methods to meet the above-described constraints are illustrated in FIG. 21 and FIG. 22.
  • a wafer support is attached to the wafer ring to hold the wafer.
  • the top surface of the wafer support is sloped so that regardless of the location of the wafer, the wafer support touches only the very edge of the wafer.
  • the wafer is shown with its center coaxial with that of the wafer ring. Do to the uncertainty in the hand-off position, the wafer could be either to the left or the right. The required length of the wafer support is determined by the hand-off uncertainty.
  • An another embodiment of the invention, shown in FIG. 22, the wafer support has two slopes. The outer slope causes the wafer to be centered, regardless of the hand-off position of the wafer.
  • the wafer is gripped by its edge, rather than held by either supports or a vacuum chuck.
  • the grips may optionally rotate the whole wafer for alignment in one or more axes.
  • the chucked wafer is lowered into a water bath where the optical measurements are made. If the wafer is lowered straight down into the water, it is likely to capture bubbles under its surface that would have a deleterious effect on pattern recognition and spectroscopic measurements of thickness. In order to reduce the tendency to trap bubbles, it is advantageous to lower the wafer into the water so that their surfaces are not parallel during the operation. In the prior art this has been accomplished with the wand referred to above and a cam.
  • FIG. 23-FIG. 25 There are two support pivots, one on either side of the chuck assembly, which support the weight of the chuck assembly, and are driven up and down.
  • the chuck is at the bottom of the chuck assembly.
  • the motion of the chuck housing is further constrained by the combination of the stationary tilt anchor, the linkage and the tilt arm.
  • These three components are roughly on a plane perpendicular to and bisecting the line the attaches the two support pivots.
  • the linkage is attached to both the tilt anchor and the tilt arm by pivots.
  • the support pivots are in their highest position. As the support pivots lower, as shown in FIG.
  • the lowering chuck housing pulls down the left end of the linkage.
  • the linkage swings to a more horizontal position. As it does so, it pushes the tilt arm to the right, which, in turn, forces the chuck housing to rotate clockwise.
  • the wafer (not shown, but on the bottom of the chuck assembly) is held at a non-horizontal angle when it breaks the surface of the water. As it chuck assembly further lowers, it displaces water, so the water level water rises.
  • the linkage rotates beyond horizontal, and as it does so, it pulls the tilt arm to the left, causing the chuck housing to rotate counter clockwise.
  • the chuck housing is held roughly horizontal by the combined efforts of the support pivots and the linkage.
  • the precise position and rotation of the chuck housing at the bottom of the support pivots' travel is determined by kinematic hard stops. These are adjusted so the wafer is parallel to the x and y motions of the optical system which is below the support plate.
  • the support plate has the main window in it, which allows the optical system to 'see' the wafer.
  • the low-level sensor is used to insure that there is enough water for the system to operate as designed.
  • the high-level sensor is used to prevent the system from overflowing if the drain becomes blocked.
  • the wafer could descend into the water with its surface horizontal, ie, parallel to the mean water surface. In this case bubbles will be trapped with high probability.
  • There are several means for removal of such bubbles after they have formed including, water jets from the periphery of the wafer (and window), a squeegee, a water knife (like a windshield wiper, with high-velocity water substituting for the squeegee), and an ultrasonic beam. It would be possible to recognize the presence of bubbles from analysis of either the image or the measurement spectrum, and to control the bubble removal on that basis.
  • a preferred method comprises:
  • a cleaning solution eg, isopropal alcohol
  • An arm designed to deploy the fabric and draw it off from the center may be advantageous.
  • An addition method employs an ultrasonic cleaner to help dislodge particles from the surface of the main window. Once the particles are dislodged, continuous flow of the water down a drain may be sufficient to keep the main window clean. Scrubbing may be necessary to dislodge particles.
  • a squeegee eg, like a automobile's windshield wiper
  • Another advantage of aspects of this invention is to allow the optics to 'see' absolutely any point on the wafer, as shown in an exemplary embodiment in FIG. 26.
  • the view is looking up at the wafer.
  • the wafer is above the supports that are held by the wafer ring.
  • the vacuum chuck supports the wafer, and the supports are not touching the wafer at this time.
  • the scan range of the optics does not cover the full area of the wafer.
  • the target in its initial position, is obscured both because it falls outside of the scan range and because it is above the wafer support. Rotating the wafer so that the target is in the rotated target position allows the optics to 'see' the target. This is important especially when scans of thickness along a diameter of the wafer are desired to measure radial uniformity of the CMP process.
  • Another aspect of this invention is to use a vacuum chuck to flatten the wafer.
  • Prior art devices hold the wafer by its periphery on a ring, either by gravity or by a wand depressing the center of the wafer slightly.
  • the natural warpage of the wafer eg, due to stresses from films, causes the wafer surface to be non-planar.
  • the warpage of the wafer is overcome by a different, more repeatable non-planarity.
  • Using the vacuum chuck to flatten the wafer according to this invention eases auto-focusing, and reduces effects due to varying optical path length (including through the water) and tilt.
  • Wafer planarity is desirable for two reasons. To avoid tilts of the wafer surface relative to the optical beam for making, eg, thickness measurements. The reflection coefficients from the wafer are functions of angle, so that tilts can produce an error in any measurements based on reflectivity. The second reason has to do with focus. If the optical path through the water changes due to non-planarity of the wafer, it will degrade the focusing ability of the optical system due to aberrations. The third reason has to do with auto-focus. With any auto-focus system that does not have the same effective angle of incidence as the measurement beam, there will be a residual error between where the auto-focus system is in focus and the where the measurement beam is in focus. This is because the objective is focused by changing an optical distance in air to compensate for an change in optical distance in water, and refraction of the light beams.
  • a through-the-lens auto-focus system is an alternative to the auto-focus system described above.
  • the apparatus can transmit and receive the auto-focus light through a beam splitter that replaces one of the turn mirrors in the system.
  • a beam would be launch along the collimated measurement or monitor beam and ultimately up to the wafer where it is reflected and travels back along a collimated beam (the same or another), where it is detected after passing through a beam splitter the same or another).
  • One method that could be used in this manner is that used in CD's.
  • An astigmatic focused beam shines on a quad cell, whose four cells are designated N, S, E, W, as the points of a compass.
  • the beam is defocused in one direction, it spreads in the N and S directions, and contracts in the E and W directions. If the beam is defocused in the other direction, it contracts in the N and S directions, and spreads in the E and W directions.
  • the spreading and contracting on the quad cell can be detected electronically and used to control the focus of the measurement system.
  • the pinhole can have a square cross section (perpendicular to the measurement or monitor beams), which allows for greater light transmittance without an increase in t he minimum box size that can be used for the measurement, if the 'box' that the measurement is made in is also square, as is typically the case.
  • Another aspect of this invention is a software joystick.
  • the problem is how to allow the user of a software system for a motor controlled camera to move the camera to locate features.
  • the user will not have physical access to the motors moving the camera.
  • the camera view displayed in the software may be less than 0.01 % of the area covered by the full motion of the camera. The user needs to be able to move the position of the camera to see other parts of the total available view.
  • This type of issue may be resolved through the use of a physical device consisting of a control stick connected to one or more position detecting transducers.
  • the user moves the stick's position.
  • the position is translated into relative speeds of the motors moving the camera.
  • the view of the camera is continuously updated to allow the user to see where the camera is positioned.
  • This type of device is called a joystick. It is a handy device because it is easy for a user to associate the position of the stick with the motion of the camera. This is particularly true of a multi- dimension joystick.
  • a standard software control called a slider bar or slider.
  • This software control on a graphical user interface is displayed to look like a sliding potentiometer.
  • the user can change a value associated with the software control by using the mouse pointer to move the position of the slider on the screen.
  • This type of control often has a pair of arrows. If the user selects one of the arrows, the value of the slider changes in the direction that the arrow points by some fixed amount.
  • the separate hardware transducers of the joystick are often replaced with software controls.
  • a two dimensional joystick could be replaced with two slider bars.
  • each time the user selects one of the four arrow buttons the camera moves by a fixed amount in a direction dictated by the arrow.
  • the four arrow buttons could be replaced or added to through the use of the four keyboard arrow keys, often used to move a text cursor.
  • the software control device may hereinafter be referred to as a software joystick.
  • the software joystick is designed to control two dimensions of motion in a coordinated fashion. It may be represented on a screen as a circle. Selecting and holding a mouse button within the control area of the software joystick is like holding onto the handle of a hardware joystick. The position of the mouse cursor within the control area may be used to determine how to control the motion of the camera. Releasing the mouse button within the control area of the software joystick is like releasing the handle of a hardware joystick.
  • control area of the software joystick is a rectangle around the circle. If the cursor is inside the circle when the mouse button is released, control values of the software joystick return to the values at the center. If the mouse button is released within the rectangular control area but outside of the circle, the control values of the software joystick remains at the last selected values.
  • the software joystick may have two control values, one for the horizontal (X- axis) and one for the vertical (Y-axis). Each value may be a monotonic function of the distance from the center of the circle.
  • This graphical user interface software control may allow the users of our software to control the motorized position of the camera with coordinated multi-axis motion. It may also allow easy modification of the speed of either or both axis.
  • Embodiments of the present invention comprise both systems for determining film thickness and a profilometer to determine amounts of recess, dishing, or other departures from planarity of a wafer surface. Details of aspects of such embodiments are in Appendix A.
  • the profilometer may be coupled to the same optics breadboards shown in FIG. 1 for reflectometer system 100.
  • Preferred embodiments may also require the use of flexural bearings for smooth repeatable motion on micrometer or sub-micrometer scales. These embodiments find great applicability for use on wafers with both dielectric and metal structures.
  • the profilometer may be an acoustic profilometer or an optical profilometer.
  • a particular embodiment of an optical profilometer may use the auto-focus system described above to determine a relative profile of a wafer surface.
  • the auto-focus system is inherently sensitive to the profile of the wafer surface since departures from planarity of the wafer surface will cause differences in the focussing of light rays reflected from the wafer surface.
  • Other embodiments of this invention may include apparatae for high-contrast imaging of the wafer surface.
  • Particular embodiments may utilize aspects of differential interference contrast (DIC) techniques. Polarization techniques may be incorporated to infer quantitative information about the wafer surface according to techniques well known in the art.
  • DIC differential interference contrast
  • an integrated interferometer, and imaging spectrograph may be used to simultaneously determine the wafer surface's profile and material content.
  • Other embodiments may comprise an ellipsometer.
  • Preferred embodiments comprise motion control systems, image pattern recognition systems, and software to determine the quantities of interest from measured data.
  • the optical system according to the present invention may also be used to infer erosion of the wafer surface. It is also suitable for use in wafers with closely spaced metal and dielectric structures. In cases where the presence of metals or other materials must be accounted for in the data analysis, different embodiments of this invention may utilize empirical calibrations or theoretical models or a combination of theoretical and empirical methods.
  • An aspect of this invention is precision positioning of optical systems relative to patterns on a wafer surface.
  • Optics breadboards may be positioned with a direct drive motor/lead screw.
  • components of a motor are mounted directly on a lead screw shaft (see Appendix A). According to this invention, by eliminating coupling elements, a more compact, torsionally stiff and alignable drive mechanism results.
  • Embodiments of this invention may be integrated into a wafer fabrication line. As described above, different embodiments of this invention allow it to be at different positions relative to the wafer under test. Particular embodiments utilize raiser and feeder elements (see Appendix A for detail) to take wafers from other locations and introduce them to an apparatus according to this invention.
  • FIG. 27 shows a preferred embodiment integrated with a wafer process station in a fabrication line.
  • the process station in the embodiment in FIG. 27 is a polisher.
  • a polishing machine 1 and an integrated surface metrology station, ISMS 10, are shown.
  • the polishing machine 1 comprises a polishing unit 14, loading areas 18 and transport system 22.
  • wafers 16 in carriers 18 are shown.
  • the metrology station is apart from the process station and coupled to the process station.
  • Wafers 16 are brought to and taken from polishing machine 1 in carriers 18 through loading areas 20.
  • the carriers may be cassettes or FOUPs, terms common in the art.
  • Transport system 22 is a device or set of devices for transporting the wafers within polisher 1. Specific embodiments may comprise a robot, such as the EquipeWTM-105. The transport system can move the wafers to any of the carriers 18, the polishing unit 14 or the ISMS 10.

Landscapes

  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Mechanical Engineering (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Abstract

L'invention concerne un appareil conçu pour imager la métrologie, qui selon des modes de réalisations spécifiques, peut faire partie d'un poste de processeur, de telle manière que ce dernier est éloigné mais couplé à un poste de traitement. Cette invention concerne le poste de métrologie pourvu d'une première caméra à imagerie dont un premier champ contient la zone de mesure. D'autres modes de réalisation comprennent une seconde caméra à imagerie dotée d'un second champ. Des modes de réalisation préférés incluent une source de lumière ultraviolette à large bande, bien que d'autres modes de réalisation peuvent comprendre une source de lumière à infrarouge visible ou proche de largeur de bande optique étroite ou large. Des modes de réalisation comprenant une source de largeur de bande large comportent généralement un spectrographe, ou un spectrographe à imagerie. Des modes spécifiques de réalisation peuvent inclure des dispositifs optiques courbés, réfléchissants ou une zone de mesure mouillée par un liquide. Selon une mode de réalisation typique, le poste de métrologie et la zone de mesure sont conçus pour avoir 4 degrés de liberté de mouvement l'un par rapport à l'autre.
EP00921435A 1999-03-22 2000-03-22 Procede et appareil de metrologie de plaquette Withdrawn EP1163488A1 (fr)

Applications Claiming Priority (11)

Application Number Priority Date Filing Date Title
US495821 1990-03-15
US12546299P 1999-03-22 1999-03-22
US125462P 1999-03-22
US12891599P 1999-04-12 1999-04-12
US128915P 1999-04-12
US14319999P 1999-07-09 1999-07-09
US143199P 1999-07-09
US17285199P 1999-12-10 1999-12-10
US172851P 1999-12-10
US09/495,821 US6690473B1 (en) 1999-02-01 2000-02-01 Integrated surface metrology
PCT/US2000/007709 WO2000057127A1 (fr) 1999-03-22 2000-03-22 Procede et appareil de metrologie de plaquette

Publications (1)

Publication Number Publication Date
EP1163488A1 true EP1163488A1 (fr) 2001-12-19

Family

ID=27537723

Family Applications (1)

Application Number Title Priority Date Filing Date
EP00921435A Withdrawn EP1163488A1 (fr) 1999-03-22 2000-03-22 Procede et appareil de metrologie de plaquette

Country Status (5)

Country Link
EP (1) EP1163488A1 (fr)
JP (1) JP2002540388A (fr)
AU (1) AU4175800A (fr)
TW (1) TW493205B (fr)
WO (1) WO2000057127A1 (fr)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6744850B2 (en) 2001-01-11 2004-06-01 Therma-Wave, Inc. X-ray reflectance measurement system with adjustable resolution
US6507634B1 (en) 2001-09-19 2003-01-14 Therma-Wave, Inc. System and method for X-ray reflectometry measurement of low density films
US6738136B2 (en) 2001-11-09 2004-05-18 Therma-Wave, Inc. Accurate small-spot spectrometry instrument
WO2005064642A1 (fr) * 2003-12-25 2005-07-14 Ebara Corporation Appareil support de substrat, procede permettant de supporter un substrat, et appareil de traitement d'un substrat
DE102004033208B4 (de) * 2004-07-09 2010-04-01 Vistec Semiconductor Systems Gmbh Vorrichtung zur Inspektion eines mikroskopischen Bauteils mit einem Immersionsobjektiv
DE102004033195A1 (de) * 2004-07-09 2006-02-23 Leica Microsystems Semiconductor Gmbh Vorrichtung zur Inspektion eines mikroskopischen Bauteils
JP5417343B2 (ja) 2007-12-27 2014-02-12 ラム リサーチ コーポレーション 少なくとも1つの光源を使用してエンドエフェクタ・アラインメントを校正するためのシステムおよび方法
US8860955B2 (en) * 2007-12-27 2014-10-14 Lam Research Corporation Arrangements and methods for determining positions and offsets
WO2010013325A1 (fr) * 2008-07-30 2010-02-04 株式会社ニレコ Spectrophotomètre
US8547538B2 (en) * 2011-04-21 2013-10-01 Applied Materials, Inc. Construction of reference spectra with variations in environmental effects
US9831110B2 (en) 2015-07-30 2017-11-28 Lam Research Corporation Vision-based wafer notch position measurement
WO2017198764A1 (fr) * 2016-05-20 2017-11-23 Sentech Instruments Gmbh Dispositif et procédé pour mesurer des épaisseurs et des indices de réfraction de couches sur des surfaces rugueuses et lisses
US10770327B2 (en) * 2017-07-28 2020-09-08 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for correcting non-ideal wafer topography
WO2023114535A1 (fr) * 2021-12-17 2023-06-22 Carnegie Mellon University Système, procédé et produit programme d'ordinateur pour la détection de vibration optique

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4402613A (en) * 1979-03-29 1983-09-06 Advanced Semiconductor Materials America Surface inspection system
US4505585A (en) * 1981-03-31 1985-03-19 Olympus Optical Co., Ltd. System for detecting defects on an optical surface

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4659220A (en) * 1984-10-22 1987-04-21 International Business Machines Corporation Optical inspection system for semiconductor wafers
US5747813A (en) * 1992-06-16 1998-05-05 Kla-Tencop. Corporation Broadband microspectro-reflectometer
IL111229A (en) * 1994-10-10 1998-06-15 Nova Measuring Instr Ltd Autofocusing microscope
US5835225A (en) * 1994-11-30 1998-11-10 Micron Technology, Inc. Surface properties detection by reflectance metrology
US5825498A (en) * 1996-02-05 1998-10-20 Micron Technology, Inc. Ultraviolet light reflectance method for evaluating the surface characteristics of opaque materials
EP0865342B1 (fr) * 1996-06-15 2002-03-13 Unova U.K. Limited Ameliorations apportees aux meules
EP0961928A4 (fr) * 1997-02-21 2000-04-26 Sidney Braginsky Procede servant a detecter des defauts par balayage sur des tranches a semi-conducteurs
US6108091A (en) * 1997-05-28 2000-08-22 Lam Research Corporation Method and apparatus for in-situ monitoring of thickness during chemical-mechanical polishing
US5900354A (en) * 1997-07-03 1999-05-04 Batchelder; John Samuel Method for optical inspection and lithography

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4402613A (en) * 1979-03-29 1983-09-06 Advanced Semiconductor Materials America Surface inspection system
US4505585A (en) * 1981-03-31 1985-03-19 Olympus Optical Co., Ltd. System for detecting defects on an optical surface

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See also references of WO0057127A1 *

Also Published As

Publication number Publication date
JP2002540388A (ja) 2002-11-26
WO2000057127A1 (fr) 2000-09-28
WO2000057127A9 (fr) 2002-03-28
TW493205B (en) 2002-07-01
AU4175800A (en) 2000-10-09

Similar Documents

Publication Publication Date Title
US6563586B1 (en) Wafer metrology apparatus and method
US5640242A (en) Assembly and method for making in process thin film thickness measurments
US9645097B2 (en) In-line wafer edge inspection, wafer pre-alignment, and wafer cleaning
US6829054B2 (en) Integrated surface metrology
US6752689B2 (en) Apparatus for optical inspection of wafers during polishing
WO2000057127A1 (fr) Procede et appareil de metrologie de plaquette
US7224450B2 (en) Method and apparatus for position-dependent optical metrology calibration
US5563709A (en) Apparatus for measuring, thinning and flattening silicon structures
US20020018217A1 (en) Optical critical dimension metrology system integrated into semiconductor wafer process tool
US6287734B2 (en) Exposure method
US6733619B2 (en) Apparatus for integrated monitoring of wafers and for process control in semiconductor manufacturing and a method for use thereof
US7177019B2 (en) Apparatus for imaging metrology
JP4909480B2 (ja) 層および表面特性の光学測定方法およびその装置
US9719943B2 (en) Wafer edge inspection with trajectory following edge profile
US6791686B1 (en) Apparatus for integrated monitoring of wafers and for process control in the semiconductor manufacturing and a method for use thereof
US6579149B2 (en) Support and alignment device for enabling chemical mechanical polishing rinse and film measurements
JP2003161615A (ja) 表面形状測定装置
US7042580B1 (en) Apparatus for imaging metrology
US8045250B1 (en) Optical scanning using rotating parallel plate
CN114675514A (zh) 调平调焦装置
JPH05133838A (ja) 屈折率分布測定方法
JPH04323532A (ja) 屈折率分布測定方法およびその装置

Legal Events

Date Code Title Description
PUAI Public reference made under article 153(3) epc to a published international application that has entered the european phase

Free format text: ORIGINAL CODE: 0009012

17P Request for examination filed

Effective date: 20011004

AK Designated contracting states

Kind code of ref document: A1

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LI LU MC NL PT SE

AX Request for extension of the european patent

Free format text: AL;LT;LV;MK;RO;SI

17Q First examination report despatched

Effective date: 20020607

GRAP Despatch of communication of intention to grant a patent

Free format text: ORIGINAL CODE: EPIDOSNIGR1

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: THE APPLICATION IS DEEMED TO BE WITHDRAWN

18D Application deemed to be withdrawn

Effective date: 20041008