EP1076916A1 - Cellule de memoire flash a grilles auto-alignees et son procede de fabrication - Google Patents

Cellule de memoire flash a grilles auto-alignees et son procede de fabrication

Info

Publication number
EP1076916A1
EP1076916A1 EP00908762A EP00908762A EP1076916A1 EP 1076916 A1 EP1076916 A1 EP 1076916A1 EP 00908762 A EP00908762 A EP 00908762A EP 00908762 A EP00908762 A EP 00908762A EP 1076916 A1 EP1076916 A1 EP 1076916A1
Authority
EP
European Patent Office
Prior art keywords
layer
gate
oxide
floating gate
silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
EP00908762A
Other languages
German (de)
English (en)
Inventor
Chiou-Feng Chen
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Actrans System Inc USA
Original Assignee
Actrans System Inc USA
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US09/255,360 external-priority patent/US6140182A/en
Priority claimed from US09/275,670 external-priority patent/US6091104A/en
Application filed by Actrans System Inc USA filed Critical Actrans System Inc USA
Publication of EP1076916A1 publication Critical patent/EP1076916A1/fr
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42324Gate electrodes for transistors with a floating gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40114Multistep manufacturing processes for data storage electrodes the electrodes comprising a conductor-insulator-conductor-insulator-semiconductor structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42324Gate electrodes for transistors with a floating gate
    • H01L29/42328Gate electrodes for transistors with a floating gate with at least one additional gate other than the floating gate and the control gate, e.g. program gate, erase gate or select gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/788Field effect transistors with field effect produced by an insulated gate with floating gate
    • H01L29/7881Programmable transistors with only two possible levels of programmation
    • H01L29/7883Programmable transistors with only two possible levels of programmation charging by tunnelling of carriers, e.g. Fowler-Nordheim tunnelling
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B69/00Erasable-and-programmable ROM [EPROM] devices not provided for in groups H10B41/00 - H10B63/00, e.g. ultraviolet erasable-and-programmable ROM [UVEPROM] devices

Definitions

  • This invention pertains generally to semiconductor devices and, more particularly, to a flash memory cell with self-aligned gates and to a process for fabricating the same.
  • EPROM Electrically programmable read only memory
  • UV Ultra-Violet
  • Electrically erasable programmable read only memory overcomes this problem and permits data to be erased electrically in a much shorter period of time, typically less than 2 seconds. However, it still has a disadvantage in that the data must be erased on a byte-by-byte basis.
  • Flash EEPROM is similar to EEPROM in that data is erased electrically and relatively quickly. However, with flash EEPROM, the data is erased in blocks which typically range in size from 128 to 64K bytes per block, rather than on a byte-by-byte basis.
  • EPROM, EEPROM or flash EEPROM memory devices fabricated with conventional shallow trench and LOCOS (local oxidation of silicon) isolation techniques are illustrated in Figures 1 and 2.
  • Each of these devices includes an array of memory cells 1 1 , each of which has a floating gate 12 and a control gate 13.
  • the floating gate is an isolated island of polycrystalline or amorphous silicon which is formed above a thin gate oxide 14 in an active area 16 where the source, drain and channel regions
  • the control gate is positioned above the floating gate, and is usually made of heavily doped polysilicon or polycide.
  • a dielectric film 17 is positioned between the two gates. Depending upon the application, the dielectric can be an ONO (oxide/nitride/oxide) film, a pure oxide film, or another combination of oxide and nitride.
  • the threshold voltage of a memory cell is dependent upon the amount of electrons stored inside the floating gate.
  • Most memory cells can store two-bit data, e.g. a logic "1 " for the conduction state when the threshold voltage is low, and a logic "0" for the non-conduction state when threshold voltage is high.
  • multi-level cells can store more than two bits per cell, e.g. 4 bits, 8 bits, or more, per cell. This is accomplished by controlling the amount of electrons inside floating gate more precisely so that more than two different threshold voltages can be achieved.
  • the floating gate has been formed by thermally growing the gate or tunnel oxide 14 to a thickness on the order of 70 - 250 ⁇ on the active areas 16 of a silicon substrate 18 between isolation oxide regions 19 which separate adjacent ones of the memory cells 1 1 .
  • a conductive layer 21 is then formed on the gate oxide, and the dielectric film 17 is formed on the conductive layer.
  • the conductive layer is typically a chemical vapor deposited (CVD) polysilicon film or an amorphous silicon film having a thickness on the order of 1500 - 2500 A, and is doped with phosphorus, arsenic or boron either by in situ doping or by ion implantation.
  • the dielectric film is either pure oxide or a combination of oxide and nitride.
  • a photolithographic mask is formed on the dielectric film to define either a complete floating gate pattern in which all four sides of the floating gate are delineated or a partial floating gate pattern in which only the two sides along the edges of the active area are delineated.
  • the unmasked portions of the dielectric film and the conductive layer are etched away anisotropically to form the floating gate pattern.
  • a second conductive layer 22 is then formed over the dielectric layer, and a second photolithographic mask is formed on the second conductive layer to define the control gate pattern and to complete the definition of the floating gate pattern in cases where that pattern was only partly defined previously.
  • the unmasked portions of the second conductive layer and the dielectric layer are then etched away anisotropically to complete the control gate pattern and to complete the floating gate pattern where that pattern has not been completed previously.
  • FIG. 3 Top views of stack-gate and split-gate memory cell arrays made with the shallow trench or LOCOS techniques are illustrated in Figures 3 and 4.
  • the floating gates 12 have end caps 23, 24 which extend over the isolation oxide regions 19.
  • Control gates 13 overlie the floating gates and form a word lines.
  • the control gates include portions 13a which are used as select gates, with no portion of the floating gates beneath them.
  • Bit lines 25, which are typically made of metal and separated by isolation oxide 19, interconnect the drains of the memory cells in each column.
  • Source lines 26 which typically consist of P + or N + diffusion layers in the silicon substrate, interconnect the sources of the memory cells in the same row.
  • End caps 23, 24 are required in order to provide tolerance for corner rounding and shifting of the floating gate patterns relative to the isolation oxide regions during the photolithographic masking step(s) in which the floating gates are formed.
  • the corner rounding effect may make the edges 27 shorter after the photolithographic step(s), and the shift of the floating gate relative to the active area may cause the edges 27 to move beyond the edges 28 of the active areas. Either or both of those effects can result in a floating gate which does not completely cover the active area, and that can create a leakage path which will cause the transistor to malfunction.
  • end caps 23, 24 In extending over the isolation oxide regions, end caps 23, 24 also help to form large capacitance areas 29 between the control gate and the floating gate, which results in a large coupling ratio between the two gates. This large ratio is important in memory cells because more voltage can be coupled from the control gate to the floating gate during write and erase operations.
  • U.S. Patent 5,767,005 describes a process for fabricating a self-aligned floating gate which does not have caps overlying the isolation, or field, oxide.
  • the floating gate is formed by depositing a conductive layer over the field oxide as well as in a recess formed in the field oxide over the active region of the substrate.
  • the conductive layer is then planarized by a step such as chemical mechanical planarization (CMP) until the top of the conductive material is coplanar with the upper surface of the field oxide and the material is left only in the recess.
  • CMP chemical mechanical planarization
  • Another object of the invention is to provide a semiconductor device and process of the above character which overcome the limitations and disadvantages of the prior art.
  • isolation oxide regions are formed on opposite sides of an active area in a substrate to a height above the substrate on the order of 80 to 1 60 percent of the width of the active area, a gate oxide is formed over the active area, a first layer of silicon is deposited on the gate oxide and along the sides of the isolation oxide regions to form a floating gate having a bottom wall which is substantially coextensive with the gate oxide and side walls having a height on the order of 80 to 160 percent of the width of the bottom wall, a dielectric film is formed on the floating gate, and a second layer of silicon is deposited on the dielectric film and patterned to form a control gate which is capacitively coupled with the floating gate.
  • a control gate or a thick dielectric film is used as a mask in the formation of a floating gate and also as a step in the formation and alignment of a select gate.
  • the floating gate is relatively thin and has a side wall with a rounded curvature which, in some embodiments, serves as a tunneling window for electrons migrating to the select gate during erase operations.
  • the gate oxide beneath the floating gate is relatively thin, and the electrons tunnel through the gate oxide to the source region in the substrate below.
  • Figure 1 is a schematic cross sectional view of a floating gate memory device of the prior art with shallow trench isolation.
  • Figure 2 is a schematic cross sectional view of a floating gate memory device of the prior art with LOCOS isolation.
  • Figure 3 is a schematic top plan view of a stack-gate memory cell array of the prior art.
  • Figure 4 is a schematic top plan views of a split-gate memory cell array of the prior art.
  • Figures 5A - 5H are schematic cross sectional views illustrating the steps in one embodiment of a process for fabricating a stack-gate or split-gate memory cell using shallow trench isolation in accordance with the invention.
  • Figure 6 is a schematic top plan of a stack-gate memory device manufactured in accordance with the process of Figures 5A - 5H.
  • Figure 7 is a cross-sectional view taken along line 7-7 in Figure 6.
  • Figures 8A - 8E are schematic cross sectional views illustrating the steps in another embodiment of a process for fabricating a stack-gate or split- gate memory cell using LOCOS isolation in accordance with the invention.
  • Figure 9 is a schematic top plan of a split-gate memory cell array manufactured in accordance with the process of Figures 8A - 8E.
  • Figure 10 is a cross-sectional view taken along line 10-10 in Figure 9.
  • Figures 1 1 A and 1 1 B are schematic cross sectional views illustrating the steps in another embodiment of a process for fabricating a nonvolatile memory device using shallow trench isolation in accordance with the invention.
  • Figures 12A and 12B are schematic cross sectional views illustrating the steps in another embodiment of a process for fabricating a nonvolatile memory device using LOCOS isolation in accordance with the invention.
  • Figures 13A - 13G are schematic cross sectional views illustrating the steps in one embodiment of a process for fabricating a flash memory cell with self-aligned floating, control, and select gates.
  • Figures 14 is a cross sectional view of an embodiment of a flash memory cell which has self-aligned floating and select gates, but no control gate.
  • Figure 15 is a cross sectional view of another embodiment of a flash memory cell which has self-aligned floating, control and select gates.
  • Figures 16 - 19 are top plan views of memory cell arrays utilizing the memory cells of Figures 13G, 14 and 15.
  • Figures 20 and 21 are circuit diagrams of the memory cell arrays of Figures 16 - 19.
  • shallow trenches 31 are formed in a silicon substrate 32.
  • the silicon can be an N-well material, a P-well material or simply a P-type material.
  • a pad oxide 33 is formed on the substrate, and a silicon nitride layer 34 is deposited on the pad oxide. These layers are patterned to form a mask, and the substrate is etched through the mask to form the trenches.
  • An isolation oxide 36 is then deposited in the trenches and planarized so that it is level with the upper surface of the nitride layer.
  • the oxide can, for example, be deposited by chemical vapor deposition (CVD), and planarized by CMP polishing.
  • pad oxide 33 and nitride layer 34 serve not only as a mask for forming the trenches, but also as a means for building up the height of the isolation oxide.
  • the step height 35 i.e. the height of the upper surface of the nitride layer above the upper surface of the silicon substrate
  • the step height 35 is important because it provides the floating gate with a large side wall along the edge of the isolation oxide, which increases the capacitance between the control gate and the floating gate. With a large coupling ratio, more voltage is coupled from the control gate to the floating gate during write and erase operations of the memory cell.
  • the nitride layer is stripped away, and a thin silicon layer 39 is deposited as illustrated in Figure 5B. This layer is etched anisotropically to form silicon spacers along the edges of the isolation oxide, as illustrated in Figure 5C. These spacers are then thermally oxidized to form steeply sloped oxide spacers, as illustrated in
  • FIG. 5D Thereafter, pad oxide 33 is removed from the silicon substrate by a wet dip, and a thin gate or tunnel oxide 37 is formed on active areas 38, as illustrated in Figure 5E.
  • the gate oxide is thermally grown and has a thickness on the order of 70 - 150 A.
  • a relatively thin silicon layer 41 is then deposited on the gate oxide and the isolation oxide, and a nitride film 42 is deposited on the silicon.
  • the silicon layer has a thickness on the order of 100 - 1000 A, which is substantially thinner than the floating gates (1500 - 2500 A) of prior art devices. It can be either polysilicon or amorphous silicon.
  • the silicon is preferably doped with phosphorus, arsenic or boron to a level on the order of 10 17 to 10 20 per cm 3 . The doping can be done in-situ during deposition of the silicon or by ion implantation through the nitride.
  • a silicon-on-glass (SOG) or CVD oxide 43 is deposited over the nitride film, and planarized by etch back or CMP polishing to the level of the upper surface of the nitride film over the isolation oxide 36, as illustrated in Figure 5F. That leaves oxide 43 only in the regions above active areas 38, and using that oxide as a mask, the nitride film is etched away in the areas over the isolation oxide. The remaining SOG or CVD oxide is then etched away with a wet chemical solution, exposing the nitride 42 in the active areas.
  • SOG silicon-on-glass
  • CVD oxide 43 is deposited over the nitride film, and planarized by etch back or CMP polishing to the level of the upper surface of the nitride film over the isolation oxide 36, as illustrated in Figure 5F. That leaves oxide 43 only in the regions above active areas 38, and using that oxide as a mask, the nitride film is etched away in the areas over the isolation oxide. The
  • the silicon 41 above the isolation oxide is removed by an anisotropic dry etch, as shown in Figure 5G, leaving the silicon only in the active areas to form floating gates 44.
  • Those gates extend along the top surface of gate oxide 37 and along the side edges of the isolation oxide.
  • a dielectric film 46 is deposited over the floating gates and the isolation oxide. That film is preferably an ONO (oxide/nitride/oxide) film, but it can also be a pure oxide film or another combination of oxide and nitride.
  • a layer 47 of polysilicon or polycide is deposited over the dielectric film and patterned to form the control gates 48, as illustrated in Figure 6.
  • control gates are patterned by means of a photolithographic mask (not shown) which also defines the edges 51 of the floating gates which extend across active areas 38.
  • the two silicon layers and the dielectric layer in the unmasked areas are then etched away anisotropically, leaving control gates 48 and floating gates 44, with edges 51 of the floating gates being self-aligned with the corresponding edges of the control gates.
  • the other two edges 52 of the floating gates are self-aligned with the side edges 36a of the isolation oxide.
  • drain and source regions 53, 54 are formed in substrate 18, with portions 54a of the source regions lying beneath the floating gates. These regions are heavily doped conduction layers with a conductivity type opposite to that of the silicon substrate. Oxide spacers 55 are formed along the sides of the memory cells.
  • the capacitance areas between the control gates and the floating gates can be made relatively large by making silicon layer 41 relatively thin and increasing the height of the side walls 44a of the floating gates.
  • the height of the side walls 44a is on the order of 80 to 160 percent of the width of the bottom wall 44b of the floating gate, and preferably is on the order of 1000 - 5000 ⁇ .
  • the control gates have relatively high side walls 48a and bottom walls 48b in closely spaced facing relationship with the side walls and bottom walls of the floating gates. This provides a large coupling ratio between the control gates and the floating gates so that voltages can be coupled efficiently from the control gates to the floating gates, and the memory cells can maintain a robust write and erase performance without floating gate caps over the isolation oxide.
  • the floating gate When the erase operation is completed, the floating gate is positively charged, the threshold voltage of memory cell becomes lower, and the memory cell is in a conduction, or logic "1 ", state.
  • the control gate is biased at about 10 volts
  • the drain is biased at about 5 volts
  • the source is biased at 0 volts.
  • electrons flow from source to drain during programming they are accelerated by high electric field across the channel region, and some of them become heated near the drain junction.
  • Some of the hot electrons can surpass the oxide barrier height of about 3.1 eV and inject into the floating gate.
  • the floating gate When the programming operation is completed, the floating gate is negatively charged, the threshold voltage of memory cell becomes higher, and the memory cell is in non-conduction, or logic "0" state.
  • the control gate In the read mode, the control gate is biased at about 3 to 5 volts, the source is biased at 0 volts, and drain is biased at about 1 .5 to 3 volts.
  • the read shows a conduction state, and a logic "1 " is identified by the sense amplifier.
  • the read shows a non-conduction state, and a logic "0" is identified by the sense amplifier.
  • FIG. 8E The process illustrated in Figures 8A - 8E is generally similar to the process of Figures 5A - 5H except that it uses LOCOS (local oxidation of silicon) isolation rather than shallow trench isolation.
  • LOCOS local oxidation of silicon
  • a polysilicon layer 56 is formed on the pad oxide, and a nitride layer 57 is formed on the polysilicon layer.
  • the isolation oxide 36 is thermally grown, with the shortest possible bird's beak 58 projecting laterally from the oxide.
  • the height 59 of the isolation oxide above the substrate is important in providing the floating gate with a high side wall and a large coupling capacitance between the control gate and the floating gate. In the embodiment illustrated, the height of the isolation oxide above the substrate is on the order of 55 percent of the total height 61 of the oxide.
  • the silicon layer 41 , nitride layer 42 and SOG or CVD oxide 43 are deposited as in the embodiment of Figures 5A - 5H, and the SOG or CVD oxide is planarized to a level even with the upper surface of the nitride over the isolation oxide, thus leaving the SOG or CVD oxide 43 only in the active areas.
  • the nitride above the isolation oxide 36 is etched away, using the SOG or CVD oxide as a mask.
  • the SOG or CVD oxide is then removed from the active areas with a wet etching solution, and using the remaining nitride 42 as a mask, the silicon 41 above the isolation oxide is etched away, leaving the silicon only in the active regions.
  • the nitride 42 covering the silicon in the active regions is stripped away, and a photolithographic mask is employed to define one or both of the sides 51 , 52 of the floating gates 44 which extend across the active regions 38. If only one side is defined, it is the side 51 which faces toward contact 66, and the other side 52 is delineated during formation of the control gate pattern. After the floating gate mask is defined, the silicon in the unmasked areas is etched away anisotropically.
  • the dielectric film 46 is deposited over the remaining silicon and the isolation oxide, and the second silicon layer 47 is deposited on the dielectric film.
  • That layer can be formed of polysilicon which preferably is heavily doped with phosphorus, arsenic or boron to a level on the order of 10 20 to 10 21 per cm 3 .
  • the doping can be done either by in-situ doping during deposition or by ion implantation. Alternatively, a polycide such as tungsten suicide can be used instead of polysilicon.
  • another photolithographic mask is employed to define the control gate pattern, as illustrated in Figure 9. Following pattern definition, the unmasked portions of the layer are etched away anisotropically to form the control gates 48. Where the second sides 52 of the floating gates have not already been formed, the anisotropic etching continues through the dielectric film 46 and silicon layer 44 to complete the floating gate pattern.
  • a portion 48c of control gate 48 overhangs floating gate 44, a relatively thin gate oxide 37a (e.g., 70 - 150 A) is formed between the silicon substrate and the floating gate, and a relatively thick gate oxide 37b (e.g., 100 - 350 A) is formed between the substrate and the overhanging portion of the control gate.
  • Channel 66 also has one portion 66a beneath the overhanging portion of the control gate and another portion 66b beneath the floating gate.
  • drain 53, channel 66 and control gate 48 can be considered to be a select transistor which is directly adjacent to the memory cell of which floating gate 44 and control gate 48 are a part.
  • the floating gates have relatively high side walls 44a which, in the embodiment illustrated, are on the order of 80 to 160 percent of the width of the bottom wall 44b, and are preferably on the order of 1000 - 5000 A high.
  • the control gates also have relatively high side walls 48a and bottom walls 48b in closely spaced facing relationship with the side walls and bottom walls of the floating gates. This provides a relatively large area for capacitive coupling with the control gates and results in a large coupling ratio so that voltages can be coupled efficiently from the control gates to the floating gates. As a result, the memory cells can maintain a robust write and erase performance without floating gate caps over the isolation oxide. Operation of the memory cell of Figures 9 and 10 is as follows, with bias voltages applied to the three node terminals as set forth in Table 2.
  • a high electric field ( > 10MV/cm) is established across the tunnel oxide 37. This can be achieved by applying a negative voltage of about -7 volts to the control gate and a positive voltage of about 5 volts to the source node, or by applying 0 volts to the control gate and about 12 volts to the source node.
  • the drain node is kept floating in both cases.
  • the floating gate When the erase operation is completed, the floating gate is positively charged, the threshold voltage of memory cell becomes lower, and the memory cell is in a conduction, or logic "1 ", state.
  • the floating gate In the program mode, electrons are injected into the floating gate through hot carrier injection, and the floating gate becomes negatively charged.
  • the control gate is biased at about 10 volts
  • the drain is biased at 0 volts
  • the source is biased at about 7 volts.
  • electrons flow from drain to source during programming they are accelerated by high electric field across the channel region, and some of them become heated near the source junction.
  • Some of the hot electrons can surpass the oxide barrier height of about 3.1 eV and inject into the floating gate.
  • the floating gate When the programming operation is completed, the floating gate is negatively charged, the threshold voltage of memory cell becomes higher, and the memory cell is in non-conduction, or logic "0" state.
  • the control gate In the read mode, the control gate is biased at about 3 to 5 volts, the source is biased at 0 volts, and drain is biased at about 1 .5 to 3 volts.
  • the read shows a conduction state, and a logic "1 " is identified by the sense amplifier.
  • the read shows a non-conduction state, and a logic "0" is identified by the sense amplifier.
  • Figures 1 1 A and 1 1 B illustrate another process for forming a self-aligned floating gate using CMP polishing and shallow trench isolation.
  • Isolation oxide regions 36 are formed as described above, and gate oxide 37 is grown on the active regions 38.
  • a layer 68 of polysilicon or amorphous silicon is deposited over the gate oxide and the isolation oxide. This layer has a thickness on the order of 100 - 1000 A, and is doped with phosphorus, arsenic or boron to a level on the order of 10 17 to 10 20 per cm 3 .
  • a nitride layer 69 which also has a thickness on the order of 100 - 1000 A is deposited on the silicon.
  • the silicon is very thin, e.g. less than about 50 ⁇ A, and is doped by ion implantation, it is preferable to implant the ions through the nitride so that most of the implanted ions are distributed into the silicon, rather than penetrating into the gate oxide and/or the silicon substrate.
  • a CMP polishing operation is then performed to remove the nitride 69 above the isolation oxide to a level at or slightly below the upper surface of the silicon 68 on the isolation oxide, as illustrated in Figure 1 1 B.
  • the silicon above the isolation oxide is anisotropically etched away.
  • the control gates, the dielectric film between the control gates and the floating gates, and the remaining edges of the floating gates are formed as in the process of Figures 5A - 5H.
  • Figures 12A and 12B illustrate a process which is substantially identical to the process of Figures 1 1 A and 1 1 B, except that the isolation oxide regions are formed by a LOCOS process, rather than shallow trenching. Once the isolation oxide regions are formed, the remaining steps are substantially identical, and like reference numerals designate corresponding elements in the devices produced by the two processes.
  • gate oxide 37 is grown on the substrate
  • silicon layer 68 is deposited over the gate oxide and the isolation oxide
  • nitride layer 69 is deposited on the silicon.
  • the nitride above the isolation oxide is removed by CMP polishing, and the remaining nitride is used as a mask in etching away the silicon above the isolation oxide.
  • the control gates, the dielectric film between the control gates and the floating gates, and the remaining edges of the floating gates are formed as in the process of Figures 5A - 5H.
  • the invention has a number of important features and advantages.
  • the relatively thin floating gate with high side walls provides a large coupling capacitance between the control gate and the floating gate, which provides robust write and erase operation without the end caps required by prior art devices. Eliminating the end caps significantly reduces the size of the memory cells and the array. Moreover, process yield fluctuation caused by pattern shifting and corner-rounding are eliminated, resulting in better and more stable process yields.
  • inventions 1 1 A - 1 1 B and 12A - 12B have the further advantage that the thin silicon on the isolation oxide regions can be etched entirely during the silicon etch by adding an over-etch step, and at the same time the height 71 of the side wall of the silicon can be accurately controlled. This is important in maintaining a large capacitance between the control gate and the floating gate to get the desired amount of coupling.
  • the nitride also serves to protect the thin silicon layer and the underlying thin gate oxide from contamination during the CMP polishing step.
  • an oxide layer 136 having a thickness on the order of 70 - 250 A is thermally grown on a monocrystalline silicon substrate 137 for use as a gate oxide or a tunnel oxide.
  • the substrate can be in the form of a P-well or P-substrate material.
  • a layer 138 of polysilicon or amorphous silicon (the poly-1 layer) is deposited on the oxide layer for use as a floating gate, and a dielectric film 139 is formed on the silicon layer.
  • the poly-1 layer has a thickness on the order of 100 - 1000 A, which is substantially thinner than the floating gates in prior art devices which are typically about 200 ⁇ A thick.
  • the poly-1 layer is preferably doped with phosphorus, arsenic or boron to a level on the order of 10 17 to 10 20 per cm 3 . The doping can be done in-situ during deposition of the silicon or by ion implantation directly into the silicon or through the dielectric film.
  • the dielectric film can be either a pure oxide or a combination of oxide, nitride and oxide (ONO), and in the embodiment illustrated, it consists of a lower oxide layer 141 having a thickness on the order of 30 - 100 A, a central nitride layer 142 having a thickness on the order of 60 - 300 A, and an upper oxide layer 143 having a thickness on the order of 30 - 100 A.
  • ONO oxide, nitride and oxide
  • a layer 144 of polysilicon (the poly-2 layer) is deposited on the dielectric film for use as a control gate.
  • This layer has a thickness on the order of 1500 - 3500 A, and is doped with phosphorous, arsenic or boron to a level on the order of 10 20 to 10 21 per cm 3 .
  • a layer 146 of CVD oxide or nitride is then deposited on the poly-2 layer to a thickness on the order of 300 - 1000 A. During subsequent dry etching steps, the layer of oxide or nitride serves as a mask to prevent the poly-2 in the control gate area from being etched away.
  • a photolithographic mask (not shown) is formed over layer 146 to simultaneously define both the control gate and the floating gate, and the unmasked portions of that layer and the poly-2 layer are removed in an anisotropic dry etch, leaving only the portion of the poly-2 which forms the control gate 147, as illustrated in Figure 13B.
  • the photoresist is then stripped away, and an oxide layer 148 is thermally grown on the side wall of the polysilicon to a thickness on the order of 100 - 600 A.
  • the corners of the polysilicon become rounded because the oxidation rate for the silicon is faster next to the interfaces with oxide layers 143, 146. This rounding enhances the performance of the memory cell during erase cycles by eliminating the sharp corners of the polysilicon and thus minimizing the leakage current between the control gate and the select gate.
  • the inter-poly dielectric and the poly-1 are etched in an anisotropic dry etch to form the inter-poly dielectric 149 and the floating gate 151 .
  • a select gate oxide 152 if formed on the substrate, an oxide layer 153 is formed on the exposed edge of the floating gate, and the oxide layer 148 on the side wall of the control gate is made thicker.
  • Oxide layer 153 is used as a tunneling oxide, and preferably has a thickness on the order of 50 - 300 A. If desired, a thin layer of CVD oxide (about 50 - 200 A) can be deposited on the thermal oxide to improve the quality of the oxide films and reduce disturbances between the select gate and the floating gate.
  • the edge portion or side wall 154 of the floating gate becomes rounded because the oxidation rate for the poly-1 is faster near the interfaces with the oxide layers above and below it.
  • This rounded curvature provides an electric field enhancement which makes it much easier for electrons to tunnel out of the floating gate through this area.
  • it eliminates the localized trapping effect which occurs in a tunnel oxide near square corners of poly-1. This enhances performance of the memory cell during both the program cycle and the erase cycle.
  • a polysilicon layer (poly-3) 156 is then deposited over the oxide layers, and a nitride or oxide layer 157 is deposited on the poly-3 layer, as illustrated in Figure 13D.
  • the step formed by the control gate, the layer of oxide or nitride on it, the inter-poly dielectric and the floating gate causes a corresponding step 156a to be formed in the poly-3 layer.
  • the thickness of the poly-3 layer should be less than the height of the step over which it is formed.
  • the poly-3 layer has a thickness on the order of 2000 - 4000 A
  • nitride or oxide layer 157 has a thickness on the order of 200 - 1500 A.
  • the nitride or oxide is removed from the flat areas of the poly-3 layer by anisotropic dry etching, leaving only the vertically extending portion 158, as shown in Figure 13E.
  • the poly-3 layer is also etched anisotropically to form the select gate 159. Since the poly-3 layer is thinner than the step formed by the control gate and the other elements beneath it, etching to the thickness of the poly-3 layer removes all of the poly-3 above the control gate and all of the poly-3 outside the area where the step 156a was formed.
  • the nitride or oxide in region 158 protects the shoulder 161 of the poly-3 from being etched away. It also provides control over the channel length of the select gate and reduces the sheet resistance of the select gate. Thus, for example, by making the nitride or oxide layer thicker, the shoulder can be made wider, resulting in a longer channel length.
  • the thickness of the poly-3 layer can also be used to adjust the width and height of the select gate, with thicker poly-3 resulting in a thicker and wider gate. Lower sheet resistance reduces the loading effect of the select gate and results in faster performance for the memory cell.
  • source 163 and drain 164 are now formed in the substrate, and oxide spacers 166 are formed to the side of the select gate 161 and control gate 147.
  • Both the source and the drain are heavily doped with an N-type material such as phosphorus or arsenic, and the source is made with a deeper junction than the drain in view of the high voltage which will be applied across it during the program operation.
  • the erase path of this memory cell is from the rounded curvature of the side wall 154 of the floating gate to the select gate.
  • the coupling ratio between the control gate and the floating gate can be made large, and electron tunneling is more efficient due to enhancement of the local electric field around the curvature of the side wall.
  • the floating gate is automatically self- aligned with the control gate.
  • the select gate is likewise completely self- aligned with respect to the floating gate and the control gate, and the select gate can have a small sheet resistance and better performance during cell operation.
  • Fowler-Nordheim tunneling causes electrons to migrate from the floating gate to the select gate, leaving the positive ions as the majority carrier inside the floating gate.
  • the rounded curvature of the floating gate enhances the electric field effect, and the tunneling takes place at a lower applied voltage than it would with two flat surfaces on opposite sides of the tunneling oxide.
  • the electric field enhancement also makes it possible to form a thicker tunnel oxide while still maintaining sufficient electron tunneling.
  • the coupling ratio from the control gate to the floating gate is about 70 to 80 percent in the erase mode, which means that about 70 to 80 percent of the control gate voltage is coupled to the floating gate. This causes most of the voltage difference between the control gate and the select gate to fall across the tunnel oxide surrounding the rounded side wall of the floating gate, which triggers Fowler-Nordheim tunneling with electrons tunneling from the floating gate to the select gate. As the floating gate becomes more positive, the threshold voltage of the memory cell decreases to a level of -5.0 to -1 .0 volts. This results in an inversion layer of the channel under the floating gate when the control gate is biased at 0 to 2.5 volts. Therefore, the memory cell is in a conduction, or logic 1 , state after an erasing.
  • the control gate In the read mode, the control gate is biased at 0 to 2.5 volts, the source is biased at 0 volts, the drain is biased at 1 .5 to 3.0 volts, and the select gate is biased at 2.5 to 5.0 volts.
  • the read shows a conduction state (logic 1 ) because both the floating gate and the select gate channels are turned on.
  • the read shows a non-conduction state (logic 0) because the floating gate channel is turned off.
  • the embodiment of Figure 14 is generally similar to the embodiment of Figure 13G, and like reference numerals designate corresponding elements in the two embodiments.
  • the memory cell has only a floating gate 151 and a select gate 159; there is no control gate.
  • This embodiment also has a deeper source junction 167 and a wider area of source overlap 168 under the floating gate, which serve the function of the control gate in providing the voltage which is coupled to the floating gate.
  • the ONO film on the poly-1 is also thicker in this embodiment, with a bottom oxide layer 169 which is on the order of 50 - 30 ⁇ A thick, a nitride layer 171 which is on the order of 1000 - 200 ⁇ A thick, and a top oxide layer 172 which is on the order of 200 - 100 ⁇ A thick.
  • This thick film is etched anisotropically to serve as a mask in the formation of the floating gate and to provide a step which is used in the formation of the select gate, just as the control gate is utilized in the embodiment of Figures 13A- 4G.
  • the ONO layer can be replaced with an ON film having a bottom oxide layer on the order of 50 - 30 ⁇ A thick and a nitride layer on the order of 1000 - 300 ⁇ A thick.
  • the erase path of this memory cell is from the rounded curvature of the side wall 154 of the floating gate to the select gate.
  • Fowler-Nordheim tunneling causes electrons to tunnel from the floating gate to the select gate.
  • a positive voltage on the order of 12 to 15 volts is applied to the select gate, the drain node is kept floating, and the source node is biased at 0 volts.
  • the floating gate With the combination of the overlapping source 168 and channel regions 173, there is more voltage coupled from the source node to the floating gate. This results in a larger coupling ratio, e.g. , about 80 to 90 percent in this example. Therefore, most of the voltage difference between the select gate and the source is located across the tunnel oxide surrounding the rounded side wall 154 of the floating gate, triggering Fowler-Nordheim tunneling and forcing electrons to tunnel from the floating gate to the select gate. After the erase operation is finished, the floating gate becomes positively charged, and the threshold voltage of the memory cell decreases to the level of -5.0 to -1 volt. Thus, an inversion layer can be formed in the channel under the floating gate even though there is no control gate above the floating gate. The memory cell is now in its conduction state (logic 1 ).
  • the select gate is biased at 1 .5 to 3.0 volts
  • the drain is biased at around 0 volts
  • the source is biased at 10 to 13 volts.
  • This bias condition can turn on the channel under the select gate and the channel under the floating gate. Therefore, most of the source-to- drain voltage is set across the mid-channel region between the select gate and the floating gate.
  • the floating gate is coupled to a high voltage from the source under the floating gate, and thus a high vertical electric field is established across the oxide between the mid-channel region and the floating gate. The combined high electric fields around the mid-channel region generates hot electrons and forces some of them to be injected into floating gate.
  • the floating gate becomes negatively charged, and the threshold voltage of the memory cell increases to a level on the order of 3.0 to 6.0 volts.
  • the channel under the floating gate is now turned off, and the memory cell is in a nonconductive state (logic 0).
  • the source is biased at 0 volts
  • the drain is biased at 1 .5 to 3.0 volts
  • the select gate is biased at 2.5 to 5.0 volts.
  • the read shows a conduction state because both the floating gate and the select gate channels are turned on.
  • the read shows a nonconductive state because the floating gate channel is turned off.
  • the memory cell can be fabricated in a P-well surrounded by an N-well or an N-type substrate.
  • the bias voltages applied to the terminal nodes of the memory cell are set forth in Table 4b.
  • the embodiment of Figure 15 is also generally similar to the embodiment of Figure 13G, and like reference numerals designate corresponding elements in these two embodiments as well.
  • oxide layer 136 is on the order of 70 - 12 ⁇ A thick, and is used as the tunnel oxide for the erase operation.
  • the oxide 153 surrounding the rounded side wall 154 of the floating gate is not used as a tunneling oxide in this embodiment, and can be made thicker, e.g. , up to about 200 - 100 ⁇ A.
  • a poly-2 layer 144 is included to form a control gate 147, and the inter- poly dielectric is preferably an ONO film, as in the embodiment of Figure 13G.
  • the tunneling window is the area of overlap 168 of the source beneath the floating gate, and the erase path is from the floating gate to the overlapping portion of the source.
  • the floating gate is positively charged, and the threshold voltage of the memory cell drops to a level on the order of -5.0 to -1 .0 volts. This forms an inversion layer in the channel under the floating gate when the control gate is biased at 0 to 2.5 volts. Therefore, the memory cell is in a conduction state (logic 1 ) after an erase operation.
  • the program mode is similar to that of the embodiment of Figure 13G.
  • the values of the bias voltages may be vary due to the different thickness of oxide 136 and oxide 153.
  • the floating gate becomes negatively charged, and the threshold voltage of the memory cell increases to a level on the order of 3.0 to 6.0 volts. This turns off the channel under the floating gate when the control gate is biased to 0 to 2.5 volts. Therefore, the memory cell is in a nonconducting state (logic 0) after programming.
  • Figures 16 and 17 illustrate NOR-type memory cell arrays of memory cells of the type illustrated in Figures 13G and 15 with and without floating gates which are self-aligned with the edges of the active areas.
  • the edges 151 a, 151 b of floating gate 151 are aligned with the edges of active area of substrate 137
  • the floating gate has end caps 151 c, 151 d which extend over isolation oxide regions 173. Without self-alignment of the edges of the floating gate with the edges of the active region, these end caps are necessary in order to prevent short channeling or punchthrough due to pattern shifting or corner rounding during formation of the floating gates.
  • FIG. 20 A circuit diagram for the memory cell arrays of Figures 16 and 17 is shown in Figure 20. All of the memory cells in a given column have their drains connected to a bit line BL n . 1 f BL n , BL n+ 1 , etc., which is typically a metal line
  • a source line 179 which is typically an N + diffusion layer in the silicon substrate 137. Adjacent ones of the bit lines are isolated from each by a dielectric film (not shown). All of the select gates 159 in a given row are connected together by a select gate line 181 , and all of the control gates 147 in a given row are connected together by a control gate line 182.
  • the select gate lines and the control gate lines are formed of the poly-3 and poly-2 layers, respectively.
  • Figures 18 and 19 illustrate NOR-type memory cell arrays of memory cells of the type illustrated in Figure 14 with and without floating gates which are self-aligned with the edges of the active areas.
  • the edges 151 a, 151 b of floating gate 151 are aligned with the edges of active area of substrate 137, whereas in the embodiment of Figure 19, the floating gate has end caps 151 c, 151d which extend over isolation oxide regions 173.
  • These embodiments are similar to the embodiments of Figures 16 and 17 except there are no control gates.
  • FIG. 21 A circuit diagram for the memory cell arrays of Figures 18 and 19 is shown in Figure 21 . This circuit is similar to the circuit of Figure 20 except there are no control gates.
  • the invention has a number of important features and advantages.
  • the floating gate and the select gate are self-aligned both with respect to each other and with respect to the control gate. Being relatively thin, the floating gate can have a larger coupling ratio with the control gate, and it presents a smaller tunneling window when the side wall or edge of the gate is used as a source of electrons during erase operations.
  • the rounded side wall or edge of the floating gate enhances the local electric field around the curvature of the edge and provides more efficient tunneling of electrons during erase operations. This permits the tunnel oxide layer between the select gate and the floating gate to be made wider but still maintains a robust erase operation.
  • the select gate is formed from a layer of silicon which is deposited over a step created by the control gate or a thick dielectric layer
  • the height and width of the select gate can be controlled by making the step higher or shorter, by depositing a thicker layer of silicon, and by depositing a thicker or thinner layer or nitride or oxide above the silicon.
  • the nitride or oxide protects the shoulder of the silicon step during etching to form the select gate. Consequently, the select gate can have a small sheet resistance, a small loading effect, and faster performance.

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Non-Volatile Memory (AREA)
  • Semiconductor Memories (AREA)

Abstract

L'invention concerne une cellule de mémoire non volatile, et un procédé permettant de former des régions d'oxyde d'isolation sur les côtés opposés d'une zone active d'un substrat, sur une hauteur située au-dessus du substrat de l'ordre de 80 à 160 % de la largeur de ladite zone active. Une première couche de silicium est déposée sur l'oxyde de grille et sur les côtés des régions d'oxyde d'isolation, afin de former une grille flottante possédant une paroi de fond qui est sensiblement coextensive avec l'oxyde de grille, et des parois latérales présentant une hauteur de l'ordre de 80 à 160 % de la largeur de la paroi de fond. Un film diélectrique est formé sur la grille flottante, et une seconde couche de silicium est déposée sur ledit film diélectrique et présente des motifs destinés à former une grille de commande.
EP00908762A 1999-02-23 2000-02-17 Cellule de memoire flash a grilles auto-alignees et son procede de fabrication Withdrawn EP1076916A1 (fr)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US255360 1994-06-08
US09/255,360 US6140182A (en) 1999-02-23 1999-02-23 Nonvolatile memory with self-aligned floating gate and fabrication process
US09/275,670 US6091104A (en) 1999-03-24 1999-03-24 Flash memory cell with self-aligned gates and fabrication process
US275670 1999-03-24
US31046099A 1999-05-12 1999-05-12
US310460 1999-05-12
PCT/US2000/004455 WO2000051188A1 (fr) 1999-02-23 2000-02-17 Cellule de memoire flash a grilles auto-alignees et son procede de fabrication

Publications (1)

Publication Number Publication Date
EP1076916A1 true EP1076916A1 (fr) 2001-02-21

Family

ID=27400854

Family Applications (1)

Application Number Title Priority Date Filing Date
EP00908762A Withdrawn EP1076916A1 (fr) 1999-02-23 2000-02-17 Cellule de memoire flash a grilles auto-alignees et son procede de fabrication

Country Status (5)

Country Link
EP (1) EP1076916A1 (fr)
JP (1) JP2002538608A (fr)
CN (1) CN1323440C (fr)
TW (1) TW439280B (fr)
WO (1) WO2000051188A1 (fr)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100341138C (zh) * 2003-01-09 2007-10-03 力晶半导体股份有限公司 闪存的制造方法
US7579239B2 (en) 2003-09-16 2009-08-25 Nxp B.V. Method for the manufacture of a non-volatile memory device and memory device thus obtained
WO2005031859A1 (fr) 2003-09-30 2005-04-07 Koninklijke Philips Electronics N.V. Cellule de memoire a deux transistors et son procede de fabrication
JP2006032950A (ja) * 2004-07-12 2006-02-02 Samsung Electronics Co Ltd メモリ素子及びその形成方法
DE602004024560D1 (de) * 2004-12-22 2010-01-21 St Microelectronics Srl Herstellungsverfahren für Festwert-MOS-Halbleiterspeicherbauelement
US8008701B2 (en) 2004-12-22 2011-08-30 Giorgio Servalli Method of making a floating gate non-volatile MOS semiconductor memory device with improved capacitive coupling and device thus obtained
EP1675181A1 (fr) * 2004-12-22 2006-06-28 STMicroelectronics S.r.l. Procédé de fabrication d' un dispositif semi-conducteur MOS de mémoire rémanente
KR100632640B1 (ko) * 2005-03-10 2006-10-12 주식회사 하이닉스반도체 플래쉬 메모리 소자의 제조방법
KR100669347B1 (ko) 2005-11-17 2007-01-16 삼성전자주식회사 반도체 소자 및 그 형성 방법
US7667260B2 (en) 2006-08-09 2010-02-23 Micron Technology, Inc. Nanoscale floating gate and methods of formation
US8320191B2 (en) 2007-08-30 2012-11-27 Infineon Technologies Ag Memory cell arrangement, method for controlling a memory cell, memory array and electronic device
US9589805B2 (en) * 2014-08-04 2017-03-07 Cypress Semiconductor Corporation Split-gate semiconductor device with L-shaped gate
CN106158613A (zh) * 2015-04-15 2016-11-23 上海格易电子有限公司 一种提高浮栅器件电子保持性的方法及浮栅结构

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR0172273B1 (ko) * 1995-06-24 1999-02-01 김주용 플래쉬 메모리 셀의 제조방법
US5770501A (en) * 1995-12-22 1998-06-23 United Microelectronics Corporation Process of fabricating NAND-structure flash EEPROM using liquid phase deposition
KR100255512B1 (ko) * 1996-06-29 2000-05-01 김영환 플래쉬 메모리 소자 제조방법
JP2002505524A (ja) * 1998-02-27 2002-02-19 インフィネオン テクノロジース アクチエンゲゼルシャフト 電気的にプログラミング可能なメモリセル装置およびその製造方法
EP0967654A1 (fr) * 1998-06-26 1999-12-29 EM Microelectronic-Marin SA Dispositif de mémoire non-volatile à semi-conducteur

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See references of WO0051188A1 *

Also Published As

Publication number Publication date
WO2000051188A1 (fr) 2000-08-31
CN1323440C (zh) 2007-06-27
TW439280B (en) 2001-06-07
CN1300444A (zh) 2001-06-20
JP2002538608A (ja) 2002-11-12

Similar Documents

Publication Publication Date Title
US6291297B1 (en) Flash memory cell with self-aligned gates and fabrication process
US6140182A (en) Nonvolatile memory with self-aligned floating gate and fabrication process
US6222227B1 (en) Memory cell with self-aligned floating gate and separate select gate, and fabrication process
US6503785B2 (en) Flash memory cell with contactless bit line, and process of fabrication
US9892790B2 (en) Method of programming a continuous-channel flash memory device
US6764905B2 (en) Method of manufacturing a scalable flash EEPROM memory cell with floating gate spacer wrapped by control gate
US7301196B2 (en) Nonvolatile memories and methods of fabrication
US7091091B2 (en) Nonvolatile memory fabrication methods in which a dielectric layer underlying a floating gate layer is spaced from an edge of an isolation trench and/or an edge of the floating gate layer
US6124609A (en) Split gate flash memory with buried source to shrink cell dimension and increase coupling ratio
US7074672B2 (en) Self aligned method of forming a semiconductor memory array of floating gate memory cells with buried bit-line and vertical word line transistor
US7078295B2 (en) Self-aligned split-gate nonvolatile memory structure and a method of making the same
US6368918B2 (en) Method of fabricating Nan embedded flash EEPROM with a tunnel oxide grown on a textured substrate
US6147378A (en) Fully recessed semiconductor device and method for low power applications with single wrap around buried drain region
US20040183118A1 (en) Semiconductor memory array of floating gate memory cells with burried floating gate and pointed channel region
US6184554B1 (en) Memory cell with self-aligned floating gate and separate select gate, and fabrication process
US6313498B1 (en) Flash memory cell with thin floating gate with rounded side wall, and fabrication process
KR20000048526A (ko) 자기 정렬 비휘발성 메모리 셀
EP1076916A1 (fr) Cellule de memoire flash a grilles auto-alignees et son procede de fabrication
US7439133B2 (en) Memory structure and method of manufacturing a memory array
US6071777A (en) Method for a self-aligned select gate for a split-gate flash memory structure
EP1191597A2 (fr) Procédé de fabrication de parois pour améliorant les performances d'un mémoire flash
US7439572B2 (en) Stacked gate memory cell with erase to gate, array, and method of manufacturing
KR100376864B1 (ko) 반도체장치의 비휘발성 메모리소자 및 그 제조방법
KR20000039091A (ko) 플레쉬 메모리장치 및 그의 제조방법

Legal Events

Date Code Title Description
PUAI Public reference made under article 153(3) epc to a published international application that has entered the european phase

Free format text: ORIGINAL CODE: 0009012

17P Request for examination filed

Effective date: 20001121

AK Designated contracting states

Kind code of ref document: A1

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LI LU MC NL PT SE

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: THE APPLICATION HAS BEEN WITHDRAWN

18W Application withdrawn

Effective date: 20030818