EP0418438A1 - Méthode et appareil pour la gravure par plasma, le nettoyage de substrats ou le dépôt de matériau par décharge luminescente en courant continu - Google Patents

Méthode et appareil pour la gravure par plasma, le nettoyage de substrats ou le dépôt de matériau par décharge luminescente en courant continu Download PDF

Info

Publication number
EP0418438A1
EP0418438A1 EP89309530A EP89309530A EP0418438A1 EP 0418438 A1 EP0418438 A1 EP 0418438A1 EP 89309530 A EP89309530 A EP 89309530A EP 89309530 A EP89309530 A EP 89309530A EP 0418438 A1 EP0418438 A1 EP 0418438A1
Authority
EP
European Patent Office
Prior art keywords
plasma
deposition
anode electrode
anode
enclosure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
EP89309530A
Other languages
German (de)
English (en)
Inventor
Stefan Zukotynski
Roman V Kruzelecky
Franco Gaspari
Clement I. Ukah
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Innovations Foundation of University of Toronto
University of Toronto
Original Assignee
Innovations Foundation of University of Toronto
University of Toronto
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority to JP20147889A priority Critical patent/JPH02150025A/ja
Application filed by Innovations Foundation of University of Toronto, University of Toronto filed Critical Innovations Foundation of University of Toronto
Priority to EP89309530A priority patent/EP0418438A1/fr
Priority to US07/565,831 priority patent/US5039376A/en
Publication of EP0418438A1 publication Critical patent/EP0418438A1/fr
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32018Glow discharge

Definitions

  • the present invention is concerned with new methods and apparatus for the plasma etching, substrate cleaning or deposition of materials by D.C. glow discharge.
  • the hydrogenated, doped amorphous silicon layer acts as an extrinsic semiconductor and is now used in relatively large scale devices (i.e. much larger than the silicon "chip" typically employed in micro-electronics) such as photovaltaic cells and xerographic type photocopiers.
  • D.C. excited and R.F. excited There are two principal glow discharge deposition systems currently in use, namely D.C. excited and R.F. excited.
  • D.C. discharge processes a D.C. field is produced in an evacuated chamber between two (usually parallel) electrodes, and the substrate to receive the deposited materials is generally mounted on the cathode, or forms the cathode.
  • the precursor gas (or gases) is supplied to the chamber at pressures that are relatively high for these discharge processes, typically 100-500 milliTorr, and dissociation of the molecules takes place by excitation and ionisation and electron impact, including impact of secondary electrons produced by impact with the cathode of ions that have been produced by the excitation, resulting in a plasma containing a wide variety of ionic species.
  • the plasma is produced by high frequency excitation (usually at the officially designated frequency of 13.56 MHz), the energy being applied to the interior of the container enclosing the substrate and the precursor gas either by means of two internal electrodes directly capacitively coupled to the R.F. source, or by means of external electrodes or a coil.
  • the external electrode geometry is primarily restricted to tubular reactor shapes of small diameter and internal electrode systems are usually preferred.
  • the ionisation processes involved take place in the bulk of the plasma, the electrons oscillating in the high frequency field and picking up enough energy to fragment, excite and ionise the gas molecules.
  • etching caused by excessive ion bombardment of the film, resulting in structural damage by the generation of nucleation sites, or by heating to result in polycrystalline material instead of the desired amorphous structure, and/or resulting in the production of unsaturated bonds which are electronically active and undesirable.
  • the mean free path of the exciting electrons in the gas is an important parameter and is dependent upon the gas pressure in the enclosure; a low gas pressure slows the rate of deposition and can also result in excessive ion bombardment, while too high a pressure results in an inadequate mean free path and recombination reactions between the radicals.
  • the field strength and gas pressure are highly interdependent making the choice of the optimum parameters for operation very difficult. Despite the greater operating difficulties encountered with R.F. systems they generally are able to produce more uniform films, and are at present preferred in industry.
  • a new method for the plasma etching, substrate cleaning, or deposition of materials on a substrate by D.C. glow or plasma discharge comprising the steps of: providing an enclosure having a gas inlet to its interior and a gas outlet therefrom and having in its interior two spaced cathode electrodes establishing a plasma containing zone between them, and also having in its interior an intermediate anode electrode disposed in the said plasma-containing zone, the anode electrode being transparent or translucent to at least electrons of the glow of plasma discharge; at least one of the cathode electrodes constituting the said substrate or having the substrate attachable thereto; supplying to the enclosure interior at least one precursor gas electrically decomposable to provide radicals of the required material; and providing between the anode electrode and the two cathode electrodes respective operating voltages such as to establish respective electric fields of strength sufficient to produce glow discharge decomposition of the precursor gas and production of a corresponding plasma in the said zone.
  • new apparatus for the plasma etching, substrate cleaning, or deposition of materials on a substrate by D.C. glow or plasma discharge comprising: an enclosure having a gas inlet to its interior and a gas outlet therefrom and having in its interior two spaced cathode electrodes establishing a plasma containing zone between then, and also having in its interior an intermediate anode electrode disposed in the said plasma-containing zone, the anode electrode being transparent or translucent to at least electrons of the glow or plasma discharge; at least one of the cathode electrodes constituting the said substrate or having the substrate attachable thereto; means for supplying to the gas inlet at least one precursor gas electrically decomposable to provide radicals of the required material; and means for providing between the anode electrode and the two cathode electrodes respective operating voltages such as to establish respective electric fields of strength sufficient to produce glow discharge decomposition of the precursor gas and production of a corresponding plasma in the said zone.
  • a depositon chamber as employed for relatively small substrates consists of an open-ended outer metal cylinder 10 provided with removable end covers 12, each of which in this embodiment also constitutes one of a pair of parallel cathode electrodes.
  • the covers can be clamped tightly in place by any suitable means which are not illustrated, and in this embodiment have electrically insulating gaskets 14 sandwiched between them and the cylinder 10 for a purpose explained below.
  • the cathode end plates do not themselves constitute the substrate for deposition, and accordingly at least one of them has a substrate element 16 mounted on its inside surface; in this embodiment both of the end plates are provided with such substrates.
  • the central portions of the end plates receiving the substrates are depressed inwardly towards one another so that they are more closely spaced than the remainder of the plates.
  • the resultant recesses at the outer surfaces are provided with respective electric heaters 18 supplied from a power source 20 to maintain the substrates at a temperature suitable for deposition (usually about 200°-400° in the case of amorphous hydrogenated silicon).
  • a second open-ended replaceable cylinder 22 of any suitable material is mounted coaxially within the outer cylinder 10 to more closely define a plasma-containing zone 24 between the two more-closely spaced portions of the two cathodes; in use the interior surface of this cylinder also becomes coated with deposited material and can be replaced when the deposit becomes too thick, reducing very considerably the deposition on the inner wall of the outer cylinder 10 and the need to clean or replace it.
  • the precursor gas or gases are introduced into the interior of the enclosure via an inlet 26 and the depleted gas or gases removed therefrom via an outlet 28.
  • the procedures and apparatus for the safe supply and control of these gases, many of which are pyrophoric or highly toxic, are well documented in the art and need not be detailed in this application.
  • a stabilised D.C. power supply 34 is connected to the anode 30 and the two cathodes 12 to apply suitable constant potential differences between them, and produce a corresponding electric field in the zone 24, which we refer to colloquially as a "saddle” field owing to its characteristic profile between the two cathodes.
  • This saddle field configuration promotes ionisation of the gas close to the anode electrode, while the oscillating trajectory of the electrons increases the effective path length for ionising collisions, facilitating the formation of high current discharges at relatively low pressures.
  • the saddle field also causes positively ionised radicals to be accelerated towards the outside electrodes, and a greater number of charged radicals are produced, so that the speed of deposition is greater and is not so dependent as the prior art D.C. process on the diffusion and drift of radicals; there is therefore much more efficient utilisation of the precursor gas.
  • the anode 30 preferably is centrally disposed and the respective portions of the saddle field are symmetrical about the anode. If only one substrate is provided then the field need not be symmetric, but instead can be asymmetric with the stronger portion toward the substrate supporting cathode, the asymmetry being produced either mechanically by placing the anode closer to the respective cathode, or electrically by increasing the potential difference between the two electrodes.
  • the two cathodes 12 are insulated from the enclosure 10 and from one another by the gaskets 14 to permit this electrically-produced asymmetry.
  • the plasma containing zone 24 It is undesirable for the plasma containing zone 24 to extend so far as to include the substrate since the ionised radicals then impinge on the growing film with substantial velocities and may damage it, as described above.
  • This can be avoided while employing relatively high fields by the embodiment of Figure 2, in which two subsidiary flat open grid cathode electrodes 38 are disposed close and parallel to the respective substrates 16.
  • the negative potentials are applied to these subsidiary electrodes and the two end plates 12 are grounded, and may be at a small negative or positive potential relative to the subsidiary electrodes.
  • the plasma is now confined to the zone between the two subsidiary electrodes, which are however transparent or at least translucent to both the charged and neutral radicals, so that they can pass freely therethrough to the substrate.
  • a small positive potential on the end plate 12 will result in a decelerating field between each electrode 38 and its end plate, reducing the impact of the ions thereon.
  • the two electrodes are mounted on the cylinder 22 by respective electrically insulating structures 40 so that their potentials can be adjusted individually; in the embodiment illustrated they are strapped together to be at the same potential.
  • Figure 3 is an example of another apparatus characterized in that only a single substrate 16 is provided.
  • a movable shutter 42 is provided to permit the substrate to be screened for selective deposition of the material thereon.
  • the cathode electrode 12 not associated with a substrate is of ring formation, and a removable crucible 44, the contents of which are heated by an induction heater 46, is provided to permit coevaporation of the material in the crucible during the deposition.
  • one of the most commerically interesting processes involving plasma deposition is the direction formation of thin films of hydrogenated amorphous silicon, and the methods and apparatus of the invention have been used very successfully in the production of such films.
  • these films have been produced by the dissociation of silane gas (SiH4), and it has been found possible to operate effectively with gas pressures as low as 10 milliTorr, as compared to about 100 milliTorr found to be the minimum with conventional D.C. methods. Relatively high growth rates of about 5-10 Angstroms/sec. were obtained, as compared with about 2-3 Angstroms/sec. with the prior art.
  • the hydrogen incorporation was controlled by coevaporation of silicon, and films were obtained exhibiting high photoconductive gain exceeding 104 under Air Mass 1 illumination with a wide range of hydrogen content from about 5 to about 25 atomic percent.
  • the films obtained exhibited good adhesion and activated conduction over a wide temperature range from about 200°K to aobut 450°K.
  • Figure 4 is a graph showing with the production of such silicon films the current flow through the anode electrode 22 with the voltage applied between the anode and the cathodes at different silane gas pressures.
  • the individual test result values are plotted and are not connected since they converge so much in the range 600-1000 volts as to possibly be confusing. This shows that the results obtained at the low pressure of 10 milliTorr were strictly comparable with those obtained at the higher pressures; the highest pressure usable with this apparatus was below 500 milliTorr, since at this pressure an apparent arc-type discharge was established to give excessively high current flow and the consequent high possibility of deleterious etching.
  • Figure 5 is a graph, again produced in the formation of thin amorphous silicon films from silane, showing the ratio of the currents obtained at the subsidiary cathode electrodes 38 (I SH ) and at the middle anode electrode 22 (I ME ) with the voltage applied to the electrode 22 (V ME ) at various gas pressures. All three plots obtained show a peak in these ratios at a voltage that increases with increasing pressure and show that high deposition efficiencies are available at the low discharge pressures and low voltages made possible by the present invention.
  • the description of the invention has been in general terms since it is applicable to a wide range of deposition materials, even though specific examples have been given only for the production of amorphous silicon layers employing silane.
  • Examples of other processes are plasma etching, substrate cleaning and the deposition of carbon, germanium and silicon nitride.
  • Plasma etching is a chemical-type process in which surface atoms are removed by reaction with the active radicals of the plasma; it is useful for example in producing grooves in integrated circuit design.
  • Substrate cleaning is a mechanical-type process employed to remove unwanted surface atoms by transferring kinetic energy of the plasma radicals to these atoms. Reference may also be made to the text book referred to above, the disclosure of which is incorporated herein by this reference.
  • the drawings show the apparatus in a particular attitude, but the processes employed are of course not attitude-dependent and the apparatus can be employed in any other appropriate attitude and configuration.
  • the electrode 30 and the electrodes 38 are described and illustrated herein as open grids; in the laboratory-type apparatus employed such a grid is for example of thin wires of 0.3 mm diameter spaced approximately 1-2 cm apart, and are adequate to apply the required field while being translucent, i.e. sufficiently transparent to the plasma electrons.
  • a plain open ring electrode can also be used provided the resultant non-uniformity of the field can be tolerated.

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • ing And Chemical Polishing (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
EP89309530A 1988-08-05 1989-09-19 Méthode et appareil pour la gravure par plasma, le nettoyage de substrats ou le dépôt de matériau par décharge luminescente en courant continu Withdrawn EP0418438A1 (fr)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP20147889A JPH02150025A (ja) 1988-08-05 1989-08-04 D.c.グローまたはプラズマ放電によるプラズマエッチング、基体洗浄または基体への材料蒸着方法およびその装置
EP89309530A EP0418438A1 (fr) 1989-09-19 1989-09-19 Méthode et appareil pour la gravure par plasma, le nettoyage de substrats ou le dépôt de matériau par décharge luminescente en courant continu
US07/565,831 US5039376A (en) 1989-09-19 1990-08-13 Method and apparatus for the plasma etching, substrate cleaning, or deposition of materials by D.C. glow discharge

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
EP89309530A EP0418438A1 (fr) 1989-09-19 1989-09-19 Méthode et appareil pour la gravure par plasma, le nettoyage de substrats ou le dépôt de matériau par décharge luminescente en courant continu

Publications (1)

Publication Number Publication Date
EP0418438A1 true EP0418438A1 (fr) 1991-03-27

Family

ID=8202790

Family Applications (1)

Application Number Title Priority Date Filing Date
EP89309530A Withdrawn EP0418438A1 (fr) 1988-08-05 1989-09-19 Méthode et appareil pour la gravure par plasma, le nettoyage de substrats ou le dépôt de matériau par décharge luminescente en courant continu

Country Status (2)

Country Link
EP (1) EP0418438A1 (fr)
JP (1) JPH02150025A (fr)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0607415A1 (fr) * 1992-08-13 1994-07-27 Lam Research Corporation Appareil de decharge luminescente a anode creuse
WO2002092871A2 (fr) * 2001-05-15 2002-11-21 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Dispositif et procede de revetement et/ou de traitement de surface de substrats par plasma basse pression

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4677612B2 (ja) * 2006-01-25 2011-04-27 独立行政法人産業技術総合研究所 炭素材料が被着した被処理物の清浄方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4415602A (en) * 1981-07-24 1983-11-15 Canadian Industrial Innovation Centre/Waterloo Reactive plating method and product
JPS59144132A (ja) * 1983-02-07 1984-08-18 Hitachi Ltd 反応装置
EP0221812A2 (fr) * 1985-10-25 1987-05-13 SOLEMS S.A. Société dite: Appareil et son procédé d'utilisation pour la formation de films minces assistée par plasma
FR2596580A1 (fr) * 1986-03-26 1987-10-02 Centre Nat Rech Scient Generateur de plasma

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4415602A (en) * 1981-07-24 1983-11-15 Canadian Industrial Innovation Centre/Waterloo Reactive plating method and product
JPS59144132A (ja) * 1983-02-07 1984-08-18 Hitachi Ltd 反応装置
EP0221812A2 (fr) * 1985-10-25 1987-05-13 SOLEMS S.A. Société dite: Appareil et son procédé d'utilisation pour la formation de films minces assistée par plasma
FR2596580A1 (fr) * 1986-03-26 1987-10-02 Centre Nat Rech Scient Generateur de plasma

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
PATENT ABSTRACTS OF JAPAN, vol. 8, no. 272 (E-284)[1709], 13th December 1984; & JP-A-59 144 132 (HITACHI SEISAKUSHO K.K.) 18-08-1984 *

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0607415A1 (fr) * 1992-08-13 1994-07-27 Lam Research Corporation Appareil de decharge luminescente a anode creuse
EP0607415A4 (fr) * 1992-08-13 1995-07-12 Lam Res Corp Appareil de decharge luminescente a anode creuse.
WO2002092871A2 (fr) * 2001-05-15 2002-11-21 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Dispositif et procede de revetement et/ou de traitement de surface de substrats par plasma basse pression
WO2002092871A3 (fr) * 2001-05-15 2003-02-13 Fraunhofer Ges Forschung Dispositif et procede de revetement et/ou de traitement de surface de substrats par plasma basse pression

Also Published As

Publication number Publication date
JPH02150025A (ja) 1990-06-08

Similar Documents

Publication Publication Date Title
US5039376A (en) Method and apparatus for the plasma etching, substrate cleaning, or deposition of materials by D.C. glow discharge
EP0253361B1 (fr) Dispositif pour former une couche mince
EP0074212B1 (fr) Dispositif pour la formation d'un film mince
CA1308689C (fr) Methode et appareil de formation de pellicule mince
US4434036A (en) Method and apparatus for doping semiconductor material
US4664938A (en) Method for deposition of silicon
GB1598146A (en) Method and apparatus for plasma treatment of semiconductor materials
US5104509A (en) Method and apparatus for producing layers of hard carbon modifications
US4979467A (en) Thin film formation apparatus
JPH05275345A (ja) プラズマcvd方法およびその装置
US5101110A (en) Ion generator
JPH0541705B2 (fr)
JP2003527478A (ja) 多結晶ダイヤモンドをプラズマ技術的に堆積させるための装置
EP0458085B1 (fr) Appareillage de dépôt chimique en phase vapeur assisté par plasma
EP0418438A1 (fr) Méthode et appareil pour la gravure par plasma, le nettoyage de substrats ou le dépôt de matériau par décharge luminescente en courant continu
EP0976846A1 (fr) Dispositif de formation d'une couche mince pour la formation d'une couche mince en silicium ayant cristallinité
CA1341184C (fr) Procede et appareil de depot de materiaux par decharge luminescente dc, ou de decapage d'une surface a l'aide d'un plasma
US4599971A (en) Vapor deposition film forming apparatus
JP3007579B2 (ja) シリコン薄膜の製造方法
JPH08222554A (ja) プラズマを利用した成膜装置およびその方法
JPS6339668B2 (fr)
JPS61267315A (ja) プラズマcvd装置
JP3991446B2 (ja) 薄膜形成装置
JPH031377B2 (fr)
JPH02294482A (ja) 薄膜形成装置

Legal Events

Date Code Title Description
PUAI Public reference made under article 153(3) epc to a published international application that has entered the european phase

Free format text: ORIGINAL CODE: 0009012

AK Designated contracting states

Kind code of ref document: A1

Designated state(s): BE DE FR GB IT NL

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: THE APPLICATION IS DEEMED TO BE WITHDRAWN

18D Application deemed to be withdrawn

Effective date: 19910930