DE1549449A1 - Einrichtung zur Verarbeitung von Gleitkommazahlen - Google Patents

Einrichtung zur Verarbeitung von Gleitkommazahlen

Info

Publication number
DE1549449A1
DE1549449A1 DE19671549449 DE1549449A DE1549449A1 DE 1549449 A1 DE1549449 A1 DE 1549449A1 DE 19671549449 DE19671549449 DE 19671549449 DE 1549449 A DE1549449 A DE 1549449A DE 1549449 A1 DE1549449 A1 DE 1549449A1
Authority
DE
Germany
Prior art keywords
floating point
register
mantissa
point number
exponent
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE19671549449
Other languages
English (en)
Inventor
Harmon Sherril Allan
Goshorn Larry Arthur
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
General Electric Co
Original Assignee
General Electric Co
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by General Electric Co filed Critical General Electric Co
Publication of DE1549449A1 publication Critical patent/DE1549449A1/de
Pending legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F7/00Methods or arrangements for processing data by operating upon the order or content of the data handled
    • G06F7/38Methods or arrangements for performing computations using exclusively denominational number representation, e.g. using binary, ternary, decimal representation
    • G06F7/48Methods or arrangements for performing computations using exclusively denominational number representation, e.g. using binary, ternary, decimal representation using non-contact-making devices, e.g. tube, solid state device; using unspecified devices
    • G06F7/483Computations with numbers represented by a non-linear combination of denominational numbers, e.g. rational numbers, logarithmic number system or floating-point numbers

Landscapes

  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Mathematical Optimization (AREA)
  • Computing Systems (AREA)
  • Mathematical Analysis (AREA)
  • Computational Mathematics (AREA)
  • Pure & Applied Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Nonlinear Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Complex Calculations (AREA)
  • Investigating Or Analysing Biological Materials (AREA)

Description

5416
General Electric Company, Scheneetady N.Y. U.S.A.
Einrichtung zur Verarbeitung von Gleitkommazahlen
Die Erfindung betrifft eine Einrichtung zur Verarbeitung von Gleitkommazahlen, die alle eine Mantisse und einen Exponenten enthalten, mit einer ersten Speichervorrichtung zur Speicherung der Mantisse und des Exponenten einer ersten Gleitkommazahl und mit einer zweiten Speichervorrichtung zur Speicherung der Mantisse des Exponenten einer zweiten Gleitkommazahl.
In einer Datenverarbeitungseinrichtung können die Zahlen entweder in Pestkomma- oder in Gleitkommaschreibweise dargestellt werden. In der Pestkommasehreibweise sind alle Zahlen im gleichen Maßstab dargestellt, wobei der Ort des Kommas die Größe der Zahl anzeigt. Die Anzahl der Ziffern links und rechts vom Komma wird durch den bei der dargestellten Information interessierenden Zahlenbereich bestimmt. Wenn man den Zahlenbereich von vornherein kennt, dann ist die Pestkommaschreibweise üblich.
BAD ORIGINAL
109810/1722
In Fällen, in denen ein großer Zahlenbereich vorkommt, oder in Fällen, in denen die Größe der zu verarbeitenden Zahlen nicht vorhersehbar ist, ist die Gleitkommaschreibweise vorzuziehen. Eine Gleitkommazahl enthält zwei Teile, von denen der eine die Größenordnung und der andere die genaue Größe der Zahl in dem von dem ersten Teil begrenzten Bereich bestimmt. Diese beiden Teile der Zahl werden jeweils Exponent und Mantisse genannt. Der Exponent bestimmt die Größenordnung, d.h. die Potenz, in die die Basis der Zahl erhoben werden soll, und die Mantisse bestimmt die Zahl innerhalb dieses Bereichs. Mantisse und Exponent bestimmen gemeinsam eine bestimmte Zahl. Der Bereich möglicher Exponenten kann so groß gewählt werden, daß alle Zahlen, die bei einem bestimmten Problem auftreten, in diesen Bereich fallen.
Beim Programmieren eines Digitalrechners zur Ausführung von Festkommarechenoperationen muß der Programmierer den Maßstab der bei der Rechnung verwendeten Zahlen festlegen. Der Programmierer muß die Maßstabsfaktoren derart berücksichtigen, daß keine Zwischenergebnisse auftreten, die die Kapazität des verwendeten Registers überschreiten, und daß die Zahlen nicht so weit rechts in den Registern liegen, daß die Genauigkeit darunter leidet^ Bei Gleitkommarechenoperationen werden die Zahlen während der Rechnung autooa-
109810/1722 bad original
tisch mit dem richtigen Maßstab versehen. Sowohl das Ergebnis der Rechnung als auch der Maßstabsfaktor des Ergebnisses werden nach der Rechnung festgelegt. Dies ist besonders dann vorteilhaft, wenn sich die bei der Rechnung vorkommenden Zahlen in einem breiten Größenbereich ändern.
Bei der Ausführung von Rechenoperationen mit Gleitkommazahlen, z.B. Additionen und Subtraktionen, muß festgestellt werden, welche Gleitkommazahl die größere ist. Die kleinere der beiden Gleitkommasahlen wird dann bis zum nächsten Rechenvorgang gespeichert. Bei Gleitkomma-Unterprogrammen sind normalerweise viele Befehle zur Ausführung des Vergleichs der Gleitkommazahlen und der Auswahl und Speicherung der kleineren Gleitkommazahl vorgesehen, wozu eine verhältnismäßig große Verarbeitungszeit und ein verhältnismäßig großer Speicherraum erforderlich ist. Dementsprechend ist es 'wünschenswert, den Wirkungsgrad dieser Operation hinsichtlich der Zeit und des Aufwands zu steigern.
Es soll also eine Einrichtung geschaffen werden, mit deren Hilfe es möglich ist, Gleitkommarechenoperationen in einer Datenverarbeitungsanlage auszuführen, festzustellen, welche von zwei Gleitkommazahlen die größere ist, die kleinere Gleitkommazahl in einem Register zu speichern und die Vergleichs- und Übertragungsoperationen bei ßleitkommarechenoperationen schneller auszuführen als bisher.
3AD ORIGINAL
10S-81C/1722
Gemäß der Erfindung wird diese Aufgabe dadurch gelöst, daß eine Recheneinrichtung geschaffen wird, die eine erste in einem Register gespeicherte Gleitkommazahl von einer zweiten in einem weiteren Register gespeicherten Gleitkommazahl subtrahiert, wobei das Ergebnis der Subtraktion zur Bestimmung des Zustande eines Steuerflipflop verwendet wird. Das Steuerflipflop wird in den 1-Zustand gesetzt, wenn die erste Gleitkommazahl größer ist, oder in den 0-Zustand zurückgesetzt, wenn die zweite Gleitkommazahl die größere ist. Eine Übertragungsvorrichtung führt die Binärziffern der Mantissen der beiden in den Registern gespeicherten Gleitzahlen jeweils nacheinander einem ersten und einem zweiten Eingangstor eines im Serienbetrieb arbeitenden Volladdierers zu. Die den Zustand des Steuerflipflop darstellenden AusgangesignaIs des Steuerflipflop werden ebenfalls den beiden Eingangstoren des Volladdierers zugeführt und schalten das erste Eingangstor des Volladdierers durch, wenn die im zweiten Register gespeicherte Gleitkommazahl größer ist, oder sie schalten das zweite Eingangstor durch, wenn die Gleitkommazahl im ersten Register die größere ist. Die Ausgangsgrößen des Volladdierers, zu denen die Binärziffern der Mantisse der kleineren Gleitkommazahl gehören, werden in Α-Registern gespeichert. Zur Übertragung dieser Ausgangsgrößen in die Α-Register sind Übertragungsvorrichtungen vorgesehen.
BAD ORIgiNal 1 Π 9 8 1 0/1722
Bei Gleitkommarechenoperationen müssen entweder der Exponent oder die Mantisse einer Gleitkommazahl häufig verarbeitet werden, ohne daß der anda?e Teil der Zahl beeinflußt wird. Dies läßt sich durch Übertragung des anderen Teils der Gleitkommazahl in ein anderes Register bei gleichzeitiger Ausführung der gewünschten Operation mit dem einen Teil ausführen. Durch diese Übertragungsvorgänge wird jedoch die für Gleitkommarechenoperationen erforderliche Rechenzeit erhöht. Dementsprechend ist es vorteilhaft, wenn man eine Rechenoperation mit dem einen Teil einer in einem Register gespeicherten Gleitkommazahl ausführt und gleichzeitig den anderen Teil der Zahl im selben Register gespeichert hält.
Es gehört daher zur Aufgabe der Erfindung bei Gleitkommarechenoperationen diese an einem von zwei Teilen einer Gleitkommazahl auszuführen und dabei beide Teile der Gleitkommazahl gespeichert zu halten. Die Erfindung wird daher dadurch weitergebildet, daß zwei Register vorgesehen sind. Im ersten Register wird eine erste Gleitkommazahl und im zweiten Register eine zweite Gleitkommazahl gespeichert. Jede Gleitkommazahl hat ein Exponentenfeld und ein Mantissenfeld. Ferner ist ein Hilfsregister vorgesehen, in dem zwei Felder gespeichert werden, die jeweils dem Exponenten- und dem Mantissenfeld einer Gleitkommazahl entsprechen, wobei das eine Feld Binärziffern einer Art und das andere Feld Binärziffern einer anderen Art enthält. Außerdem ist ein VoIl-
RAD
109810/1722 BAD
addierer vorgesehen, der aritmethische Operationen mit Zahlen ausführt, die den Eingangstoren des Volladdierers zugeführt werden. Die Gleitkommazahlen in den beiden Registern werden synchron aus den Registern geschoben, wobei entsprechende Binärziffern de Gleitkommazahlen gleichzeitig den Eingangstoren des Volladdierers zugeführt werden. Der Inhalt des Hilfsregisters wird ebenfalls herausgeschoben, und zwar werden die Ausgangsbits des Hilfsregisters gleichzeitig mit entsprechenden Bits der beiden Gleitkommazahlen aus dem Hilfsregister den Eingangstoren des Volladdierers zugeführt. Das zweite Feld des Hilfsregisters sperrt zusammen mit einem Steuerflipflop vorbestimmte Eingangstore, so daß das Mantissenfeld der einen Gleitkommazahl unverändert über den Volladdierer übertragen und im zweiten Register gespeichert wird. Das erste Feld des Hilfsregisters schaltet vorbestimmte Eingangstore des Volladdierers durch, um die Ausführung einer Rechenoperation mit den Exponentenfeldern der beiden Gleitkommazahlen im Volladdierer zu gestatten» Das Ergebnis der Rechenoperation wird zusammen mit dem zuvor gespeicherten Mantissenfeld im zweiten Register gespeichert.
Die Erfindung wird nun an Hand der beiliegenden Zeichnungen näher beschrieben, wobei alle aus der Beschreibung und den Zeichnungen hervorgehenden Merkmale zur Lösung der Aufgabe im Sinne der Erfindung beitragen können und mit dem Willen zur Patentierung in die Anmeldung aufgenommen wurden.
109810/1722 Λ
ORIGINAL
— D —
Die Pig. 1a-1d sind symbolische Darstellungen des Aufbaus von Gleitkommazahlen, die in der Einrichtung nach Fig.16 verwendet werden*
Fig. 2 ist ein Blockschaltbild größerer Bauteile der Einrichtung, die zur Verarbeitung von GIeitkommazahlen verwendet werden.
In den Fig. 3a-5c sind die Bedingungen für den Schaltzustand der Flipflops des B-Registers, das in der Einrichtung nach Fig. 16 verwendet wird, in Fora von Schaltfunktionen dargestellt.
In den Fig. 4a und 4b ist das Blockschaltbild eines in der Einrichtung nach Fig. 16 verwendeten Paralleladdierwerks dargestellt.
In den Fig. 5a—5c sind die Bedingungen für den Schaltzustand von Flipflops, die im A-Register der Einrichtung nach Fig. 16 verwendet werden, in Form Boolescher Schaltfunktionen dargestellt.
Fig. 6 ist eine symbolische Darstellung des Inhalts des in der Einrichtung nach Fig. 16 verwendeten Q-Registers bei der Ausführung von Gleitkommarechenoperationen.
10 9 8 10/1722
_ 7 _ BAD ORlG1NAL
In den Pig. Ia-Ic sind die Bedingungen für den Schaltzustand der Flipflops des in der Einrichtung nach Fig. -16 verwendeten Q-Registers in Form Boolescher Schaltfunktionen argestellt.
In Fig. 8 sind die Bedingungen für den Schaltzustand von Flipflops des J-Zählers, der in der Einrichtung nach Fig. 16 verwendet wird, in Form Beolescher SchaItfunkt ionen dargestellt.
Fig. 9 ist ein Blockschaltbild des F-Volladdierers, der in der Einrichtung nach Fig. 16 verwendet wird.
Fig. 10 zeigt den Aufbau der Eingangsschaltung des F-Übertrag-Flipflop, das in der Einrichtung nach Fig. 16 verwendet wird.
Fig. 11 zeigt die Eingangsschaltung des in der Einrichtung nach Fig. 16 verwendeten Erinnerungsflipflop.
Fig. 12 zeigt die Eingangsschaltung des in der Einrichtung nach Fig» 16 verwendeten Prüfflipflop.
In Fig. 13 sind die Booleschen Schaltfunkt ionen für Schaltsignale j die in der Einrichtung nach Fig. 16 auftreten, dargestellt*
1098 10/1722 ßAD or/giNal
Pig. 14 ist eine symbolische Darstellung, die den Aufbau des Befehlswortes zeigt, das die erfindungsgemäße Einrichtung steuert.
Pig. 15 ist ein Zeitdiagramm, das die Wirkungsweise der erfindungsgemäßen Einrichtung darstellt.
Pig. 16 ist 'ein Blockschaltbild des in der erfindungsgemäßen Einrichtung verwendeten Rechen- und Steuerwerks.
In diesem Zusammenhang wird auf den bekannten Stand der Technik hingewiesen, wie er aus dem Buch: "Digital Computer Design Fundamentals", McGraw-Hill Publishing Comp., Inc. 1962, von Yaohan Chu und aus dem Buch: "Digital Computer Primer", McGraw-Hill Book Comp., Inc. 1959, von E.M. McCormick hervorgeht.
Die Rechencodes der verschiedenen Arten von Befehlswörtern werden durch die Bitstellen 23 bis 18 eines 24-Bit-Befehlswortes bestimmt. Die Rechencodes können daher durch zwei Okatalziffern dargestellt werden. Eine hinter der Zahl angebrachte tiefgestellte 8 bedeutet Oktalschreibweise. Eine hinter einer Zahl angebrachte tiefgestellte 10 bedeutet Dezimalschreibweise.
Zur Vereinfachung der Beschreibung werden Bich wiederholen-
BAD ORIGINAL.
098 ?07172 2
den Begriffen willkürlich zu deren Kennzeichnung Buchstabenkombinat ionen zugeordnet.
Die Bitstellen 13 bis 0 eines 24-Bit-Befehlswortes (auch als Y-FeId bezeichnet) bilden die Operandenadresse und kennzeichnen die Adresse des Speicherplatzes im Hauptspeicher (Fig. 16), der die Information enthält, welche für die Ausführung des Befehls verwendet werden soll. Die Bitstelle des Befehlswortes kennzeichnet, wenn sie eine "1" enthält, eine Modifizierung der Operandenadresse, die als relative
Adressierung bekannt ist und in der Patentschrift
(Patentanmeldung .) näher beschrieben ist.
Die Bitstellen 23 bis 18 enthalten den Rechencode und die Bitstellen 17 bis 15 die Indexziffern.
Die Index-Bitstellen 17 bis 15 aller Befehlswörter werden als X-Bits bezeichnet und sind dafür reserviert, um anzugeben, ob eine übliche Indexmodifikation bei einem Befehl durchzuführen ist, bevor dieser ausgeführt wird, und, wenn eine Indexmodifikation durchgeführt werden soll, welche Indexstelle die Modifikation enthält, oder die Indexzahl, welche zur Modifizierung verwendet wird. Wenn die Bitstellen 17 bis 15 eines Befehlswortes alle eine "0" enthalten, dann wird keine Indexmodifikation durchgeführt, wenn das Befehlswort in das Rechen- und Steuerwerk 1 übertragen wird. Wenn
109810/1722 Bao
- 10 -
die Bitstellen 17 "bis 15 irgendeine andere mögliche Zahlenkoinbination enthalten (z.B. 001-111) wird eine Indexmodifikation des Befehlswortes durchgeführt, indem der Inhalt des bezeichneten Speicherplatzes (OOOOI-OOOO7.0) zu den Bitstellen 15 "bis 0 des Befehlswortes addiert wird. Bei dem meist benutzten Befehlstyp, dem sog. Volloperanden, besteht das Ergebnis normalerweise in einer Änderung der Operandenadresse des Befehlswortes. Bei anderen Befehlstypen jedoch kann die Mikrocodierung des Befehls und danach die auszuführende Rechenoperation durch Indexmodifikation beeinflußt werden.
In Fig. 16 ist ein vereinfachtes Blockschaltbild des Rechen- und Steuerwerks 1 sowie der im Hauptspeicher 2 befindlichen Register dargestellt, mit denen das Rechen- und Steuerwerk direkt Information austauscht. Das Blockschaltbild zeigt die funktioneile Beziehung zwischen den einzelnen Registern, einem Paralleladdierwerk und drei im Serienbetrieb arbeitenden Volladdierern. Die Informationen werden zwischen den Registern und den übrigen Elementen des Rechen- und Steuerwerks 1 über die in Fig. 16 gezeigten Verbindungsleitungen parallel und/oder in Serie in Form binärer Ziffern von einem Register oder einem Element zu einem anderen Register oder einem anderen Element übertragen. Im folgenden werden nur die Wirkungsweise und die Funktionen der Grundregister und die üblichen Inforraationswege beschrieben, wobei im Verlauf der Beschreibung immer mehr in Einzelheiten gegangen wird.
10 9 8 10/1722
11 SAD ORIGINAL
it
Das Paralleladdierwerk 20 besteht aus einem 24-Bit-Paralleladdierer mit gleichzeitiger (vorausschauender) Übertrag-Weiterleitung zwischen jeder Gruppe von vier Bits, die je nachdem wie es erforderlich ist, durchgeschaltet oder gesperrt werden können. Eine ausführlichere Beschreibung eines derartigen Paralleladdierers ist auf den Seiten 390 und 391 des Buches "Digital Computer Design Fundamentals" von Yaohan Chu beschrieben. Alle Rechenvorgänge im Parallelbetrieb innerhalb des Rechen- und Steuerwerks 1 werden in dem Paralleladdierwerk 20 ausgeführt. Zusätzlich dient das Paralleladdierwerk 20 als Zwischensation für einen Großteil der parallel übertragenen Daten zwischen anderen Registern des Rechenund Steuerwerkes 1.
Das A-Register 21 ist ein 24-Bit-Akkumulatorregister für arithmetische Rechenoperationen und Bit-Manipulationen. Es ist in der Lage, zusätzlich zu dem normalerweise parallel erfolgenden Informationsaustausch mit dem Paralleladdierwerk zwanzig serielle Rechts- oder Linksverschiebungen auszuführen. Das A-Register 21 ist außerdem in der Lage, Informationen mit dem Q-Register 22, dem "F"-Volladdierer 27 und dem "N"-Volladdierer 29 auszutauschen. Das Q-Register 22 ist in Form eines 24-Bit-Hilfsakkumulators aufgebaut, der in Verbindung mit dem A-Register 21 zur Durchführung von Rechenoperationen mit doppelter Genauigkeit verwendet wird. Zusätzlich kann der Inhalt des Q-Registers 22 auch zur Kenn-
10 9 8 10/1722 ^n
BAD OR/GiNAL
Zeichnung von Rechenfeldern des A-Registers 21 und/oder B-Registers 25 während der Ausführung eines Feldbefehls verwendet werden, von dem nur die vorbestimmten Felder (Gruppen von einem oder mehreren Bits) des Informationswortes beeinflußt werden. Das Q-Register ist ebenfalls in der Lage, Rechts- oder Linksverschiebungen vorzunehmen und die normale Parallelübertragung von Informationen zum Paralleladdierwerk 20 und zurück auszuführen und kann ferner Informationen mit dem P-Volladdierer 27 austauschen.
Das I-Register (Instructions Register) besteht aus einem 26-Bit-Register, in dem das zu einem bestimmten Zeitpunkt auszuführende Befehlswort steht, Die beiden Bits A und B werden zwischen die Bitstelle 13 und die Bitstelle 14 eines aus 24 Bitstellen bestehenden Befehlswortes eingeschoben, wenn sich dieses im I-Register 23 befindet, und dadurch wird ein sechzehn Bit umfassendes Operandenfeld für die erweiterte Speicheradressierung geschaffen. Die im allgemeinen in das I-Register 23 übertragene oder aus diesem geholte Information wird normalerweise parallel verschoben, obwohl auch Teile des Inhalts des I-Registers 23 unter bestimmten Bedingungen in Serie verschoben werden können. Das I-Register 23 ist ferner in der Lage, Informationen mit dem Parallel*-
109810/1722
- 13 -
addierwerk 20, dem P-Register 24, dem "Γ'-Volladdierer 28, dem Speicher-Adressenregister 32 und dem Speicher-Datenregister 33 auszutauschen.
Das P-Register 24 (Programm location Register) ist ein 16-Bit-Register und bestimmt normalerweise die Adresse des Speicherplatzes im Hauptspeicher 2, aus dem der nächste auszuführende Befehl abzurufen ist. Alle Informationen werden nur parallel in das und aus dem P-Register 24 gesetzt bzw. geholt. Das P-Register 24 ist in der Lage, Informationen mit dem Paralleladdierwerk 20, dem I-Register 23, dem H-Register 26 und dem Hauptspeicher-Adressenregister 32 auszutauschen.
Das B-Register 25 ist ein 24-Bit-Zwischenspeicherregister mit parallelem Eingang (parallel-entry buffer register) und liegt zwischen dem Hauptspeicher 2 und den datenverarbeitenden Registern des Rechen- und Steuerwerks 1. Alle in die Speicherplätze des Hauptspeichers 2 übertragenen und aus diesen geholten Informationen werden über dieses Register
109810/1722
- 13a -
und das Hauptspeicher-Datenregister 33 geleitet. Während des Betriebs kann die Information im B-Register 25 aufgrund bestimmter Befehle nach rechts verschoben werden, wobei die Befehle bev/irken, daß das B-Register 25 sowohl zur funktionellen Datenverarbeitung als auch als Zwischenspeicherregister verwendet wird. Zwischen dem B-Register 25 und dem Paralleladdiervverk 20 wird die Information parallel übertragen. Das B-Register 25 ist auch in der Lage, mit dem "P"-Volladdierer 27, dem "Γ'-Volladdierer 2b-und dem "N"-Volladdierer 29 Informationen auszutauschen.
Das Η-Register 2o (Holding Register) ist als 16-Bit-Register aufgebaut und wird grundsätzlich als Kurzzeit-Informationsspeicher während der Ausführung bestimmter Befehle für die erweiterte Arbeitsweise verwendet. Dieses Register ist in der Lage, vom Paralleladdierwerk 20 Daten parallel zu empfangen und parallel ins Paralleladdierv/erk 20, ins P-Register 24 und ins Hauptspeicher-Adressenregister 32 zu übertragen.
Der MP"-Volladdierer 27 dient dazu, in vorbestimmten Feldern, die durch das C-Register 22 während der Ausführung der PeId-Befehle vorgeschrieben werden, rechnerische und logische Manipulationen auszuführen und ist ferner für weitere Punktionen in der Rechenanlage verwendbar.
Der "!"-Volladdierer 28 wird dazu benutzt, aus der in
BAD ORIGINAL 109810/1722
- 14 -
List enst euerv/ört ern enthaltenen Information die relative Lage von Posten zu errechnen, die während der Ausführung von Listenbefehlen an eine im Hauptspeicher 2 gespeicherte Liste angehängt oder aus dieser entfernt werden sollen.
Der "N"-Volladdierer 29 wird zur Durchführung arithmetischer und logischer Operationen des A-Registers 21 und zur fteueinstellung eines zweiten und dritten Teils der Listensteuerwörter während der Ausführung von Listenbefehlen verwendet.
Der J-Zähler 30 ist als 5-Bit-Zähler aufgebaut und steuert während der Ausführung einer Anzahl von Befehlen, die eine Zählung in der einen oder anderen Form bzw. nach unterschiedlichen Bedingungen erfordern, die Manipulation der Informationen und gewisse Taktvorgänge.
Ein Eingabe/Ausgäbe-Wähler 31 bewirkt den Informationsaustausch zvischen dem Rechen- und Steuorv.erk und einem Eingabe/Ausgabe-Zwischenspeicher 7, dem Eingabe/Ausgabe-Zwischenspeicher 9 für das Prozeßsignal und dem Programirierpult L. Mit Hilfe des Eingabe/Ausgabe-V;ählers wird aus mehreren 24-Bit-Informationskanälen während der Ausführung bestimmter Befehle ein Kanal ausgesucht. Alle von den Eingabe/Ausgabe-Einrichtungen abgegebenen Daten werden über den Eingabe/Ausgabe-Wähler 31 des Pp.rall elnddierwerks 20 ?ur Weiter^exlm^: Innerhalb des Rechen- υπ.: oteuei'werks 1 geleitet.
109810/ 172?
BAD ORIGINAL
- 15 -
Das Speicher-Adressenregister 32 ist ein 1b-Bit-Register und ein Teil des Hauptspeichers 2. Es empfängt jedoch ein aus sechzehn Bits bestehendes verstümmeltes Wort unmittelbar aus den Registern P, I und H des Rechen- und Steuerwerks 1. wobei dieses Wort die Speicheradresse des nächsten gespeicherten 24-Bit-Wortes bezeichnet, das aus dem Hauptspeicher 2 über das Speicher-Datenregister 33 in das Rechen- und Steuerwerk 1 übertragen werden soll.
Das Speicher-Datenregister 33 ist ebenfalls Teil des Hauptspeichers 2. Es ist als 24-Bit-Register aufgebaut, in dem jedes gerade aus einem Speicherplatz geholte Wort in Abhängigkeit von einer bestimmten Adresse festgehalten wird, die in dem Hauptspeicher-Adressenregister 32 und einer vom Rechen- und Steuerwerk 1 abgegebenen Speicheranfrage abhängt. Das Hauptspeicher-Datenregister 33 steht mit dem B-Register 25 und dem I-Register 23 des Rechen- und Steuerwerks in Informationsaustausch.
Takt- und Reihenfolgesteuerung
Um die Operationen im Rechenwerk I in der richtigen Reihen folge ablaufen zu lassen, bildet eine Relhenfolgesteuerlogik fünf sich gegenseitig ausschließende Reihenfolgesteuerzustände, die durch fünf Reihenfolgesteuerflipflops PISÖO1, FISC02, FISCO3, FIÖCO4, und FISCO5 bestimmt werden.
1098 10/1722 BAD
15/.9U9 J?
Der Relhenfolgesteuerzustand 1, d.h. wenn das Flipflop FISCO1 gesetzt ist, bestimmt den Lesezyklus für alle Befehle. Während des Lesezyklus werden die im Hauptspeicher gespeicherten Befehle ins Rechenwerk 1 übertragen und ins I-Register 23 weitergeleitet. Außerdem wird die im P-Register 24 gespeicherte Zahl gewöhnlich während des Reihenfogesteuerzustands 1 erhöht, so daß andere Informationen zwischen den Registern übertragen v/erden können. Der vom Flip flop FISC02 bestimmte Relhenfolgesteuerzustand 2 v/ird zur Modifikation von Indexbits der Wörter verwendet. Diese Befehlsfolge wird an dieser Stelle nicht weiter beschrieben.
Der Reihenfolgesteuerzustand 3 (Flipflop FISCO3 gesetzt) ν ird zur Ausführung weiterer Bitmanipulationen für eine Anzahl von Befehlen unter bestimmten Bedingungen vor der weiteren Ausführung verwendet. Die Zeitdauer des Reihenfolgesteuerzustands 3 wird von den Forderungen der einzelnen Befehle bestimmt.
Der Reihenfolgesteuerzustand 4 (Flipflop FISCO4 gesetzt) bestimmt den Endausführungszustand für die meisten Befehle. Der ReLhenfolgesteuerzustand 4 schließt sich an die Reihenfolgeateuerzustände 1, 2 oder 3 in Abhängigkeit von dem ausgeführten Befehl an. Wie der Reihenfolgesteuerzustand 3» so kann auch der ReLhenfolgesteuerzustand 4 in Abhängigkeit von den einzelnen Befehlen zeitlich verlängert werden.
10 9 8 10/1722 .. «AD original
- 17 -
Der Reihenfolgesteuerzustand 5 (Flipflop FISCO5 gesetzt) bestimmt eine zur Ausführung v/eiterer Funktionen, die zur Vervollständigung der Ausführung einiger spezieller Befehle erforderlich sind, notwendige Zeitspanne.
Die für optimalen Betrieb in jedem Reihenfolgesteuerzustand und zur Gewährleistung zeitlicher Änderungen von einem Reihenfolgesteuerzustand zu einem anderen erforderlichen Taktsteuersignal werden von einem herkömmlichen (nicht gezeigten) Reihenfolgetaktzähler in Verbindung mit Taktsignalen, TCKA, die von einem herkömmlichen (nicht gezeigten) Taktgeber geliefert v/erden, erzeugt.
Ein (nicht gezeigter) Verzögerungsjzähler, der vom Reihenfolgezähler gesteuert wird und fünf Flipflops FlTAFF, F1TBFF, F1TDI1F, F1TDFF und F1TEFF enthält, ist ebenfalls vorgesehen. Er wird zur Bildung spezieller Steuersignale während der Ausführung von Befehlen, die eine Verlängerung der normalen Dauer der Reihenfolgesteuerzustände 3 und/oder 4 erfordern, verwendet.
Eine ausführliche Erläuterung der Reihenfolgesteuerung ist in der Patentschrift (Patentanmeldung G51 714 IXc/42m3, angemeldet am 22. November 1967) enthalten.
BAD
10 9 810/1722
- 18 -
Um Verwechslungen durch wiederholte Verv/endung des Wortes "Cteuea^ung" zu vermeiden, werben im folgenden die Bezeichnungen der Signale durch vier Buchstaben abgekürzt. So wird z.B. das Taktsignal mit TCKA bezeichnet. In der Schreib-.eise der Booleschen Algebra bedeutet darm das Signal TCKA die "logische" Inversion oder Negation von TCKA, was durch einen Querstrich über "ler Abkürzung angedeutet wird. Wenn TCKA "1" ist, muß TCKÄ "0" sein, und wenn TCKA "0" ist, dann muß TCKA "1" se n„ Die Bedingungen, die erfüllt sein müssen, damit ein bestimmtes Signal "1" ist, lassen sich in Form einer Booleschen Gleichung oder Sehaltfunktion (vergl. DIN 44 300) darstellen. Aus der Booleschen Gleichung oder Sehaltfunkt ion für äen 1-Zustand eines Signals ergibt sich dann für den Fachmann ohne weiteres der gerätetechnische Aufbau eines Schaltwerks oder Schaltnetzes aus sog. "logischen" Schaltgliedern (auch Schaltkreise genannt), z.B. UND-Gliedern, ODER-Gliedern, Speichergliedern un" KICHT-Glioderri, mit dessen Hilfe dieses Signal gebildet •vercen kann.
Einzelheiten der Einrichtung zur Verarbeitung von GIe:? tkommazahlen
Der Aufbau der Gleitkommazahlen ist in Pig. 1a dargestellt. Die Bitstellen 0-16 der Gleitkommazahl enthalten die Mantisse und die Bitstellen 17-22 den Exponenten. Die Bitstelle
10 9 8 10/1722 BAD original
- 19 -
enthält das Vorzeichen der Gleitkommazahl.
Die in Figo 1b, 1c und 1d zeigen die beijder Einrichtung verwendete Form der exponenten Schreibweise. Der Exponent 0 v/irrt durch eine binäre 1 in der Bitstelle 22 der Gleitkommazahl und binäre Hüllen in den Bitsteilen 21-17 dargestellt, wie es in Fig. Tc gezeigt ist. Mithin bedeutet die Zahl 40 in Octalschreibweise oder die Zahl 32 in Dezimalschreibweise einen Exponenten 0. Der Exponent einer positiven Gleitkommazahl enthält eine binäre 1 in der Bitstelle 22 und eine zusätzliche binäre 1 in mindestens einer der Bitstellen 21—17, wie es in Fig. Ib gezeigt ist. Der Exponentenbereich positiver Gleitkommazahlen reicht mithin von 41 bis 77 in Octalschreibweise oder von 33 bis 63 in Dezimalschreibweise. Der Exponent einer negativen Gleitkommazahl enthält eine binare 0 in der Bitstelle 22 mit geeigneten binären Ziffern in den Bitstellen 21-17, wie es in Fig. 21d gezeigt ist. Der Exponentenbereich negativer Gleitkommazahlen reicht also von 0-37 in Octalschreibweise oder von 0-31 in Dezimalschreibweise. Diese ExponentenschreibwOL3e ermöglicht es, Gleitkommazahlen unmittelbar ala Binär zahlen zu subtrahieren, ohne zv/ischen Exponenten- und Mantiasenfeidern zu unterscheiden, wenn festgestellt werden soll, welche der beiden Gleitkommazahlen die größere ist, Die Zahl 0 wird durch einen Exponenten 0 in Verbindung mit ainer Mantisse 0 dargestellt.
1 0 9 8^0 _/ 17 2 2
15A9U9
Pig. 2 zeigt die größeren Baugruppen des Rechen- und Steuer werks 1, das in Figo 16 gezeigt ist, die zur Verarbeitung von Gleitkommazahlen in der Einrichtung verwendet werden,, Diese größeren Baugruppen sind das Paralleladdierwerk 20, das A-Register 21, das Q-Register 22, das I-Register 23, das B-Register 25, der P-Volladdierer 27, der J-Zähler 30 und das Hauptspeicher-Datenregister 33. Außerdem enthält Pig. 2 einen Befehlsdecodierer 70, ein Erinnerungsflipflop 71, ein Prüfflipflop 72 und ein F-Übertragflipflop
Das I-Register 23 erhält den "Sprung-GleitkoErr.a"- oder "SPP"-Befehl oder den "GEN-3"-Befehl während des Reihenfolgesteuerzustands 1 aus dem Hauptspeicher, wie es im.· Abschnitt "Takt und Reihenfolgesteuerung" beschrieben ist. Der Befehlsdecodierer 70 erzeugt in Abhängigkeit vom im I-Register 23 gespeicherten SPP-Befehl ein Signal DSPP τ und in Abhängigkeit vom Operationscode des im I-Register gespeicherten Befehls GEM3 ein Signal DGN3» Die Signale DSPP und DGN3 werden verschiedenen Baugruppen des Rechen- und Steuerwerks zugeführt, um von den entsprechenden Befehlsv/örtern aufgerufene Operationen zu steuern.
Während der Ausführung von Gleitkommaoperationen v/erden durch dia Signa La PDOO-PD23 dargestellte Datenv/örter aus Jem HauptEspeicher-Datenregister 33 in3 B-Register 25 gesetzt. Bei dem B-Register 25 handelt e3 sich ebenfalls um
10 9 810/1722 BAD original
- 21 -
ein Schieberegister, dessen Inhalt während der Ausführung von Gleitkommarechenoperationen in Form aufeinanderfolgender Binärziffern, die durch Signale PBOO und FBOO dargestellt werden, dem F-Volladdierer 27 zugeführt wird. Während dieser Verschiebung erhält das B-Register 25 Ausgangssignale vom F-Volladdierer 27. Die Anordnung des B-Registers 25 und zugehöriger Eingaiigstore ergibt sich aus den in den Figuren 3a-3c dargestellten Schaltfunktionen.
Wie man aus den Fig. 3a-3c ersieht, speichert das B-Register 25 ein Datenwort aus dem Häuptspeicher-Datenregister 33in Abhängigkeit vom Sehaltsignal BDOB. Der Inhalt des B-Registers 25 wird in Abhängigkeit von Schieber ignal en'BSBIi und BSBU seriell nach rechts verschoben, während" d%T: Inhalt des Registers aus dem Flipflop BOO geschoben wird. Während der Schiebeoperation im B-Register werden die SummenausgangsSignale FAFS und FAFS aus dem F-Volladdierer 27 dem Flipflop B23 zugeführt, wie dies aus Fig. 3c zu ersehen ist.
Während der Gleitkommarechenoperationen erhält das Paralleladdierwerk 20 an seinen Eingängen Signale FAOO-23 aus dem A-Register 2-1 und FBOO-FB23 aus dem B-Register 25. Das Paralleladdierwerk 20 liefert ein Ausgangssignal DC22 an das Erinnerungsflipflop 21. Das Paralleladdierwerk 20 subtrahiert die Gleitkommazahl im B-Register 25 von der
1 098 10 /,1 ti2.2
- 22 -
Ί549449
Gleitkommazahl im A-Register 21 während der Ausführung des Sprunggleitkomma-Befehls, um festzustellen, welche der Gleitkommazahlen die größere ist. Der Aufbau des Paralleladdierwerks 20 ist in den Pig. 4a und 4b dargestellt.
V/ie aus den Pig. 4a und 4b zu ersehen ist, enthält das Paralleladdierwerk 20 Volladdierer DOO-D23 und zugehörige Eingangstore. Die Α-Eingänge der Volladdierer DOO-D23 werden von entsprechenden UND-Gliedern 80 gebildet, denen jeweils die Ausgangssignale PA00-PA23 des A-Registers 21 und das Schaltsignal UAAU zugeführt werden. In ähnlicher Weise werden die Ausgangssignale von UND-Gliedern 81 den B-Eingängen der Volladdierer DOO-D23 zugeführt, wobei diese UND-Glieder 81 ihrerseits jeweils von den Ausgangssignalen PBOO - PB23 des B-Registers 25 und dem Schaltsignal UBNA angesteuert werden. Der Übertragausgang aller Volladdierer D00-D22 wird dem P-Eingang des Volladdierers der nächsthöheren Stelle zugeführt. Das Signal UENC bildet das Eingangssignal des P-Eingangs des Volladdierers DOO. Die wahren und negierten Summenausgangssignale der Volladdierer DO0-D23 sind jeweils mit DSOO-23 und DSOO-23 bezeichnet. Das negierte Übertragausgangssignal D022 des Volladdierers D22 wird bei der Ausführung des SFP-Befehls verwendet, um die relative Größe der Gleitkommazahlen im Α-Register und im B-Register 25 anzuzeigen.
ORlGiNAL
72 2
Das Α-Register 21 ist ebenfalls ein Schieberegister, dessen Inhalt während der Ausführung bestimmter Gleitkommaoperationen in Form aufeinanderfolgender Binärziffern, die durch die Signale FAOO und PAOO dargestellt werden, dem F-VoIladdierer 27 zugeführt wird. Während dieser Operationen werden dem Flipflop A23 des A-Registers 21 die AusgangesignaIe PAPS und PAPS des P-Volladdierers 27 zugeführt. Me Flipflops A17-A21 des A-Registers 21 erhalten die Ausgangssignale PJ00-PJ04 des J-Zählers 30. Der Aufbau des A-Registers und der zugehörigen Schaltung ergibt sich aus den in den Figuren 5a-5c dargestellten Sehaltfunktionen. Wie sich aus den Pig. 5a-5e ergibt, wird der Inhalt des A-Registers 21 in Abhängigkeit von einem Schiebesignal ASRA seriell nach rechts verschoben, wobei der Inhalt des A-Registers 21 aus dem Flipflop AOO geschoben wird. Die während dieser Rechtsverschiebung ins Flipflop A23 geschobene Information wird aus dem F-Volladdierer 27 abgenommen. Der Inhalt des A-Registers 21 wird in Abhängigkeit vom Schiebesignal ASIA nach links verschoben. Das Komplement der Zahl im J-Zähler 30 wird in Abhängigkeit vom Schaltsignal AJNA in die Flipflops A17-A21 des A-Registers 21 übertragen. Das Flipflop A23 des A-Registers 21 erhält ebenfalls als Eingangssignal das Signal. FB23 während der Ausführung des SFP-Befehls, um das Vorzeichen der im Α-Register gespeicherten Gleitkommazahl zu steuern.
1 au to/ma
- 24 -
Das Q-Register 22 liefert Ausgangssignale an den F-VoIladdierer 21, an das Erinnerungsflipflop 21 und das F-Übertragflipflop 23, und zwar während der Ausführung von Gleitkommaoperationen, wie es in Fig. 2 gezeigt ist. Der Inhalt des Q-Registers 23 während der Ausführung des SFP-Befehls ist in Fig. 6 (diese Figur 6 befindet sich auf dem gleichen Blatt wie die Figuren 1a-1d) dargestellt. Das Q-Register 22 enthält in der Bitstelle 23 eine binäre O, die dem Vorzeichenbit einer Gleitkommazahl entspricht, binäre Einsen in den Bitstellen 22-17, die dem Exponentenfeld einer Gleitkommazahl entsprechen und binäre Nullen in den Bitstellen 16-0, die dem Mantissenfeld einer Gleitkommazahl entsprechen. Der Inhalt des Q-Registers 22 umfaßt eine Maske, die zur Steuerung von Operationen verwendet wird, die mit den Exponenten und Mantissen von Gleitkommazahlen ausgeführt werden. Der Aufbau des Q-Registers 22 und der zugehörigen Eingangslogik ergibt sich aus den in den Fig. Ia-Ic dargestellten Schaltfunktionen.
Wie aus den Fig. 7a-7c zu ersehen ist, wird der Inhalt des Q-Registers 23 vom Schiebesignal QSRQ nach rechts verschoben. Das Ausgangsflipflop QOO dient während der Schiebeoperation als Ausgang des Q-Regiaters 22. Die im A-Register 21 und B-Register 25 gespeicherten Gleitkommazahlen werden in Abhängigkeit von der im Q-Register 22 gespeicherten Maske seriell durch den F-Volladdierer 27
108810/1722 bad oriqiNal
- 25
1549U9
geschoben, um die bei dem SFP-Befehl erforderlichen Manipulationen und Rechenoperationen mit den G-leitkommazahlen auszuführen. Während dieser Schiebeoperation werden dem Eingang des Flipflop Q23 die Ausgangssignale des Flipflop QOO zugeführt. Auf diese Weise wird der Inhalt des Q-Registers 22 im Kreise verschoben.
Mit Hilfe des J-Zählers 30 wird die Anzahl der Bitstellen gesteuert oder gezählt, um die die Mantisse einer Gleitlconrazahl während der Gleitkommaoperationen verschoben wird. Dem J-Zähler 30 werden die Signale FA17-FA21 aus dem A-Register 21 zugeführt. Der Aufbau des J-Zählers 30 ergibt sich aus Fig. 0. Wie man sieht, wird das Komplement des Inhalts der Flipflops AS-A21 des A-Registers 21 in Abhängigkeit vom Schaltsignal JNAJ dem J-Zähler 30 zugeführt. Die Zahl im J-Zähler 30 wird in Abhängigkeit vom Signal JINC weitergeschaltet.
Dem F-Volladdierer 27 werden während der Gleitkommaoperationen die Ausgangssignale FAOO, FAOO und FBOO, FBOO zugeführt, die entsprechende Binärziffern der jeweils im A-Register 21 und B-Register 25 gespeicherten Gleitkommazahlen darstellen. Die Binärziffern der von den Ausgangssignalen FQOO, FQOO des Q-Registers 22 dargestellten Maske werden ebenfalls nacheinander dem F-Volladdierer 27 zugeführt. Der Übertrageingang des F-Volladdierers 27 wird
109810/1722
- 26 -
vom F-Übertrag-Flipflop 73 angesteuert. Dem F-Volladdierer 27 werden ferner Signale zugeführt, die Binärziffern des im I-Registerr 23 gespeicherten Befehlswortes darstellen.
Der Aufbau des F-Volladdierers 27 einschließlich des im Serienbetrieb arbeitenden Volladdierers PAF und der zugehörigen Eingangslogik ist in Fig. 9 gezeigt. Die Ausgangssignale FI07, FH3 und FH4 des I-Registers, die Ausgangssignale FRMM und FRMM des Erinnerungsflipflop 71 und die AusgangsSignale FQOO und FQOO des Q-Registers 22 steuern die Zuführung der Ausgangssignale FAOO und FAOO des A-Registers 21 zum Α-Eingang des Volladdierers FAF. In ähnlicher Weise steuern die Ausgangssignale FI07, FH1 und FH2 des I-Registers 23 die Ausgangesignale PRMM und FkMM des Erinnerungsflipflop 70 und die Ausgangssignale FCOO und FQOO des Q-Registers 22 die Zuführung der Ausgangssignale FBOO und FBOO des B-Registers 25 zum B-Eingang des Volladdierers FAF. Die Zuführung des Ausgangssignals FFCF des F-Übertrag-Flipflop 73 zum P-Eingang des Volladdierers FAF wird vom Ausgangssignal FQOO des Q-Registers 22 gesteuert. Die EingangssignaIe rles Volladdierers FAF als Funktion der Signale FI07, FH1-14, FRMM und FQOO sind in Fig. 14 zusammengestellt.
Das F-tibertrag-Flipflop 73 dient zur Steuerung der Übertrag-Eingänge des F-Volladdierers 27 während der Gleitkommaoperationen. Der Aufbau des F-Übertragflipflop 73, der das
10 9 810/172?
- 27 -
Flipflop FCF und zugehörige Eingangstore enthält, ist in Pig. 10 dargestellt. Das Flipflop FCP wird im Takt TCT3 des Reihenfolgesteuerzustands 4 während der Ausführung des SFP-Befehls in den 1-Zustand gesetzt, wenn das Bit 4 des Befehlswortes, das durch das Ausgangesignal PI04 des I-Registers 23 dargestellt wird, eine binäre 1 ist. Das Flipflop PCP wird auch während der Verschiebung der Exponenten in den F-Volladdierer 27 gesetzt, wenn am Ausgang des P-Volladdierers 27 ein Übertrag erscheint. Das Flipflop PCP wird während einer Verschiebung von Exponenten durch den P-Volladdierer 27 hindurch in den 0-Zustand zurückgesetzt, wenn kein Übertrag am Ausgang des P-Volladdierers 27 erscheint, wie es in Fig. 10 gezeigt ist.
Das Erinnerungsflipflop 71 wird während des Größenvergleichs der in dem A-Register 21 und dem B-Register 25 gespeicherten Gleitkommazahlen und zur Steuerung der Reihenfolge der Befehlsausführung verwendet. Der Aufbau des Erinnerungsflipflop 71, der das Flipflop RMM und zugehörige Eingangstore enthält, ist in Fig. 11 dargestellt. Das Flipflop RMM wird im Takt TLPS während des Reihenfolgesteuerzustands 3 in den 1-Zustand gesetzt, wenn das negierte Ausgangssignal DC22 des Paralleladdierwerks 20 eine binäre 1 ist, wodurch angezeigt wird, daß die im B-Register 25 gespeicherte Gleitkommazahl größer als die im A-Register 21 gespeicherte Gleitkommazahl ist. Das Flipflop RMM wird auch während der Ver-
109810/1722
OQ
1549U9
SO
Schiebung der letzten Exponentenziffer der Gleitkommazahlen im A- und B-Register durch den P-Volladdierer 25 hindurch in den 1-Zustand gesetzt, wenn am Ausgang des Addierers 27 ein Übertrag erscheint. Während des Reihenfolgesteuerzustands wird das Flipflop RMM in den O-Zustand zurückgesetzt.
Das Prüfflipflop 72 dient zum Anzeigen einer Differenz im Vorzeichen zwischen den Gleitkommazahlen im A-Register 21 und im B-Register 25. Der Aufbau des Prüfflipflop 72, der das Flipflop TST und zugehörige Eingangstore enthält, ist in Pig. 12 dargestellt. Das Flipflop TST wird mit dem Takt TCT3 während des Reihenfolgesteuerzustands 4 in den 1-Zustand gesetzt, wenn das Bit 9 des SPP-Befehls, das durch das Signal PI09 dargestellt wird, eine binäre 1 ist und die Vorzeichen der Gleitkommazahlen in dem A-Register 21 und in dem B-Register 25 entgegengesetzt sind. Das Plipflop TST wird in einem vom Taktsignal TT08 bestimmten Zeitpunkt in den D-Zustand zurückgesetzt.
Verschiedene Verknüpfungs- und Schaltsignale, die im Block angedeutet sind, treten in geeigneten Zeitpunkten während der Ausführung des SPP-Befehls auf. Die Schaltfunktionen für diese Signale sind in Pig. 13 gezeigt. Aus diesen Schaltfunktionen ergibt sich für den Fachmann ohne weiteres der gerätetechnis&e Schaltungsaufbau.
ORJGiNAL
100810/1722
Sprunggleitkommabefehlswort
Von den. mikroeodierten Bits des SFP-Befehls (STEP FLOATING-POINT COMMAND)., der in Fig., 14 zusammengeheilt ist, werden die folgenden Operationen gesteuert:
Bit 4. Das Bit 4 des SFP-Befehls, das durch das Ausgangssignal FI04 des I-Registers 23 dargestellt wird, setzt das F-Übertrag-Flipflop FCF vor der Hechtsverschiebung des Inhalts des A-Registers 21, ius S-E eg is ■*:·.? rs 25 und des (,-Registers 22 in den 1-Zustand. Der Zustand des Flipflop FCF beeinflußt nicht die Wirkung des F-Volladdierers 27 während des Hindurchschiebens der Mantissen der. Gleitkommazahlen durch den Addierer, sondern liefert eine binäre 1 als Eingangssignal an den F-Volladdierer 27 bei Zuführung der niedrigstwertigen Exponentenbits. Da einer der Exponenten dem F-Volladdierer 27 als Einerkomplement zugeführt ..wird, bildet das dem F-Übertrag-Flipflop FCF zugeführte 1-Signal das Zweierkomplement des Exponenten dieser Gleitkommazahl
Wenn das Bit 5 des SFP-Befehls, das durch das Ausgangssignal FI05 des I-Registers 23 dargestellt wird, eine binäre 1 ist, wird das Erinnerungsflipflop RMM in den 1-Zustand gesetzt, wenn ein Feldüberlauf auftritt, was durch einen Übertrag
109810/1722
- 30 -
angezeigt wird, wenn die höchstwertigen Exponentenbits durch den F-Volladdierer 27 hindurchgeschoben v/erden. Der Zustand des Erinnerungsflipflop RMM wird anschließend zur Steuerung eines Programmsprunges verwendet.
Wenn das Bit 6 des SFP-Befehls, das durch das Ausgangssignal PI06 des I-Registers 23 dargestellt wird, eine binäre 1 ist, wird das Vorzeichenbit der Gleitkommazahl im A-Register 21 eine binäre 1, wodurch ein negatives Vorzeichen angezeigt wird, wenn das Vorzeichenbit der Gleitkommazahl im B-Register 25 eine binäre 1 ist. Im Plipflop A23 des A-Registers 21 wird also eine binäre 1 gespeichert, wenn entweder das Vorzeichenbit der Gleitkommazahl im A-Register 21 oder das Vorzeichenbit der Gleitkommazahl im B-Register 25 eine binäre 1 ist.
Wenn das Bit 7 des SFP-Befehls, das durch das Ausgangesignal ΙΊ07 des I-Registers 23 dargestellt wird, eine binäre T ist, steuern die Zustände des Erinnerungsflipflop RMM und das Flipflop QOO des Q-Registers 22 die Eingänge des F-Volladdierers 27. Wenn das Erinnerungsflipflop RMM in den 1-Zustand gesetzt ist, wodurch angezeigt wird, daß die Gleitkommazahl im B-Register 25 größer als die Gleitkommazahl im A-Register 21 ist, und wenn das Bit 4 des SPP-Befehls eine binäre 1 ist,
10 9810/1722 ^0 original
- 31 -
um das Zweierkomplement aus dem Einerkomplement des Exponenten der Gleitkommazahl, im A-Register 21, die dem F-Volladdierer 27 zugeführt wird, zu bilden, enthält das Ausgangssignal des F-Volladdierers 27 die Mantisse der im A-Register 21 gespeicherten Gleitkommazahl und die Differenz zwischen den Exponenten der im A-Register 21 und im B-Register 25 gespeicherten Gleitkommazahlen. Die Mantissen und Exponentenfelder werden während der Operation im F-Volladdierer 27 durch die Maske im Q-Register 22 identifiziert. Dieses Ausgangssignal des F-Volladdierers 27 wird im A-Register 21 gespeichert. Wenn das Erinnerungsflipflop RMM in den O-Zustand zurückgesetzt wird, wodurch angezeigt wird, daß die im A-Register 21 gespeicherte Gleitkommazahl größer als die im B-Register 25 gespeicherte Gleitkommazahl ist, und wenn das Bit 4 des SFP-Befehlswortes eine binäre 1 ist und die Bildung des Zweierkomplements aus dem Einerkomplement des Exponenten der im B-Register 25 gespeicherten Gleitkommazahl veranlaßt, die dem P-Volladdierer 27 zugeführt wird, enthält das Ausgangssignal des F-Volladdierers 27 die Mantisse der im B-Register 25 gespeicherten Gleitkommazahl und die Differenz der Exponenten der Gleitkommazahl im A-Register 21 und im B-Register 25. Die Mantissen und Exponentenfelder werden während dieser Operation im F-Volladdierer 27 durch die Maske im Q-Register 22 identifiziert. Dieses. Ausgangssignal wird im A-Register 21 gespeichert.
10 9 810/1722
- 32 -
Wenn das Bit 8 des SFP-Befehls, das durch das Ausgangssignal I1IOS des I-Registers 23 dargestellt wird, eine binäre 1 ist, beginnt nach dem Reihenfolgesteuerzustand 1 oder, wenn eine Indizierung erforderlich ist, nach dem Reihenfolgesteuerzustand 2 der Reihenfolgesteuerzustand 3. Während des Reihenfolgesteuerzustands 3 wird die im B-Register 25 gespeicherte Gleitkommazahl parallel von der im A-Register 21 gespeicherten Gleitkommazahl subtrahiert. Diese Subtraktion erfolgt im Paralleladdierwerk 20. Wenn die Gleitkommazahl im B-Register 25 größer als die Gleitkommazahl im A-Register 21 ist, wird das Erinnerungsflipflop RMIi in den 1-Zustand gesetzt. Wenn die Gleitkommazahl im A-Register 21 größer als die Gleitkommazahl im B-Register 25 ist, bleibt das Erinnerungsflipflop RMM im O-Zustand zurückgesetzt.
Wenn das Bit 9 des SFP-Befehls, das durch das Ausgangssignal FI09 des I-Registers 23 dargestellt wird, eine binäre 1 ist und wenn die Vorzeichen der Gleitkommazahlen im A- und B-Register ungleich sind, wird das Prüfflipflop TST in den J-Zustand gesetzt. Wenn die Vorzeichen der beiden Gleitkommazahlen gleich sind, bleibt das Prüfflipflop TST im O-Zustand zurückgesetzt. Im Anschluß daran bestimmt der Zustand de» Prüfflipflop TST, ob die beiden Gleitkommazahlen durch Subtraktion (PTST) oder durch Addition (PTST)kombiniert werden sollen. . BAD ORiGhNAL
109810/1722 - 33 -
Bit 10
Wenn das Bit 10 des SFP-Befehls, das durch das Ausgangssignal FHO des I-Registers 23 dargestellt wird, eine binäre 1 ist und wenn das Er inn r-rungs flip flop RMM in den 1-Zustand gesetzt ist, wird das P-Register 24 einen zusätzlichen Schritt v/eitergeschaltet, um die Programmsteuerung auf den übernächsten Befehl weiterzuschalten und den nächsten Befehlöeuszulassen. Wenn das Bit 10 eine binäre 0 oder wenn das Erinnerungsflipflop RMM in den 0-Zustand zurückgesetzt ist, erfolgt keine zusätzliche Weiterschaltung des Programnzählers, und der nächste Befehl in der Programmfolge wird ausgeführt.
Bit 11
Wenn Bit 11 des SFP-Befehls, das durch das Ausgangesignal FH1 des I-Registers 23 dargestellt wird, eine binäre 1 ist, wird der Exponent der Gleitkommazahl im B-Register 25 dem B-Eingang des F-Volladdierers 27, gesteuert von der Maske im Q-Register 22, zugeführt«
Bit 12
Wenn das Bit 12 des SFP-Befehls, das durch das Ausgangssignal FH2 des I-Registers 23 dargestellt wird, eine binäre 1 ist, wird die Mantisse und das Komplement des Exponenten der · Gleitkommazahl im B-Register 25 dem B-Eingang des F-VoIladdierers 27 zugeführt.
109810/1722
- 34 -
1549U9
Bit 13
Wenn das Bit 13 des SPP-Befehls, das durch das Ausgangssignal PH3 des I-Registers 23 dargestellt wird, eine binäre 1 ist, wird die Mantisse der Gleitkommazahl im A-Register 21 dem Α-Eingang des F-Volladdierers 27 zugeführt.
Bit 14
Wenn das Bit 14 des SPP-Befehls, das durch das Ausgangssignal PH4 des I-Registers 23 dargestellt wird, eine binäre ist, wird der Exponent cer Gleitkommazahl im A-Register 21 dem Α-Eingang des P-Volladdierers 27 zugeführt.
Die folgende Tabelle zeigt die möglichen mikrocodierten Kombinationen des SPP-Befehlswortes und des resultierenden Inhalts des A-Registers 21.
Ofi'Gi'NAL
1 Π 9 8 1 0 / 1 7 ? ?
Sprunggleitkomma- 11 12 13 14 3* 0 des A-Registers; 21 3PP B A<'B 3PP
befehlswortbits 0 0 0 0 Inhalt A>B = 1 B PEMM* ί = 1 ·
0 0 0 1 . SPP-Befehl FQOO-O PRMM* I FQOO=I1FQOO=O A-IB Bit 7 FQOO=O1
4 0 0 1 0 Bit 7 = 0 Bit 7 A-B-I A-IB FQOO=I A
0 0 0 ].;."' 1 0 A-B-1 B B-A-I A
1 0 0 1 . o,· 0 FQOO-I A A-B-I B B-I A
•o 0 I1V ο;.'" 1 0 A A-B-I Al-B B-A-I A
0 0 ].-■ 1 0 A B Λ-Β-1 A+B B-I A-IB
0 0 1 1 1 0 B A-B-I B -Λ-2 A-IB
0 1 0. 0. 0 A AIB A-B-I B -2 Al-B
0 la 0 0 1 B A+B A-B-I A-IB -A-2 A+B
0 1 0 Γ 0 A-B-I 0 A-I A-IB -2 A
0 1 0 I''' 1 B 0 A-I B Β-Λ-1 A
0 1 . 1. 0 0 A-B-I A A-I B " -B-I A
0 1 1 0 1 B A A-I A4 B B-A-I A
0 1 1 l". 0 A-I-B B A-I A+B B-I A+B ·
0 1 1 1 1 B B A-I B -A-2 A+B .
0 0 0 ο · 0 A-l-B A-I-B . A-I B -2 A-l-B
0 0 0 0 1 -1 A+B A-I A+B -A-2 A+B
0 0 0 ι ■. 0 A-I 0 A-B A+B, -2 A
1 0 0 1 1 -1 0 A-B B B-A A
1 0 1 ο . 0 A-I "A A-B B B A
1 0 1 0 1 1 A A-B A+B B-A AfB
1 0 I1 1 0 A+l B ; A-B A-I-B B AH-B
1 0 1 1 1 1 B A-B B -A-I AH-B
1 1 0 0 0 A+l A-I-B A-B B A+B
I 1 0 0 1 -B A+B A-B A+B -A-I A
1 1 0 1 0 A-B , 0 A A+B -1 A
1 1 0 1 1 -B 0 A B B-A A
1 1 I Ό 0 A-B I A B B A
1 1 1 ο ' 1 B+l , A ' A A+B B-A A+B
1 1 1 1 ".. 0'. A+B+l NP- A A+B B AHB
1 1 1 1 1 B+l B A -A-I A+B
1 A+B+l A+B' A -1 A-l-B
I 0 A-JB ' A " ' -A-I A+B
h A -1
: 0
i a
108810/1'722
- - 36 -
GrIe it kommarechenoperat ionen
Zwei Gleitkommazahlen werden dadurch miteinander multipliziert, daß die Mantissen multipliziert und die Exponenten addiert werden. Die sich ergebende Mantisse und der sich ergebende Exponent stellen das Produkt der beiden Gleitkommazahlen dar. Zwei Gleitkommazahlen werden dividiert, indem die Mantisse des Dividenden durch die Mantisse des Divisors dividiert und der Exponent des Divisors vom Exponenten des Dividenden subtrahiert wird. Die sich ergebende Mantisse und der sich ergebende Exponent stellen den Quotienten dar.
Bei der Addition oder Subtraktion von Gleitkommazahlen müssen die Zahlen richtig ausgerichtet sein, d.h. die Exponentenwerte der beiden Gleitkommazahlen müssen gleich sein. Um die Zahlen auszurichten, werden sie verglichen, um festzustellen, welche den größeren Exponenten hat. Die Mantisse der kleineren Zahl wird um so viele Plätze nach rechts verschoben, wie es der Differenz zwischen den beiden Exponenten entspricht. Bei jeder Verschiebung der Mantisse wird der kleinere Exponent um eins erhöht, wodurch sich bei beiden Gleitkommazahlen gleiche Exponenten ergeben. Zur Durchführung einer Addition werden die Mantissen addiert, wobei die Summe der beiden Gleitkommazahlen durch den gemeinsamen Exponenten und die Summen der Mantissen
108810/1722
- 37 -
dargestellt wird. Zur Durchführung einer Subtraktion wird die Mantisse des Subtrahenden von der Mantisse des Minuenden subtrahiert, wobei das Ergebnis der Subtraktion der beiden Gleitkommazahlen durch den gemeinsamen Exponenten und die Differenz zwischen den beioen Mantissen dargestellt wird.
Die Genauigkeit der Gleitkommareclienoperationen wird durch Normierung der Gleitkommazahlen optimiert. Di.: Gleitkommazahlen werden normalerweise zunächst im Hauptspeicher in normierter Form gespeichert. Die Ergebnisse arithmetischer Operationen mit den Gleitkommazahlen werden vor der Übertragung in den Hauptspeicher normiert. Eine Gleitkommazahl wird dadurch normiert,daß ihre Mantisse solange nach links verschoben wird, bis ihr höchstwertiges Bit eine binfc're Eins ist, wobei aer Exponent bei jeder LinksverSchiebung der Mantisse um eine Stelle um eins verringert wird.
Gleitkoüimarechenoperationen werden normalerweise in einem Digitalrechner durch ein Programm oder Unterprogramm aus einer Folge von Befehlen gesteuert. Die hier verwendeten Greitkommaadditions- und Gleitkommasubtraktionsunterprogramme, in denen der erfindungsgemäße Befehl enthalten ist, werden im folgenden zusammengefaßt:
109810/1722
Befehlsbezeichnung
Befehlswort octal Operation
LDQ LDX LDA SPP Die in Pig. 6 dargestellte Maske wird I aus dem Hauptspeicher ins Q-Register 22
übertiagen. ;
Eine erste normierte Gleitkommazahl wird im Hauptspeicher-Speicherplatz 2 gespeichert .
Eine zweite normierte Gleitkommazahl wird aus dem Hauptspeicher ins A-Register 21 übertragen.
01003620 Die Gleitkommazahl im Hauptspeicher-
Speicherplatz 2 wird mit der Gleitkommazahl im A-Hegister 21 verglichen. Die ; Mantisse der kleineren Gleitkommazahl : wird im A-Register 21 gespeichert. Der Exponent der kleineren Gleitkommazahl wire vom Exponenten der größeren Gleitkommazahl subtrahiert und die Differenz im : Exponent (rf eld des A-Registers 21 abge- ■ speichert. Das Prüfflipflop TST wird in den 1-Zustand gesetzt, wenn die Vorzeichen der beiden Gleitkommazahlen ungleich sind. Wenn die Gl.itkommazahl im A-Register 21 größer war, wird der nächste Befehl LDX der Programmfolge ausgeführt.
109810/172?
- 39 -
Befehls- Befehlswort Bezeichnung octal
IDX
GEN 3
45020037
Operation
Wenn jedoch die Gleitkommazahl im Hauptspeicher-Speicherplatz 2 grb'f3er war, wird die Progoffinmzahl im P-Register 24 um eine zusätzliche Eins erhöht und der als nächster auszuführende Befehl ist GEN3. Die Gleitkommazahl im Hauptspeicher-Speicherplatz 2 wird durch die im A-Register 21 gespeicherte Gleitkommazahl ersetzt, so daß der Hauptspeicher-Speicherplatz 2 die größere der beiden Gleitkommazahlen enthält.
Die Mantisse der kleineren Gleitkommazahl im A-Register 21 wird um eine der Exponentendifferenz im Exponentenfeld des A-Registers 21 entsprechende Zahl von Plätzen nach rechts verschoben. Wenn die Exponentendifferenz im A-Regisber 21 größer als 31 i3t, wird der nächste Befehl BRU in der Programmfolge ausgeführt. Vlenn. die Exponenbcndifferenz im A-Reglster 21 kleiner ala 3 1 Lob, wird die Programmzahl Lm P-Roglabor 2\ um einen zuuäbzltfccheri Höhetbb orhöht und dur übernächste Befehl iiTA wird nuöge f'iihrb.
10901071722
15A9A49
Befehls- Befehlswort
bezeichnung octal Operation
BRU Das Gleitkommaunterprogramm wird beendet,
\ und das Programm geht über in ein Korrek-
. turunterprogramm.
STA : Die verschobene Mantisse der kleineren
Gleitkommazahl im A-Register 21 wird
• .' in einem ausgewählten Hauptspeicher-Speicherplatz gespeichert.
LDA Die größere der beiden Gleitkommazahlen
: wird aus dem Hauptspeicher-Speicherplatz
2 ins A-Register 21 übertragen.
ANA Das Exponentenfeld des A-Segisters 21
wird gelöscht, und die Mantisse der größeren Gleitkommazahl bleibt im
: . Mantissenfeld des A-Registers 21.
BTS : Der Zustand des Prüfflipflops TST wird
: geprüft. Wenn TST in den Eins-Zustand
gesetzt ist, wodurch angezeigt wird, daß die beiden Gleitkommazahlen ungletöie
! Vorzeichen haben, geht das Programm auf
das Subtrahierprogramm über, das mit dem Befehl SUB beginnt. Wenn das Prüfflipflop TST in den Mullzustand zurückgesetzt ist,
; woühirch angezeigt wird, daß die Gleitkomma
zahlen gleiche Vorzeichen haben, wird als
nüchEibfciü dur erafce Befehl ADD den Addl-
t; ii)riMuntur[)L'tii;r iiuiiuj π ungeführt;.
I (I η 8 I O / I 7 2 2 ßAD ORIGINAL
Additionsunterprogramm
Befehls- ■ bezeichnung
ADD
Befehlswort octal
Operation |
Die größere im A-Register 21 gespeicherte Mantisse wird zur kleineren im ausgewählter. Hauptspeicher-Speicherplatz gespeicherten Mantisse hinsuaddiert. Das Ergebnis wird
im Hantissenfeld des A-Registers 21 ge-
speichert. :
GEN
ORA
45040037 Wenn bei der Addition zweier Mantissen ein Übertrag auftrat, wird die Mantisse des
Ergebnisses um eine Bitstelle nach!rechts verschoben und als nächster der in!der Reihenfolge nächste Befehl ORA ausgeführt. Wenn während der Addition der Mantissen
kein Übertrag auftrat, wird die Programra- «aahl im P-Register 24 um eine zusätzliche 1 erhöht und als nächstes der übernächste Befehl SPP der Befehlsfolge ausgeführt.
Eine binäre Eins wird in der Bitstelle 17
des Exponentenfeldes im Α-Register;21 gespeichert, um anzuzeigen, daß die Ergebnismantisse um eine Stelle nach rechts ver-
i schoben wurde und daß der Ergebnisexponent
um 1 erhöht werdsn muß.
109810/1722 sad !
- 42 -
copy
Ί T
Additionsunterprogramm
Befehls- Befehlswort
be zeichnung octal Operation
SFP ' 01066140 Wenn das Vorzeichen der G].eitkommazahlen
j " negativ war, wird eine binäre Eins in der
ι .Vorzeichenbitstelle des Α-Registers A ge-
; speichert, um anzuzeigen, daß das Vor-
: zeichen des Ergebnisses negativ ist.
' Der Exponent der grö(3eren Gleitkommazahl
im Hauptspeicher-Speicherplatz 2 wird zum Inhalt des Exponentenfeldes und des llantissenfeldes im A-Register 21 hinzuaddiert wobei das Ergebnis im A-Register 21 gespeichert wird. Der Exponent des Ergebnisses wird mithin automatisch korrigiert, wenn eine binäre 1 in der Bitstelle 17 des A-Register-Exponentenfeldes während der Ausführung des Befehls ORA gespeichert war. Das A-Register 21 enthält deshalb das normierte Ergebnis der Gleitkommaaddition. Wenn während der Addition ein Übertrag auftrat, der einen Überlauf des Exponentenfeldes anzeigt, wird die Programrazahl im P-Register 24 um eine zusätzliche 1 erhöht, wodurch der übernächste Befehl BRU in der Befehlsfolge ausgeführt wird. V/enn kein Übertrag auftritt, wird der mir.liste Befehl BRU in der Befihlofolgo ausgeführt.
utT nun lUfiJOJ ;(! nusge ι min,. _ay
,109B10/1722 · °0?Ί
Additionsunterprogramm
Befehls- Befehlswort , · Operation
bezeichnung octal
BRU Die Gleitkommaoperation wird !beendet,
so daß ins Hauptprogramm übergeganger.
wird.
I ι
BRU Ein ein Maßstabsproblem anzeigender
Überlauf ist aufgetreten, so j daß ein Korrekturunterprcsgramm eingeleitet wird. !
Subtraktionsunterprogramm
Befehls- Befehlswort
bezeichnung octal Operation
SUB Die in dem ausgewählten Hauptspeichei
' Speicherplatz gespeicherte kleinere Mantisse wird von der größeren Mantie im A-Register 21 subtrahiert. Das Ergebnis wird im Mantissenfeld des A-Registers 21 gespeichert.
JO/1722
!549449
Befehlsbezeichnung
GEN 3 !
Befehlswort octal
45012037 Operation
Die Ergebnismantisse im Α-Registers 21 wird solange nach links verschoben, bis das höchstwertige Bit im Mantissenfeld (Flip-Flop A16) eine binäre Eins ist oder bis 31 Verschiebungen erfolgt sind, wobei die Zahl im J-Zähler 30 bei jeder Vaschiebung um 1 erhöht wird. Das Komplement der letzten Zahl im J-Zähler 30 wird in die Bitstellen 21-17 des A-Registers 21 übertragen. Wenn die Zahl im J-Zähler 30 31 ist, zeigt dies ein Maßstabsproblem an, und der nächste Befehl BRU der Befehlsfolge wird ausgeführt. Andernfalls wird die Prografflzahl im P-Register 24 um eine zusätzliche Eins erhöht, und der übernächste Befehl SFP der Befehlsfolge als nächster ausgeführt.
BRU j
Gehe üoer zum Fehlerunterprogramm und beende die GIeit-Unterprogramme.
- 45 -; , 10 9 8 10/1722 BAD ORtGlNAU
Befehlsbeaeichnung
Befehlswort octal
Operation
01061660 Wenn das Vorzeichen der größeren Gleitkommazahl negativ ist, wird eine ein Ilinuszeichen darstellende binäre Eins in der Vorzeichenbitstelle des A-RegistBr! 21 gespeichert. Die ochiebezahl des Zähle] 30, deren Komplement im iixpone~.itenfeld des A-Registers 21 gespeichert ist, wird vom Exponenten der größeren Gleitkomma-
zahl abgezogen, die im Hauptspeicner-Speicherplatz 2 gespeichert ist. Das den richtigen Exponenten der verbleibende! Zahl darstellende Ergebnis wird ins Exponentenfeld des A-Registers 21 gesetzt. Das A-Register 21 enthält mithin die> '
Mantisse, den Exponenten und das Vor-r
zeichen, die die Differenz zwischen der
1 j
größeren oder kleineren Gleitkommazahl darstellen. Wenn während der Subtraktion der Zahl des J-Z-'hlers 30 von Exponenten der grö§eren Gleitkommazahl ein Übertrag
auftritt, ist ein Unterlauf aufgetreten, der anzeigt, daß ein Ilaßstabproblem aufgetreten ist, und die Programmzahl im P-Register 24 wird um.eine zusätzliche Eins erhöht, so daß als nächster der Übernächste Bef ch] BRU der Befehlof r>j[:e
109810/1722
- 46 -
1549U9
Befehls- Befehlswort Operation
bezeichnung octal
ausgeführt wird, V/enn kein Maßstabsproblem angezeigt wird, wird der nächste Befehl BRL der Befehlsfolge ausgeführt.
BRU Die Gleitkommaoperation wird beendet
und ins Hauptprogramm übergegangen.
BRU Ein Maßstabsproblem ist aufgetreten,
und es wird in ein Korrekturprogr^mra übergegangen.
Sprunggleitkommabefehlsausführung
Der Sprunggleitkommabefehl Si1P wird vorzugsweise für Gleitkommaoperationen verwendet. Durch den Befehl wird Ilauptspeicherraum und Verarbeitungszeit eingespart. Im folgenden wird die Wirkungsweise der Rechenanlage bei den einzelnen im Abschnitt "Gleitkommarechenopera-tionen" aufgeführten Sprunggleitkommabefehlen SFP beschrieben:
BAD ORIGINAL
Λ ν —
10 9 8 10/1722
SffP-Befehl 01Q03620
Die Bits 4, 7, 8, 9 und 10 des■SFP-Befehlswortes sind in dieser mikrokodierten Form binäre Einsen. Zu Beginn der Ausführung des Befehls enthalten das A-Register 21 und der Hauptspeicher-Speicherplatz 2 normierte Gleitkommazahlen. Wie aus dem in Pig. 15 dargestellten Zeitdiagramm zu ersehen ist, wird das Sprunggleitkommabefehlswort aus dem Hauptspeicher ins I-Register 23 übertragen und die Programmzahl im P-Register 24 während des' Reihenfolgesteuerzusta.Lds 1 um 1 erhöht, wobei dieser Reihenfolgest euerzustand 1 durch das Signal SC1A dargestellt wird, wie dies in dem Abschnitt "Takt und Reihenfolgesteierung" beschrieben ist.
Der durch das Signal S03A gekennzeichnete Reihenfolgesteuerzustand 3 wird in Abhängigkeit von Bedingungen eingeleitet, die die Schaltfunktion ergeben:
S003 = DSi1P · DS08 * (DXOO ' SC01 · TLPSf SC02 · TLPS)
Der Reihenfolgesteuerzustand 3 wird nur dann eingeleitet, wenn das Signal DS08 vorhanden ist. Das Signal DS08 stellt das Bit 8 des SPP-Befehls am Ausgang des Paralleladdierwerks 20 dar. Y/enn das Signal DX und DO auftritt, wodurch angezeigt wird, dai3 dt Bits 15 - 17 des SJTP-Befohls 0 sind und dai3 keine Indexmodifikation erfolgen aoll, wird unmittelbar nach Beendigung d-ea Reihonfo l.ges teuer-
10 9 8 10/1722 BAD ORIGINAL
εο
3 zustande 1 der Reihenfolgesteuerzustand eingeleitet, wenn das Bit 8 des SFP-Befehlswortes eine binäre Eins ist. Wenn das Signal DXOO auftritt, wodurch angezeigt wird, daß eine Indexmodifikation durch die Bits 15-17 des SFPt Befehls verlangt wird, beginnt nach der Beendigung des Reihenfolgezustands 2, der nicht in Fig. 15 dargestellt ist, der Reihenfolgesteuerzustand 3, wenn das Bit 8 des SFP-Befehlswortes eine binäre 1 ist.
Zu Beginn des Reihenfolgesteuerzustands 3 tritt das Signal MAM2 auf, wie es in Fig. 15 gezeigt ist, um die Adresse des Hauptspeicher-Speicherplatzes 2 ins Hauptspeicheradressenregister 32 zu übertragen. In Abhängigkeit vom Signal BDOB wird die normierte Gleitkommazahl im Speicherplatz 2 aus dem Hauptspeicherdatenregister 33 ins B-Register 25 übertragen. Dann tritt das Signal UBlTA auf, um das Einerkomplement der Gleitkommazahl im B-Register 25 an die Eingänge de3 Paralleladdierwerks 20 zu legen. Ferner tritt das Signal UEIIC auf , um eine 1 an den Volladdierer der niedrigsten Stufe des Paralleladdierwerks 20 zu legen, wodurch das Zweierkomplement der Gleitkommazahl im B-Register 25 gebildet wird. Das Signal UAAU tritt auf, um die normierte Gleitkommazahl im A-Register 21 den Eingängen des Paralleladdierwerks 20 zuzuführen. Das Paralleladdierwerk 20 subtrahiert also die normierte Gleitkommazahl im B-Register 25 von der
109810/1722 -BADORIG.NAL
- 49 -
normierten Gleitkommazahl im A-Register 21. Wenn das Signal DC 22 auftritt, wodurch das Iiichtvorhandensein eines Übertrags am Ausgangs des Volladciierers D22 angezeigt wird, ist die Gleitkommazahl im B-Register 25 größer ate die Gleitkommazahl im A-Register 21. Das Erinnerungsflipflop RtM wird in Abhängigkeit vom Signal DC22 in den 1-Zustand gesetzt. Der Zustand des Erinnerungsflipflop RMM zeigt somit die relative Größe der Gleitkommazahlen im A-Register und im B-Register 25 an.
Als nächstes wird der Reihenfolgesteuerzustand 4 eingeleitet, wie es durch das Signal SC4 A im Zeitdiagramm nach Pig. 15 verlangt wird. In Abhängigkeit vom Bit 9 des SFP-Befehlswortes wird das Prüfflipflop TST während des Taktes TCT3 in den Eins-Zustand gesetzt, wenn die im A-Register 21 und im B-Register 25 gespeicherten Gleitkommazahlen ungleiche Vorzeichen haben. Im Takt TCT3 wird das Übertragflipflop FCF in Abhängigkeit vom Bit 4 des SFP-Befehlswortes in den Eins-Zustand gesetzt.
In Abhängigkeit vom Bit 7 des SFP-Befehlswortes wird der Exponent der kleineren Gleitkommazahl seriell vom Exponenten der größeren Gleitkommazahl im F-Yolladdierer 27 subtrahiert, und der Exponentenrest und die Mantisse der kleineren Gleit-
10 9 8 10/1722 BAD
- 50 -
1S49U9
kommazahl werden im A-Register 21 gespeichert. Diese Operation erfolgt in Abhängigkeit von der Maske im Q-Register 22, die anzeigt, ob die Binärziffern der Gleitkommazahlen im A-Register 21 und B-Register 25, die jeweils durch die Sfenale PAOO, PAOO und PBOO, PBOO dargestellt werden und dem P-Volladdierer 27 richtig zugeführt werden, Mantissen- oder Exponentenbits sind, und in Abhängigkeit vom Zustand des Erinnerungsflipflop RMM, das anzeigt, welche der beiden Gleitkommazahlen größer ist. Die Serienverschiebung der Gleitkommazahlen im A-Register 21 und B-Register 25 und der Maske im Q-Register 22 in die Eingänge des F-Addierers 27 wird von cbn Schiebesignalen ASRA, QSRQ, BSBU und BSBL gesteuert, die alle 24 mal nacheinander während des Reihenfolgesteuerzustands 4 auftreten, um den gesamten Inhalt des A-Registers 21, des Q-Registers 22 und des B-Registers 25 in die Eingänge des F-Volladdierers 27 zu schieben.
Wie aus Pig. 9 und demjenigen Teil aus Pig. 14 zu ersehen ist, der sich auf Bit 7 der SFP-Befchle bezieht, wird die Mantisse der Gleitkommazahl im A-Register 21 unverändert durch den F-Volladdierer 27 hindurch zurück ins A-Register 21 geschoben, wenn das Erinnerungsflipflop RMM in den Kins-Zustand gesetzt ist, wodurch angezeigt wird, daß dfe Gleitkommazahl im B-Register 25 größer ist. Die Mantisse der
1 09810/1722 bad original
Ί5Α9449
Gleitkommazahl im B-Register 25 wird unverändert durch den F-Volladdierer 24 hindurch ins A-Register 21 geschoben, wenn das Erinnerungsflipflop RMM in den Null-Zustand zurückgesetzt ist, v/odurch angezeigt wird, daß dfe Gleitkommazahl im A-Register 21 die größere ist. Das Signal FQOO, das während der Verschiebung der Mantissenfelder in den F-Volladdierer 27 eine binäre 1 ist, verhindert die Ausführung einer Rechenoperation mit der Mantisse der kleineren Gleitkommazahl, wenn sie über den F-Volladdierer 27 ins A-Register 21 geschoben wird. .
Wenn das Signal FQOO eine binäre 1 ist, wodurch angezeigt wird, daß die Exponenten der Gleitkommazahlen den Ijngängen des F-Addierers 27 zugeführt werden, steuert der Zustand des Erinnerungsflipflop RMM den F-Volladdierer 27. Wenn das Erinnerungsflipflop RMM in den Eins-Zustand gesetzt ist, wird das Einerkomplement des Exponenten der Gleitkommazahl im A-Register 21 dem Eingang des F-Addierers 27 zugeführt. Das Eingangssignal FCF des F-Übertragflipflop 73 liefert ein zusätzliches Eingangssignal an den F-Volladdierer 27, wodurch das Zweierkomplement des Exponenten aus dem A-Register 21 gebildet v/i -d. Der Exponent der'Gleitkommazahl im B-Register 25 v/ird ebenfalls dem F-Volladdierer 27 zugeT'ihrb. Da«" iOrgebimj der Subtraktion des Exponenten der Gleitkommazahl im A-Regiater 21 vam Exponenten der Gleitkomma«:! hi im fi-Iiot;in bor· <·.") v/ird im Λ-Ιίπ(';) über d\ «b,!;oispüicih5c;r b
109010/1722 BPvD
Wenn das Erinnerungsflipflop HMM in den Nullzusta d zurückgesetzt ist, wird das Zweierkomplement des Exponenten der Gleitkommazahl im B-Register 25 gebildet und das Ergebnis der Subtraktion des im B-Register gespeicherten Exponenten vom Exponenten im A-Register ins A-Register 21 gesetzt. Fach der Beendigung der Verschiebung des Inhalts.des A-Registers 21, des Q-Registers 22 und des B-Registers 25 über den P-Yolladdierer 27 enthält deshalb das Mantissenfeld des A-Regfeters 21 die Ilantisse der kleineren Gleitkommazahl und das Exponentenfeld des A-Registers 21 die Differenz zwischen den Exponenten der beiden Gleitkommazahlen.
Im Takt TCT2 des Reihenfolgesteuerzustands 4 wird die Programmzahl aus dem P-Register 24 dem Paralleladdierwerk 20 zusammen mit d?m Signal UEITO zugeführt, wodurch eine um 1 erhöhte Programmzahl am Ausgang des Paralleladdierwerks 20 erscheint. Wenn das Erinnerungsflipflop RMM während des Reihenfolgesteuerzustands 3 in den Eins-Zustand gesetzt war, wodurch angezeigt wird, daß die Gleitkommazahl im B-Register 25 größer ist als die Gleitkommazahl im A-Register 21, tritt im Takt TLPS das Signal PAUP auf, um die erhöhte Programmzahl ins P-Register 24 zu übertragen. Wenn also die -Gleitkommazahl im B-Register 2^ größer als die Gleitkommazahl Lm A-Register 21 int,- wird die Programmsahl im P-ßegister 24 vmh-'end der Ausführung des SET-Befühls zweimal um 1 erhöht.
inaa.1-071722 ■
~ '- ~ BAD ORIGINAL
SFP-Befehl (01066140)
Die mikrocodierten Bits 5, 6, 10, 11, 13 und 14 des SFP-Befehls werden in dieser mikrocodierten Form des SFP-Iefehls in den Eins-Zustand gesetzt. Zu Beginn der Ausführung des SFP-Befehls enthält das A-Register 21 die aus dei* Additionsoperation resultierende Summenmantisse, und eine binäre 1 wird in der Bitstelle 17 des A-Registers 21 gespeichert, wenn eine Korrektur des Exponenten erforderlich ist. Während der Ausführung dieses SFP-Befehls wird der Reihenfolgesteuerzustand 3 nicht eingeleitet, sondern es wird unmittelbar nach dem Reihenfolgesteuerzustand 1 oder 2 der Reihenfolgesteuerzustand eingeleitet, da das Bit 8 des Befehlswortes eine bhäre Null ist.
In Abhängigkeit vom Bit 6 wird das Flipflop A23 des A-Registers 21 in den 1-Zustand gesetzt, wenn das Flipflop B23 des B-Registers 25 in den Eins-Zustand gesetzt ist. Wenn also das Vorzeichen der Gleitkommazahlen negativ ist, wird eine binäre 1 in der Vorzeichenbitstelle des A-Registers 21 gespeichert. In Abhängigkeit von den Bits 11, 13 und 14 des FSP-Befehlsw-rtes wird der Inhalt des A-Registers 23, die Ilaske in ^-Register 22 und der Exponent im B-Register seriell den Eingengen des F-Volladdierers 27 zugeführt, wie dies in Fig. 14 dargestellt ist. Die Ausgangsgröße
des F-Volladdierers 24, die die Ergebnismantisse und den ■ / 10 9 810/1722
- 54 - bAd
Ergebnisexponenten enthält, wird im A-Reglster 21 gespeichert. In Abhängigkeit von Bit 5 des Su1P-Befehls wird das Erinnerungsflipflop HI1IM in den 1-Zustand gesetzt, wenn während der seriellen Verschiebung über den F-Volladdierer 27 im Exponentenfeld ein übertrag auftritt. In Abhängigkeit vom Bit 10 des SFP-Befehls wird die Programmzahl im P-Register 24 um eine zusätzliche Eins erhöht, wenn das Erinnerungsflipflop RMM in den 1-Zustand gesetzt ist, wie es beim SFP-Be&hl (01003620) beschrieben wurde.
SffP-Befehl (O1O66T6O)
Die Bits 4, 5 , 6, 10, 11, 13 und 14 sind bei dieser Version der SFP-Befehle 1. Das Mantissenfeld des A-Registers 21 enthält das normierte Ergebnis der Subtraktion der Mantisse der kleineren Gleitkommazahl von der Mantisse der größeren Gleitkommazahl, während das Exponentenfeld des A-Reg.sters 21 das Komplement derjenigen Zahl enthält, die die Anzahl der Stellen darstellt, um die die Ergebnismantisse nach links verschoben wurde, um sie zu normieren. Der Reihenfolgesteuerzustand 1 ist identisch mit dem des SiT-Befehls 01003620, so daß der Reihenfolgesteuerzustand 3 nicht eingeleitet wird. ■
109810/1722
- 55 -
Während der Ausführung dieses SFP-Befehls wird die größere Gleitkommazahl aus dem Hauptspeicher-Speicherplatz 2 ins B-Register 25 übertragen. In Abhängigkeit vom Bit 4 des SFP-Befehls wird das F-Übertrag-Hipflop 73 im Takt TCI3 des Reihenfolgesteuerzustands 4 in den Eins—Zustand gesetzt. Ansonsten ist die Ausführung dieses SFP-Befehls mit der des SFP-Befehls 0106OJi-O Identisch* Während der Serienverschiebung .des Inhaltes des A-Registers 21, des Q-Registers 22 und des B-Registers 25 in die Eingänge des F~Yolladdierers 27 wird die Schiebezahl im Exponentenfelc. .des A-Registers 21 vom Exponenten der größeren im B-Register 25 gespeicherten Gleitkommazahl subtrahiert« Nach Beendigung der Schiebeoperation enthält das A~%ister .21 die normierte Mantisse und den Exponenten des Ergebnis^·.,
ι ü ö e ι ο /1 ί a 2

Claims (1)

  1. Patentansprüche
    1 . Einrichtung zur Verarbeitung von Gleitkommazahlen, die alle eine Mantisse und einen Exponenten enthalten, mit einer ersten Speichervorrichtung zur Speiaierung der Mantisse und des Exponenten einer ersten Gleitkommazahl und mit einer zweiten Speichervorrichtung zur Speicherung der Mantisse des Exponenten einer zweiten Gleitkommazahl, dadurch gekennzeichnet, daß eine Vergleichsvorrichtung vorgesehen ist, die ein Ausgangssignal abgibt, das anzeigt, welche der beiden Gleitkommazahlen die größere ist, daß eine erste Übertragungsvorrichtung vorgesehen ist» die die "beiden Gleitkommazahlen in die Vergleiohsvorrichtung überträgt, und daß eine zweite übertragungsvorrichtung vorgesehen ist, die auf das Aufgangssignal der Vergleichsvorrichtung anspricht und daraufhin die Mantisse der kleineren Gleitkommazahl in die erste Speichervorrichtung überträgt«
    2, Einrichtung nach Anspruch ^,dadurch g e k e nnzeich. η etc, daß die erste Speichervorrichtung oinen ersten Teil sur Speicherung der Mantisse und e--nen zweiten Teil zur Speicherung ä&s Exponenten einer er :-en Gl--itkoiiiDazahl enthalts daS die- sv/eite 3r^iühervorri .feung
    ORIGINAL
    einen ersten Teil zur Speicherung der Mantisse und einen zweiten Teil zur Speicherung des Exponenten einer zweiten Gleitkommazahl enthält und daß die zweite Übertragungsvorrichtung die Mantisse der-zweiten'Gleitkommazahl aus dem ersten Teil der zweiten Speichervorrichtung in den ersten Teil der ersten Speichervorrichtung überträgt.
    3, -Einrichtung nach Anspruch 2, da' durch g e k e η η-z e ic h.n e t, daß die Vergleichsvorrichtung eine bistabile Vorrichtung enthält, die ein erstes Ausgangesignal abgibt, wenn die erste Gleitkommazahl die größere ist, und die ein zweites Ausgangssignal abgibt, wenn die zweite Gleitkommazahl die größere ist, daß die Übertragungsvorrichtung auf das erste Ausgangssignal anspricht und dann die Mantisse der zweiten Gleitkommazahl aus dem eisten Teil der zweiten Speichervorrichtung in den ersten Teil der ersten Speichervorrichtung übertat. ·
    4* Einrichtung nach Anspruch 1, die im binären Zahlensystem arbeitet, dadurch ge- kenn, zeichne t, daß die Darstellung des Exponenten der Zahlen derart vorgenommen ist, daß die Ziffer mit der höchsten Stellenwertigkeit eine binäre Eins ist, wenn der Exponent positiv ist (und mithin eine binäre 0, wenn der Exponent negativ ist),, und daß,, wenn die Gleitkommazahl Null ist, diese höchstwertige Ziffer eine binäre 1 ist, wobei die übrigen Ziffern des Exponenten IJull sind.
    1098 10/1722
    - 58 - -
    !3. Einrichtung nach Anspruch 3» dadurch gekennzeichnet, daß die Übertragungsvorrichtung eine erste una eine zweite Torschaltung enthält, von denen die erste Torschaltung die Mantisse der ersten Gleitkommazahl aus der ersten Speichervorrichtung und die zweite Torschaltung die Mantisse der zweiten Gleitkommazahl aus der zweiten Speichervorrichtung aufnimmt, daß Logikvorrichtungen vorgesehen sind, die auf die erste Torschaltung ansprechen und die zweite Torschaltung durchsteuern und auf das zweite Ausgangssignal der Vergleichsvorrichtung ansprechen, um die erste Torschaltung durchausteuern und die zweite Torschaltung zu sperren, und daß eine dritte Übertragungsvoirichtung vorgesehen ist, die die Ausgangssignale der beiden Torschaltungen in den ersten Teil der ersten Speichervorrichtung überträgt.
    6. Einrichtung nach Anspruch 1 mit einem Rechenwerk, d a d u r ch gekennzeichnet, daß Steuervorrichtungen an das Rechenwerk angeschlossen sind, die die Ausführung einer Rechenoperation mit einem Teil (der Mantisse) der Gleitkommazahl verhindern und die Ausführung einer Rechenoperation durch das Rechenwerk mit einem zweiten Teil (dem Exponenten) der Gleitkommazahl ermöglichen, daß die zweite Übertragungsvorrichtung die Mantissen- und Exponenten-Ausgangsgrößen des Rechenwerks jeweils in dan ersten und zweiten Teil der Speichervorrichtung übertragt.
    10 9 8 10/1722
    BAD
    7« Einrichtung nach Anspruch β, d a d u r c h g e k e η nz e i c h η e t, daß sie eine dritte Speichervorrichtung enthält,-die einen ersten Teil aufweist, der einer Mantisse einer Gleitkommazahl zugeorndet ist, die eine erste Art von Binärziffer enthält, und einen zweiten Teil aufweist,-der einem Exponenten einer Gleitkommazahl entspricht, die eine zweite Art von Binärziffer enthält? daß die erste ■Übertragungsvorrichtung auf die erste Art von Binärziffer im ersten Teil der dritten Speichervorrichtung anspricht und die Mantisse einer der Gleitkommazahlen aus dem ersten Teil der entsprechenden Speichervorrichtungen ins Rechenwerk überträgt, daß die zweite Übertragungsvorrichtung auf die zweite Art von Binärziffer im zweiten Teil der dritten Speichervorrichtung anspricht und die Exponenten in dem zweiten Teil der ersten und zw.Iten Speichervorrichtung ins Rechenwerk überträgt, undddie dritte übertragungsvorrichtung die dem Rechenwerk zugeführte Mantisse vom Ausgang des Rechenwerks in den ersten Teil der ersten Speichervorrichtung und das Ergebnis der vom Rechenwerk mit den Exponenten der beiden Gleitkommazahlen ausgefHnrten Rechenoperation in den zweiten Teil der ersten Speichervorrichtung überträgt.
    8. Einrichtung nach Anspruch 7, da durch g e k e η nz e i c h η et, daß die zweite Speiohavorrichtung einen ersten Teil, der einer Mantisse einer Gleitkommazahl zugeordnet ist, die eine Gruppe von Binärziffern einer ersten Art enthält,
    ·■■■■■'. -.-' 109810/1722
    .60-
    und ferner einen zweiten Teil aufweist, der einem Exponenten einer Gleitkommazahl zugeordnet ist, die eine Gruppe von Binärziffern einer zweiten Art enthält, daß die Steuervorrichtungen auf die Gruppe von Binärziffern der zweiten Art aus der zweiten Speichervorrichtung ansprechen und daraufhin die Ausführung einer Rechenoperation durch das Rechenwerk mit dem Exponenten der Gleitkommazahl aus der ersten Speichervorrichtung, ermöglichen.
    9. Einrichtung nach Anspruch 7, d a d u r c h gekennzeichnet, daß die Steuervorrichtungen auf die Gruppe der Binärzahlen der ersten Art in der dritten Speichervorrichtung und auf das erste Ausgangssignal der Yergleichsvorrichtung ansprechen und daraufhin die Ausführung der Rechenoperation durch das Rechenwerk verhindern und das Rechenwerk an seinem Ausgang die Mantisse der zweiten Gleitkommazahl abgeben lassen und daß die Steuervorrichtungen ferner af die Gruppe von Binärziffern der ersten Art (die der Mantisse entsprechen) ansprechen und die Ausf Ehrung einer Rechenoperation mit der Mantisse der Gleitkommazahl verhindern. -
    BAD ORIGINAL
    109810/1722
    _ 61 -
    Leer's e ι te
DE19671549449 1966-12-23 1967-12-22 Einrichtung zur Verarbeitung von Gleitkommazahlen Pending DE1549449A1 (de)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US60422366A 1966-12-23 1966-12-23

Publications (1)

Publication Number Publication Date
DE1549449A1 true DE1549449A1 (de) 1971-03-04

Family

ID=24418716

Family Applications (1)

Application Number Title Priority Date Filing Date
DE19671549449 Pending DE1549449A1 (de) 1966-12-23 1967-12-22 Einrichtung zur Verarbeitung von Gleitkommazahlen

Country Status (7)

Country Link
US (1) US3536903A (de)
BE (1) BE708474A (de)
DE (1) DE1549449A1 (de)
FR (1) FR1557108A (de)
GB (1) GB1214470A (de)
NL (1) NL6717567A (de)
SE (1) SE331762B (de)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3725649A (en) * 1971-10-01 1973-04-03 Raytheon Co Floating point number processor for a digital computer
US3863058A (en) * 1972-01-17 1975-01-28 Western Geophysical Co Apparatus for digital correlation
US3863057A (en) * 1972-01-17 1975-01-28 Digital Data Systems Apparatus for serially-correlating time series
US3968471A (en) * 1972-01-17 1976-07-06 Western Geophysical Company Of America Method for suppressing side lobes in correlation processes
US3755660A (en) * 1972-02-11 1973-08-28 Collins Radio Co Digital word magnitude selection circuit apparatus
JPS538175B2 (de) * 1972-03-03 1978-03-25

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3037701A (en) * 1956-11-21 1962-06-05 Ibm Floating decimal point arithmetic control means for calculator
US3131293A (en) * 1960-07-14 1964-04-28 Ibm Computing system
US3254204A (en) * 1962-12-11 1966-05-31 Burroughs Corp Digital divider for integer and remainder division operations
US3319228A (en) * 1964-04-20 1967-05-09 Bunker Ramo Digital storage register transfer apparatus
US3304417A (en) * 1966-05-23 1967-02-14 North American Aviation Inc Computer having floating point multiplication

Also Published As

Publication number Publication date
BE708474A (de) 1968-05-02
SE331762B (de) 1971-01-11
US3536903A (en) 1970-10-27
FR1557108A (de) 1969-02-14
NL6717567A (de) 1968-06-24
GB1214470A (en) 1970-12-02

Similar Documents

Publication Publication Date Title
DE2712224A1 (de) Datenverarbeitungsanlage
DE2023354C2 (de) Datenverarbeitungsanlage mit einem Mikrobefehlsspeicher
DE2411963B2 (de) Datenverarbeitungsanlage
DE3306084A1 (de) Rechnerarchitektur zur gleitkomma -addition
DE1549480A1 (de) Datenverarbeitungsanlage
DE2714805A1 (de) Datenverarbeitungssystem
DE2230102A1 (de) Rechenwerk fuer variable wortlaengen
DE1549523B2 (de) Datenverarbeitungsanlage
DE2930441C2 (de) Anzeigeeinrichtung zur wahlweisen dynamischen oder statischen Anzeige
DE2430127A1 (de) Einrichtung zur steuerung des speicherzugriffs konkurrierender benutzer
DE1236834B (de) Rechengeraet
DE1162111B (de) Gleitkomma-Recheneinrichtung
DE1549477B1 (de) Einrichtung zur schnellen akkumulation einer anzahl mehr stelliger binaerer operanden
DE2855722A1 (de) Einrichtung zur berechnung von produktsummen
EP0010185A1 (de) Virtuell-Adressiervorrichtung für einen Computer
CH644461A5 (de) Digitale multipliziereinrichtung.
DE2816711A1 (de) Divisionseinrichtung mit uebertrags- rettungsaddierwerk und nicht ausfuehrender vorausschau
DE1549478B1 (de) Gleitkomma-Rechenwerk zur schnellen Addition oder Subtraktion binaerer Operanden
DE2212967C3 (de) Einrichtung zur Speicherung und Verarbeitung einer Zahl in Gleitkommadarstellung
DE1549508B2 (de) Anordnung zur uebertragsberechnung mit kurzer signallaufzeit
DE3303269C2 (de)
DE2830334C2 (de)
DE1549449A1 (de) Einrichtung zur Verarbeitung von Gleitkommazahlen
DE19746054A1 (de) Verfahren und Vorrichtung zum Ausführen einer Einzelbefehlsoperation mit doppelter Genauigkeit
DE2952072A1 (de) Einrichtung zur verarbeitung binaer codierter dezimalzahlen