DE10394263B4 - Method for producing an integrated circuit - Google Patents

Method for producing an integrated circuit Download PDF

Info

Publication number
DE10394263B4
DE10394263B4 DE10394263T DE10394263T DE10394263B4 DE 10394263 B4 DE10394263 B4 DE 10394263B4 DE 10394263 T DE10394263 T DE 10394263T DE 10394263 T DE10394263 T DE 10394263T DE 10394263 B4 DE10394263 B4 DE 10394263B4
Authority
DE
Germany
Prior art keywords
opening
etching
etch
delay
depth
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE10394263T
Other languages
German (de)
Other versions
DE10394263T5 (en
Inventor
Kay Hellig
Massud Austin Aminpur
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Micro Devices Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from PCT/US2003/020872 external-priority patent/WO2004006261A2/en
Priority claimed from PCT/US2003/021282 external-priority patent/WO2004013908A1/en
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Publication of DE10394263T5 publication Critical patent/DE10394263T5/en
Application granted granted Critical
Publication of DE10394263B4 publication Critical patent/DE10394263B4/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C8/00Arrangements for selecting an address in a digital store
    • G11C8/08Word line control circuits, e.g. drivers, boosters, pull-up circuits, pull-down circuits, precharging circuits, for word lines
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/74Making of localized buried regions, e.g. buried collector layers, internal connections substrate contacts
    • H01L21/743Making of internal connections, substrate contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/301Electrical effects
    • H01L2924/3011Impedance

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Static Random-Access Memory (AREA)

Abstract

Verfahren (600) zur Bildung einer integrierten Schaltung mit:
Abscheiden einer Unterschicht (214) (320) über einem Halbleiterbauelement (213) (317) und einem ersten Halbleitersubstrat (201);
Ätzen bis zu der Unterschicht (214) (320) einer ersten Öffnung (228) (338) (402) bis zu einer ersten Tiefe in einem dielektrischen Material (216) (322) über dem Halbleiterbauelement (213) (317) und dem ersten Halbleitersubstrat (202), und der Unterschicht (214) (320);
Ätzen bis zu der Unterschicht (214) (320) einer zweiten Öffnung (230) (340) (404) bis zu einer zweiten von der ersten Tiefe unterschiedlichen Tiefe in dem dielektrischen Material (216) (322) über dem ersten Halbleitersubstrat (202), wobei die erste und die zweite Öffnung (228) (338) (402) (230) (340) (404) unterschiedlich dimensioniert sind, so dass bis zu der ersten und zu der zweiten Tiefe in ungefähr der gleichen Zeit auf Grund der Ätzverzögerung geätzt wird;
Entfernen der Unterschicht (214) (320) aus den Öffnungen; und
Füllen der...
A method (600) of forming an integrated circuit comprising:
Depositing an underlayer (214) (320) over a semiconductor device (213) (317) and a first semiconductor substrate (201);
Etching down to the underlayer (214) (320) a first opening (228) (338) (402) to a first depth in a dielectric material (216) (322) over the semiconductor device (213) (317) and the first Semiconductor substrate (202), and underlayer (214) (320);
Etching down to the underlayer (214) (320) a second opening (230) (340) (404) to a second depth different from the first depth in the dielectric material (216) (322) over the first semiconductor substrate (202) wherein the first and second openings (228) (338) (402) (230) (340) (404) are dimensioned differently such that up to the first and second depths in approximately the same time due to the etch delay etched;
Removing the underlayer (214) (320) from the openings; and
Filling the ...

Figure 00000001
Figure 00000001

Description

HINTERGRUNDBACKGROUND

TECHNISCHES GEBIETTECHNICAL AREA

Die vorliegende Erfindung betrifft im Allgemeinen integrierte Schaltungen und betrifft insbesondere Kontakte, die bis hinab zu aktiven Gebieten unter einer dielektrischen Schicht gebildet werden.The present invention relates generally to integrated circuits and, more particularly, to contacts formed down to active regions under a dielectric layer.

HINTERGRUND DER ERFINDUNGBACKGROUND OF THE INVENTION

In den meisten elektronischen Geräten, etwa Computern, Radioempfängern, Fernsehgeräten, Mobiltelefonen, etc. werden integrierte Schaltungen eingesetzt. Die Kernstücke dieser integrierten Schaltungen sind Halbleiterbauelemente, die Transistoren, Dioden, Kondensatoren, und dergleichen sein können. Die Halbleiterbauelemente werden im Allgemeinen auf Halbleitersubstraten hergestellt und durch isolierende oder dielektrische Materialien abgedeckt.Most electronic devices, such as computers, radio receivers, televisions, cell phones, etc. use integrated circuits. At the heart of these integrated circuits are semiconductor devices, which may be transistors, diodes, capacitors, and the like. The semiconductor devices are generally fabricated on semiconductor substrates and covered by insulating or dielectric materials.

Beispielsweise werden Transistoren durch Implantieren von beabstandeten Source/Drain-Gebieten in das Halbleitersubstrat und durch Ausbilden von Steuergateelektroden über dem Halbleitersubstrat über dem Abstand zwischen den Source/Drain-Gebieten gebildet. Es wird dann ein Dielektrikum über den Transistoren abgeschieden. Da elektrische Verbindungen zu den Source/Drain-Gebieten und zu den Steuergateelektroden hergestellt werden müssen, werden Metallkontakte durch die dielektrische Schicht zu den Oberseiten der Steuergateelektroden und zu der Oberfläche des Halbleitersubstrats gebildet. Da die Oberseiten der Steuergateelektroden und die Oberfläche des Halbleitermaterials auf unterschiedlichen Niveaus in der dielektrischen Schicht liegen, werden die Kontakte als Mehrebenenkontakte und insbesondere als Zweiebenenkontakte bezeichnet.For example, transistors are formed by implanting spaced source / drain regions into the semiconductor substrate and forming control gate electrodes over the semiconductor substrate over the distance between the source / drain regions. A dielectric is then deposited over the transistors. Since electrical connections to the source / drain regions and to the control gate electrodes must be made, metal contacts are formed through the dielectric layer to the tops of the control gate electrodes and to the surface of the semiconductor substrate. Since the tops of the control gate electrodes and the surface of the semiconductor material are at different levels in the dielectric layer, the contacts are referred to as multilevel contacts, and more particularly as two-level contacts.

Da in der Elektronikindustrie eine immer größer werdende Anzahl an Halbleiterbauelementen in einer einzelnen integrierten Schaltung hergestellt wird, streben die Hersteller nach besseren Verfahren, um die Bauelemente in der Größe zu reduzieren, indem die Bauteilgeometrien oder die Strukturgrößen verringert werden.As the electronics industry manufactures an ever-increasing number of semiconductor devices in a single integrated circuit, manufacturers are seeking better ways to reduce the size of devices by reducing component geometries or feature sizes.

Eine neue Technologie zur Größenreduzierung von Bauelementsgeometrien ist die sogenannte „Silizium-auf-Isolator”- oder SOI-Technologie. Die SOI-Technologie betrifft die Herstellung von Halbleiterbauelementen auf einer Schicht aus Halbleitermaterial, die über einer isolierenden Schicht in einem Halbleitersubstrat angeordnet ist. Eine übliche Ausführungsform der SOI-Struktur ist eine einzelne aktive Schicht aus Silizium, die über einer Schicht aus Siliziumdioxidisolatormaterial in einem Siliziumsubstrat liegt.A new technology for size reduction of device geometries is the so-called "silicon on insulator" or SOI technology. The SOI technology relates to the fabrication of semiconductor devices on a layer of semiconductor material disposed over an insulating layer in a semiconductor substrate. A common embodiment of the SOI structure is a single active layer of silicon overlying a layer of silicon dioxide insulator material in a silicon substrate.

In der SOI-Technologie sind zusätzliche Kontakte zu dem Silizium des Substrats erforderlich, das auf einem Niveau unterhalb der Unterseiten der Steuergateelektroden und der Oberfläche der aktiven Schicht aus Silizium liegt. Daher erfordert die SOI-Technologie Mehrebenenkontakte, die in diesem Falle Dreiebenenkontakte sind. In der JP 10-154 752 A und der JP 2003-45 963 A werden Ätzprozesse zur Herstellung von Kontaktöffnungen mit unterschiedlichen Ätztiefen beschrieben.In SOI technology, additional contacts to the silicon of the substrate are required, which is at a level below the bottoms of the control gate electrodes and the surface of the silicon active layer. Therefore, the SOI technology requires multi-level contacts, which in this case are three-level contacts. In the JP 10-154752A and the JP 2003-45 963 A For example, etching processes for producing contact openings having different etching depths are described.

Bei der Herstellung von Mehrebenenkontakten in der SOI-Technologie wird ein Ätzprozess angewendet, wobei Kontaktlöcher so strukturiert werden, dass diese den gleichen Durchmesser aufweisen. Das Ätzen durch die dielektrische Schicht trifft auf die oberste Schicht, d. h. die Oberseite der Gateelektrode früher als auf die aktive Siliziumschicht und wesentlich früher als das tieferliegende Silizium im Substrat erreicht wird. Da die Dauer des Ätzprozesses ausreichend sein muss, um die tiefsten Ebenen zu erreichen, findet eine beträchtliche Nachätzung der am wenigsten tiefen Ebenen statt. Um das Nachätzen zu reduzieren, wird eine Unterschicht oder eine Ätzstoppschicht über den Gateelektroden, den Source/Drain-Gebieten und dem Substratsilizium vorgesehen. Die Unterschicht ist eine dielektrische Ätzstoppschicht oder ein Gatematerial (Silizium/Metall) und ein Substratsilizium (aktives und/oder SOI-Substrat).In the fabrication of multi-level contacts in SOI technology, an etch process is used wherein contact holes are patterned to have the same diameter. The etching through the dielectric layer strikes the topmost layer, i. H. the top of the gate electrode is reached earlier than on the active silicon layer and much earlier than the underlying silicon in the substrate. Since the duration of the etch process must be sufficient to reach the deepest levels, considerable re-etching of the least deep levels occurs. To reduce the re-etching, a sub-layer or an etch-stop layer is provided over the gate electrodes, the source / drain regions, and the substrate silicon. The underlayer is a dielectric etch stop layer or a gate material (silicon / metal) and a substrate silicon (active and / or SOI substrate).

Jedoch ist die Immunität oder die Selektivität der Unterschicht im Hinblick auf den Ätzvorgang begrenzt. Folglich wird ein beträchtlicher Anteil der Unterschicht während eines lang anhaltenden Nachätzens abgetragen. Die erforderliche Dicke der Unterschicht ist durch die maximale Nachätzzeit und die Ätzrate für die Unterschicht bestimmt, die wiederum mit der Selektivität verknüpft ist. Mehrebenenkontakte erfordern ein wesentlich längeres Nachätzen als ein Einebenenkontakt.However, the immunity or selectivity of the underlayer is limited with respect to the etching process. As a result, a significant portion of the underlayer is removed during a long-lasting re-etching. The required thickness of the underlayer is determined by the maximum post etch time and the etch rate for the underlayer, which in turn is associated with the selectivity. Multi-level contacts require a much longer etch than a single-level contact.

Nachteiligerweise ist die Dicke einer Unterschicht durch geometrische Aspekte begrenzt. Dies gilt insbesondere für CMOS-Technologien mit hoher Gateelektrodendichte. Da Kontakte zu dem aktiven Silizium häufig zwischen zwei Gateelektroden hergestellt werden, muss die Dicke der Unterschicht kleiner als die Hälfte des Abstands zwischen den Gateseitenwandabstandselementen, die um die Gates herum angeordnet sind und wo der Kontakt gebildet wird, betragen. Wenn die Dicke der Unterschicht größer als die Hälfte des Abstands ist, „verschmelzen” die Unterschichtbereiche der beiden Gateelektroden und bilden eine erhöhte Dicke der Unterschicht, die dann ein geeignetes Ätzen verhindert.Disadvantageously, the thickness of an underlayer is limited by geometric aspects. This is especially true for CMOS technologies with high gate electrode density. Since contacts to the active silicon are often made between two gate electrodes, the thickness of the underlayer must be less than half the distance between the gate sidewall spacers disposed around the gates and where the contact is formed. If the thickness of the underlayer is greater than one-half of the pitch, the underlayer areas of the two gate electrodes will "fuse" and form an increased thickness of the underlayer, which will then prevent proper etching.

Wenn die Ätzerfordernis für eine gegebene Dicke der Unterschicht über der maximalen Dicke der Unterschicht, die durch die geometrischen Beschränkungen akzeptabel ist, liegt, können ebenso nachteiligerweise die Mehrschichtkontakte nicht in einem einzelnen Ätzprozess gebildet werden. Dies erfordert mehrere Ätzprozesse und ein separates Strukturieren der einzelnen unterschiedlichen Ebenenkontakte. Wenn beispielsweise zwei separate Strukturierungsschritte erforderlich sind, ist es notwendig, die flacheren Kontakte zu maskieren, dann zu ätzen, die tiefen Kontakte zu maskieren und dann wieder zu ätzen. Dies führt zu erhöhter Prozesskomplexität und erhöhten Kosten. Also, if the etch requirement for a given thickness of the underlayer is above the maximum thickness of the underlayer that is acceptable by geometrical constraints, then disadvantageously, the multilayer contacts may not be formed in a single etch process. This requires several etching processes and a separate structuring of the individual different plane contacts. For example, if two separate structuring steps are required, it is necessary to mask the shallower contacts, then etch, mask the deep contacts, and then etch again. This leads to increased process complexity and increased costs.

Obwohl es wünschenswert ist, eine maximal dicke Unterschicht anzuwenden, um damit das Ätzen innerhalb komfortabler Prozessgrenzen durchführen, zu können, ergeben sich daraus Probleme. Die typischerweise verwendeten Unterschichten sind aus Materialien, etwa Siliziumnitrid und Siliziumoxinitrid hergestellt, die eine dielektrische Konstante aufweisen, die größer ist als jene der dielektrischen Schichten für die Vormetallisierung. Dies führ zu einer erhöhten parasitären Kapazität in Gebieten, etwa dem Gate-Kontaktbereich-, dem Gate-Randzonen- und dem Gate-erste Metallisierungsschicht-Gebiet.While it is desirable to be able to apply a maximum thickness sublayer to accomplish the etch within comfortable process limits, this presents problems. The typically used sublayers are made of materials such as silicon nitride and silicon oxynitride which have a dielectric constant greater than that of the pre-metallization dielectric layers. This results in increased parasitic capacitance in areas such as the gate contact area, the gate edge zone and the gate first metallization layer area.

In einigen SOI-Technologien wird keine Unterschicht verwendet. In diesen Situationen tritt ein merkliches Nachätzen an dem aktiven Silizium während der Mehrebenenkontaktätzung und insbesondere beim Ätzen bis hinab zu dem Substratsilizium auf. Da die Selektivität zu Silizium begrenzt ist, führt dies zu einem Ätzen in das aktive Silizium hinein. Eine genaue Steuerung des Ätzens ist erforderlich, um einen Kurzschluss der Source/Drain-Gebiete zu vermeiden. Dies erfordert eine verbesserte Prozesssteuerung und erhöhte Kosten.Some SOI technologies do not use a sublayer. In these situations, noticeable re-etching occurs on the active silicon during multilevel contact etch, and particularly during etching down to the substrate silicon. Since the selectivity to silicon is limited, this leads to etching into the active silicon. Accurate control of the etch is required to avoid shorting the source / drain regions. This requires improved process control and increased costs.

Die SOI-Technologie bietet die Aussicht auf eine verbesserte Bauteilisolierung, auf einen geringeren Flächenbedarf und eine reduzierte parasitäre Kapazität, bei geringer Leistungsaufnahme und erhöhter Leistungsfähigkeit, wobei diese Probleme jedoch die Verwirklichung dieser Aspekte verhindern.SOI technology offers the prospect of improved device isolation, reduced footprint, and reduced parasitic capacitance, low power consumption, and increased performance, but these problems prevent them from being realized.

Eine Lösung zu diesen Problemen wird seit langem auf diesem Gebiet gesucht.A solution to these problems has long been sought in this area.

ÜBERBLICK ÜBER DIE ERFINDUNGOVERVIEW OF THE INVENTION

Die vorliegende Erfindung stellt ein Verfahren zur Herstellung einer integrierten Schaltung bereit mit:
Abscheiden einer Unterschicht über einem Halbleiterbauelement und einem ersten Halbleitersubstrat;
Ätzen bis zu der Unterschicht einer ersten Öffnung bis zu einer ersten Tiefe in einem dielektrischen Material ber dem Halbleiterbauelement und dem ersten Halbleitersubstrat und der Unterschicht;
Ätzen bis zu der Unterschicht einer zweiten Öffnung bis zu einer zweiten von der ersten Tiefe unterschiedlichen Tiefe in dem dielektrischen Material über dem ersten Halbleitersubstrat, wobei die erste und die zweite Öffnung unterschiedlich dimensioniert sind, so dass bis zu der ersten und zu der zweiten Tiefe in ungefähr der gleichen Zeit auf Grund der Ätzverzögerung geätzt wird;
Entfernen der Unterschicht aus den Öffnungen; und
Füllen der ersten und der zweiten Öffnung mit einem leitenden Material;
wobei das Verfahren ferner umfasst:
Bestimmen von Ätzverzögerungen für mehrere Öffnungen durch:
Ätzen mehrerer unterschiedlich dimensionierter und tiefer Öffnungen in das dielektrische Material, wobei eine Kalibrieröffnung enthalten ist, die dimensioniert ist wie die erste Öffnung;
Messen der mehreren Tiefen, die aus dem Ätzen der mehreren Öffnungen erhalten werden; und
Berechnen der mehreren Ätzverzögerungen, die gleich sind eins minus dem Verhältnis der Tiefe der Kalibrieröffnung zu den mehreren Tiefen; und Bestimmen einer optimalen Ätzverzögerung durch:
Berechnen von eins minus dem Verhältnis der ersten Tiefe zu der zweiten Tiefe; und
Dimensionieren der zweiten Öffnung auf der Grundlage der Größe der Öffnung mit der Ätzverzögerung, die am nächsten zu der optimalen Ätzverzögerung liegt.
The present invention provides a method of fabricating an integrated circuit comprising:
Depositing an underlayer over a semiconductor device and a first semiconductor substrate;
Etching to the underlayer of a first opening to a first depth in a dielectric material over the semiconductor device and the first semiconductor substrate and the underlayer;
Etching to the underlayer of a second opening to a second depth different in depth from the first depth in the dielectric material over the first semiconductor substrate, wherein the first and second openings are differently dimensioned so that up to the first and second depths in FIG etched at approximately the same time due to the etch delay;
Removing the underlayer from the openings; and
Filling the first and second openings with a conductive material;
the method further comprising:
Determining etch delays for multiple openings by:
Etching a plurality of different sized and deep openings in the dielectric material, including a calibration opening dimensioned like the first opening;
Measuring the plurality of depths obtained from the etching of the plurality of openings; and
Calculating the plurality of etch delays equal to one minus the ratio of the depth of the calibration aperture to the plurality of depths; and determining an optimal etch delay by:
Calculating one minus the ratio of the first depth to the second depth; and
Sizing the second aperture based on the size of the aperture with the etch delay closest to the optimal etch delay.

Gewisse Ausführungsformen der vorliegenden Erfindung besitzen weitere Vorteile zusätzlich zu oder anstelle der zuvor genannten Vorteile. Diese Vorteile werden für den Fachmann beim Studium der folgenden detaillierten Beschreibung im Zusammenhang mit den begleitenden Zeichnungen offenkundig.Certain embodiments of the present invention have further advantages in addition to or in lieu of the aforementioned advantages. These advantages will become apparent to those skilled in the art upon reading the following detailed description in conjunction with the accompanying drawings.

KURZE BESCHREIBUNG DER ZEICHNUNGENBRIEF DESCRIPTION OF THE DRAWINGS

1 ist eine Kalibrierungsstruktur für ein Aspektverhältnis abhängiges Ätzen (ARDE) mit einem ätzbaren Material; 1 FIG. 12 is an aspect ratio dependent etching (ARDE) calibration structure with an etchable material; FIG.

2 ist eine Ansicht einer in zwei Ebenen geätzten Kontaktstruktur gemäß der vorliegenden Erfindung; 2 Fig. 12 is a view of a two-level etched contact structure according to the present invention;

3 ist eine Ansicht einer in drei Ebenen geätzten Kontaktstruktur gemäß der vorliegenden Erfindung; 3 Fig. 12 is a view of a three-level etched contact structure according to the present invention;

4 ist eine Ansicht einer alternativen Ausführungsform einer in drei Ebenen geätzten Kontaktstruktur gemäß der vorliegenden Erfindung; 4 Fig. 12 is a view of an alternative embodiment of a three-level etched contact structure in accordance with the present invention;

5 ist eine Ansicht einer in drei Ebenen geätzten Kontaktstruktur gemäß der vorliegenden Erfindung; und 5 Fig. 12 is a view of a three-level etched contact structure according to the present invention; and

6 ist ein Flussdiagramm, das ein Verfahren zur Herstellung einer integrierten Schaltung gemäß der vorliegenden Erfindung zeigt. 6 Fig. 10 is a flowchart showing a method of manufacturing an integrated circuit according to the present invention.

BESTE ART ZUM AUSFÜHREN DER ERFINDUNGBEST MODE FOR CARRYING OUT THE INVENTION

Während des Studierens des Problems der Mehrebenenkontakte erkannten die Erfinder, dass ein unerwünschtes Phänomen beim Kontaktätzprozess vorteilhaft ausgenutzt werden kann.While studying the multilevel contact problem, the inventors recognized that an undesirable phenomenon in the contact etch process can be advantageously exploited.

Ein Phänomen, das als „aspektverhältnisabhängiges Ätzen” (ARDE) bezeichnet wird, bewirkt, dass unterschiedliche Strukturgrößen in einem Photolack mit unterschiedlichen Raten in einer dielektrischen Schicht geätzt werden. Unter den gleichen Prozessbedingungen ätzen Strukturelemente mit kleinerer Öffnung langsamer als Strukturelemente mit größeren Öffnungen und unter anderen Prozessbedingungen ätzen größere Öffnungen langsamer als Strukturelemente mit kleinerer Öffnungen.A phenomenon called "aspect ratio dependent etching" (ARDE) causes different feature sizes in a photoresist to be etched at different rates in a dielectric layer. Under the same process conditions, smaller aperture structural members etch more slowly than larger aperture structural members, and under other process conditions etch larger apertures more slowly than smaller aperture structural members.

Wenn beispielsweise ein reaktiver Ionenätzprozess (RIE) in einem Plasmareaktor angewendet wird, um eine Plasmatrockenätzung auszuführen, tritt das Phänomen auf, das als „RIE”-Verzögerung oder Ätzverzögerung bekannt ist, insbesondere wenn die Strukturgrößen (Öffnungen in einem Photolack) unter 0,25 μm liegen. Durch die RIE-Verzögerung ätzen Strukturelemente mit kleineren Öffnungen in einem dielektrischen Material langsamer als Strukturelemente mit großen Öffnungen. Dies ist unerwünscht, da jeder Ätzschritt im Allgemeinen zur Absicht hat, bis zu einer gemeinsamen Tiefe zu ätzen, unabhängig von der Strukturgröße. Gegenwärtig sagt die Fachwelt, dass der Ätzprozess optimiert werden soll, indem die RIE-Verzögerung minimiert wird. Wenn ein Plasmatrockenätzprozess optimiert wird, um die RIE-Verzögerung zu minimieren, gibt es für gewöhnlich einen gewissen Kompromiss, der einzugehen ist, beispielsweise eine geringere Selektivität zu den Ätzstoppschichten.For example, when a reactive ion etch (RIE) process is used in a plasma reactor to perform a plasma dry etch, the phenomenon known as "RIE" delay or etch delay occurs, especially when feature sizes (apertures in a photoresist) are below 0.25 μm lie. The RIE delay causes structural elements with smaller openings in a dielectric material to etch slower than structural elements with large openings. This is undesirable because each etch step is generally intended to etch to a common depth, regardless of feature size. At present, those skilled in the art are saying that the etching process should be optimized by minimizing the RIE delay. When optimizing a plasma desalting process to minimize the RIE delay, there is usually some compromise to be made, such as lower selectivity to the etch stop layers.

Der Betriff „horizontal”, wie er hierin verwendet wird, ist definiert als eine Ebene parallel zur konventionellen Ebene oder Oberfläche einer Scheibe oder eines Substrats unabhängig von deren Orientierung. Der Begriff „vertikal” bezeichnet eine Richtung senkrecht zu der horizontalen Richtung, wie sie soeben definiert ist. Begriffe wie „auf”, „über”, „unter”, „neben oder Seite” (wie in „Seitenwand”), „höher”, „tiefer”, „darüber”, „darunter”, „flach” und „tief” sind definiert in Bezug auf die horizontale Ebene.The term "horizontal" as used herein is defined as a plane parallel to the conventional plane or surface of a disk or substrate, regardless of their orientation. The term "vertical" refers to a direction perpendicular to the horizontal direction as just defined. Terms such as "on," "over," "under," "beside, or side" (as in "sidewall"), "higher," "lower," "above," "below," "flat," and "deep." are defined in terms of the horizontal plane.

Der Begriff „prozessieren bzw. verarbeiten”, wie er hierin verwendet ist, umfasst das Abscheiden eines Materials oder eines Photolacks, das Strukturieren, Belichten, Entwickeln, Ätzen, Reinigen und/oder Entfernen des Materials oder des Photolacks, wie dies zur Herstellung einer beschriebenen Struktur erforderlich ist.As used herein, the term "processing" includes depositing a material or photoresist, patterning, exposing, developing, etching, cleaning and / or removing the material or photoresist as described to produce one Structure is required.

In 1 ist eine Kalibrierungsstruktur 100 für aspektverhältnisabhängiges Ätzen (ARDE) gezeigt. Ein dielektrisches Kalibrierungsmaterial 102 weist darauf ausgebildet einen Photolack 104 auf.In 1 is a calibration structure 100 for aspect ratio dependent etching (ARDE). A dielectric calibration material 102 has formed thereon a photoresist 104 on.

Der Photolack 104 wird verarbeitet, um mehrere Strukturelemente über einen Bereich von Größen hinweg von einem minimalen photolithographischen Durchmesser zu einem Vielfachen dieses Durchmessers zu bilden; beispielsweise kann der minimale Durchmesser 100 nm betragen und der Bereich kann nach oben bis zu einem maximalen Kontaktdurchmesser von 1000 nm reichen. Zum Zwecke der Darstellung sind eine erste, eine zweite und eine dritte Öffnung 106, 108 und 110 gezeigt, die mehrere Abmessungen aufweisen, beispielsweise eine entsprechende erste, eine zweite und eine dritte Abmessung 112, 114 und 116. Die Abmessungen bzw. Dimensionen der Strukturelemente sind so dimensioniert, dass die erste Abmessung 112 kleiner als die zweite Abmessung 114 ist, die wiederum kleiner als die Abmessung 116 ist; d. h. die dritte Abmessung 116 ist größer als die zweite Abmessung 114, die wiederum größer als die erste Abmessung 112 ist.The photoresist 104 is processed to form a plurality of features over a range of sizes from a minimum photolithographic diameter to a multiple of that diameter; For example, the minimum diameter may be 100 nm and the range may be up to a maximum contact diameter of 1000 nm. For purposes of illustration, a first, a second, and a third opening 106 . 108 and 110 shown having a plurality of dimensions, for example, a corresponding first, a second and a third dimension 112 . 114 and 116 , The dimensions or dimensions of the structural elements are dimensioned such that the first dimension 112 smaller than the second dimension 114 is, in turn, smaller than the dimension 116 is; ie the third dimension 116 is larger than the second dimension 114 , which in turn is larger than the first dimension 112 is.

Die Abmessungen der Strukturelemente in dem Photolack stellen die Anfangsabmessungen der Strukturelemente dar, die in das dielektrische Kalibrierungsmaterial 102 geätzt werden.The dimensions of the features in the photoresist represent the initial dimensions of the features included in the dielectric calibration material 102 be etched.

In Situationen, in denen das Phänomen der Ätzverzögerung auftritt, bilden die erste, die zweite und die dritte Öffnung 106, 108 und 110 ein entsprechendes erstes, zweites und drittes Strukturelement 118, 120 und 122 in dem dielektrischen Kalibrierungsmaterial 102. Während eines einzelnen Ätzvorganges oder einer einzelnen Zeitperiode besitzen das erste, das zweite und das dritte Strukturelemente 118, 120 und 122 entsprechend eine erste, eine zweite und eine dritte Tiefe 124, 126 und 128. ARDE ist im Allgemeinen ein nicht linearer Effekt. Da die Strukturelemente in ihrer Größe ausgehend von der ersten Abmessung 112 bis zur dritten Abmessung 116 zunehmen, nehmen die Tiefen ausgehend von der ersten Tiefe 124 und der dritten Tiefe 128 zu; d. h. größere Strukturelemente ätzen schneller und erreichen eine größere Tiefe während der gleichen Zeit.In situations where the phenomenon of etch delay occurs, the first, second, and third openings form 106 . 108 and 110 a corresponding first, second and third structural element 118 . 120 and 122 in the dielectric calibration material 102 , During a single etch or a single time period, the first, second, and third features feature 118 . 120 and 122 correspondingly a first, a second and a third depth 124 . 126 and 128 , ARDE is generally a non-linear effect. Since the structural elements in their size starting from the first dimension 112 up to the third dimension 116 increase, take the depths starting from the first depth 124 and the third depth 128 to; ie larger structural elements etch faster and reach a greater depth during the same time.

Während Kontaktöffnungen diverse Konfigurationen annehmen können, wenn die Strukturelemente für zylindrische Kontaktöffnungen gedacht sind, sind die erste, die zweite und dritte Abmessung 112, 114 und 116 in dem Photolack 104 die Durchmesser der Oberseiten der Kontaktöffnungen in dem dielektrischen Kalibrierungsmaterial 102.While contact openings may take on various configurations when the structural elements are intended for cylindrical contact openings, the first, second and third dimensions are 112 . 114 and 116 in the photoresist 104 the Diameter of the tops of the contact openings in the dielectric calibration material 102 ,

In den meisten Ätzprozessen verjüngen sich die Strukturelemente leicht in ihrer Größe mit zunehmender Tiefe in dem dielektrischen Kalibrierungsmaterial 102, so dass die Unterseite der Kontaktlöcher einen kleineren Durchmesser als die Oberseite aufweist.In most etching processes, the features tend to taper in size with increasing depth in the dielectric calibration material 102 in that the underside of the contact holes has a smaller diameter than the upper side.

In 2 ist eine in zwei Ebenen geätzte Kontaktstruktur 200 gemäß der vorliegenden Erfindung gezeigt.In 2 is a contact structure etched in two levels 200 shown in accordance with the present invention.

Ein erstes Halbleitersubstrat 202 oder ein Substratsilizium wird durch Implantieren mit Source/Drain-Gebieten 204 und 206 versehen, wobei ein Gatedielektrikum 208 über einem Abstand zwischen den Source/Drain-Gebieten 204 und 206 vorgesehen ist. Ein Gate 210 ist über dem Gatedielektrikum 208 angeordnet und ist von einem Gateabstandselement 212 umgeben, um damit den oberen Bereich eines Halbleiterbauelements 213 zu bilden. Ein Unterschicht 214 ist über dem ersten Halbleitersubstrat 202 angeordnet, so dass der Gateabstandshalter 212 und das Gate 210 bedeckt werden.A first semiconductor substrate 202 or a substrate silicon is formed by implanting with source / drain regions 204 and 206 provided with a gate dielectric 208 over a distance between the source / drain regions 204 and 206 is provided. A gate 210 is above the gate dielectric 208 arranged and is of a gate spacing element 212 surrounded to thereby the upper portion of a semiconductor device 213 to build. An underclass 214 is over the first semiconductor substrate 202 arranged so that the gate spacer 212 and the gate 210 to be covered.

Eine dielektrische Vormetallschicht 216 wird über der Unterschicht 214 abgeschieden und eine Photolackschicht 218 wird über der dielektrischen Vormetallschicht 216 abgeschieden.A dielectric pre-metal layer 216 is above the lower class 214 deposited and a photoresist layer 218 becomes over the dielectric pre-metal layer 216 deposited.

Der Photolack 218 ist bearbeitet, um eine erste und eine zweite Öffnung 220 und 222 mit einem ersten bzw. einem zweiten Durchmesser 224 und 226 aufzuweisen. Unter Anwendung eines einzelnen Ätzprozesses für eine festgelegte Zeitdauer werden ein Gatekontakt 228 und ein Gebietkontakt 230 gebildet, die die Unterschicht 214 bei ungefähr der gleichen Zeit erreichen, wobei keine oder eine minimale Nachätzung in die Unterschicht 214 erfolgt.The photoresist 218 is machined to a first and a second opening 220 and 222 with a first and a second diameter respectively 224 and 226 exhibit. Applying a single etching process for a fixed period of time becomes a gate contact 228 and an area contact 230 formed the lower layer 214 reach at about the same time, with no or minimal re-etching into the underlayer 214 he follows.

In der Praxis wird zuerst der minimale Kontaktdurchmesser gebildet; beispielsweise der erste Durchmesser 224 für den Gatekontakt 228. In der Praxis wird dieser Wert häufig durch die minimale Öffnung bestimmt, die zuverlässig in einem Photolack durch den angewendeten Photolithographieprozess aufgelöst werden kann. Der minimale Kontaktdurchmesser wird für Kontakte der am wenigsten tiefen Ebene verwendet.In practice, first the minimum contact diameter is formed; for example, the first diameter 224 for the gate contact 228 , In practice, this value is often determined by the minimum aperture that can be reliably resolved in a photoresist through the applied photolithographic process. The minimum contact diameter is used for contacts of the least deep level.

Zweitens, die Ätzverzögerung des Ätzprozesses wird unter Anwendung der in 1 gezeigten Kalibrierungsstruktur 100 bestimmt, indem Strukturöffnungen über einen Bereich von Größen ausgehend von dem minimalen Kontaktdurchmesser bis zu einem Vielfachen dieses Durchmessers gebildet werden; beispielsweise kann der minimale Durchmesser 100 nm betragen und der Bereich kann sich nach oben bis zum maximalen Kontaktdurchmesser von 1000 nm erstrecken.Second, the etch delay of the etch process is accomplished using the techniques described in U.S. Pat 1 shown calibration structure 100 determined by forming structure openings over a range of sizes from the minimum contact diameter to a multiple of that diameter; for example, the minimum diameter may be 100 nm and the range may extend up to the maximum contact diameter of 1000 nm.

Drittens, ein zeitgesteuerter Ätzvorgang wird ausgeführt und die Tiefen der sich ergebenden geätzten Öffnungen werden berechnet, um die Ätzverzögerung gemäß der Gleichung zu bestimmen: L = 1 – (Dmin/D) (Gleichung 1) wobei:

L
= Ätzverzögerung ist;
Dmin
= Tiefe des Kontakts mit dem minimalen Durchmesser ist;
D
= Tiefe eines Kontakts mit einem anderen Durchmesser ist.
Third, a timed etch is performed and the depths of the resulting etched apertures are calculated to determine the etch delay according to the equation: L = 1 - (D min / D) (Equation 1) in which:
L
= Etch delay;
D min
= Depth of contact with the minimum diameter;
D
= Depth of a contact with a different diameter.

Die Ätzverzögerung in der obigen Darstellung verhält sich nicht notwendigerweise linear zu dem Durchmesser und der Tiefe.The etch delay in the above illustration is not necessarily linear to the diameter and depth.

Viertens, es wird eine optimale Ätzverzögerung für die unterschiedlichen Kontakttiefen, die in der endgültigen integrierten Schaltung gewünscht sind, gemäß der Gleichung berechnet: LOptimal = 1 – (CDShallow/CDDeep) (Gleichung 2) wobei:

LOptimal
= optimale Ätzverzögerung ist;
CDShallow
= Abmessung für die kleinste Kontakttiefe ist;
CDDeep
= Abmessung für die größte Kontakttiefe ist.
Fourth, an optimal etch delay for the different contact depths desired in the final integrated circuit is calculated according to the equation: L Optimal = 1 - (CD Shallow / CD Deep ) (Equation 2) in which:
L Optimal
= optimum etch delay;
CD Shallow
= Dimension for the smallest contact depth;
CD Deep
= Dimension for the largest contact depth.

Fünftes, unter Anwendung der kleinsten Strukturgröße wird die Kalibrierungsstruktur 100 verwendet, um Strukturöffnungsgrößen auf der Grundlage der gewünschten Ätztiefen, bei denen die Strukturätzverzögerung der optimalen Ätzverzögerung am nächsten kommt, ausgewählt. Es wird ein Durchmesser als jener Durchmesser ausgewählt, der eine Ätzverzögerung ergibt, die am nächsten an der optimalen Ätzverzögerung liegt. Mit einer derartigen Auswahl des Kontaktdurchmessers erreicht der Prozess die Unterseiten sowohl der flach angeordneten als auch der tiefen Kontakte ungefähr zum gleichen Zeitpunkt.Fifth, using the smallest feature size becomes the calibration structure 100 is used to select pattern opening sizes based on the desired etch depths at which the pattern etch delay most closely approximates the optimal etch delay. A diameter is selected as the diameter which gives an etch delay closest to the optimum etch delay. With such a selection of the contact diameter, the process reaches the bottoms of both the shallow and deep contacts at approximately the same time.

In 3 ist eine in drei Ebenen geätzte Kontaktstruktur 300 gemäß der vorliegenden Erfindung gezeigt.In 3 is a contact structure etched in three levels 300 shown in accordance with the present invention.

Ein zweites Halbleitersubstrat 302 oder ein Substratsilizium besitzt einen Isolator 304, der darauf abgeschieden ist und der das erste Halbleitersubstrat 306 oder aktives Silizium enthält. Das erste Halbleitersubstrat 306 weist darin implantierte Source/Drain-Gebiete 308 und 310 auf.A second semiconductor substrate 302 or a substrate silicon has an insulator 304 which is deposited on it and which is the first semiconductor substrate 306 or contains active silicon. The first Semiconductor substrate 306 has implanted source / drain regions therein 308 and 310 on.

Über und oberhalb der Source/Drain-Gebiete 308 und 310 ist ein Gatedielektrikum 312 ausgebildet. Über dem Gatedielektrikum 312 ist ein Gate 314 angeordnet, das einen Gateabstandshalter 316 drumherum ausgebildet aufweist, um damit den oberen Bereich eines Halbleiterbauelements 317 zu bilden. Ein Graben 318 ist in den Isolator 304 geätzt, und eine Unterschicht 320 ist so abgeschieden, dass der Isolator 304, das erste Halbleitersubstrat 306, der Gateabstandshalter 316 und das Gate 314 bedeckt sind.Above and above the source / drain regions 308 and 310 is a gate dielectric 312 educated. Above the gate dielectric 312 is a gate 314 arranged, which has a gate spacer 316 formed around it, so as to the upper portion of a semiconductor device 317 to build. A ditch 318 is in the insulator 304 etched, and an undercoat 320 is so deposited that the insulator 304 , the first semiconductor substrate 306 , the gate spacer 316 and the gate 314 are covered.

Ein Vormetalldielektrikumsschicht 322 ist über der Unterschicht 320 abgeschieden.A pre-metal dielectric layer 322 is above the lower class 320 deposited.

Ein Photolack 324 ist über der Vormetalldielektrikumsschicht 322 abgeschieden und so bearbeitet, dass eine erste, eine zweite und eine dritte Kontaktöffnung 326, 328 und 330 gebildet sind. Die erste, die zweite und die dritte Kontaktöffnung 326, 328 und 330 besitzen entsprechend einen ersten, einen zweiten und einen dritten Durchmesser 332, 334 und 336. Der erste Durchmesser 332 ist kleiner als der zweite Durchmesser 334 und der zweite Durchmesser 334 ist kleiner als der dritte Durchmesser 336.A photoresist 324 is above the pre-metal dielectric layer 322 deposited and processed so that a first, a second and a third contact opening 326 . 328 and 330 are formed. The first, the second and the third contact opening 326 . 328 and 330 have correspondingly a first, a second and a third diameter 332 . 334 and 336 , The first diameter 332 is smaller than the second diameter 334 and the second diameter 334 is smaller than the third diameter 336 ,

Die in drei Ebenen geätzte Kontaktstruktur 300 besitzt die optimale Ätzverzögerung und den Kontaktdurchmesser, der separat für den sehr tiefen und den mittleren Tiefenkontakt berechnet ist. Die resultierende Kontaktdimensionierung ermöglicht es, dass der Ätzprozess für die erste, die zweite und die dritte Kontaktöffnung 338, 340 und 342 die Unterschicht 320 ungefähr zur gleichen Zeit für alle drei Kontakttiefen erreicht. Somit wird der Betrag an erforderlicher Nachätzzeit minimiert, wodurch wiederum die erforderliche Dicke der Unterschicht minimal gehalten wird.The contact structure etched in three levels 300 has the optimum etch delay and the contact diameter, which is calculated separately for the very deep and the medium depth contact. The resulting contact dimensioning enables the etching process for the first, second and third contact openings 338 . 340 and 342 the lower class 320 reached at about the same time for all three contact depths. Thus, the amount of post etching time required is minimized, which in turn minimizes the required thickness of the underlayer.

4 zeigt eine alternative Ausführungsform einer in drei Ebenen geätzten Kontaktstruktur 400 gemäß der vorliegenden Erfindung. Elemente, die gleich sind zu den Elementen in 3, besitzen die gleichen Bezugszeichen. 4 shows an alternative embodiment of an etched in three levels contact structure 400 according to the present invention. Elements that are equal to the elements in 3 , have the same reference numerals.

Die in drei Ebenen geätzte Kontaktstruktur 400 besitzt eine erste, eine zweite und eine dritte Kontaktöffnung 402, 404 und 406 mit einem entsprechenden ersten, einem zweiten und einem dritten Durchmesser 408, 410 und 412. Der erste Durchmesser 408 und der zweite Durchmesser 410 besitzen die gleiche Größe. Der zweite Durchmesser 410 ist kleiner als der dritte Durchmesser 412. Der erste und der zweite Durchmesser 408 und 410 sind mit gleicher Größe vorgesehen, um damit die Schaltungsanordnung und die Maskenerzeugung zu vereinfachen. Gleichzeitig kann dies vermeiden, dass die Chipgröße für die integrierte Schaltung ansteigt.The contact structure etched in three levels 400 has a first, a second and a third contact opening 402 . 404 and 406 with a corresponding first, second and third diameters 408 . 410 and 412 , The first diameter 408 and the second diameter 410 own the same size. The second diameter 410 is smaller than the third diameter 412 , The first and the second diameter 408 and 410 are provided with the same size to simplify the circuitry and the mask generation. At the same time, this can prevent the chip size of the integrated circuit from increasing.

Da der Abstand zwischen der ersten und der zweiten Ebene im Vergleich zu der dritten Ebene klein ist, verläuft der Ätzprozess weiter, bis die zweite Kontaktöffnung 404 die Unterschicht 320 erreicht. Zu diesem Zeitpunkt kann man erwarten, dass die erste und die dritte Kontaktöffnung 402 und 406 geringfügig in die Unterschicht 320 beim Nachätzen einbringen, wie dies durch den ersten und dritten Nachätzbereich 414 und 416 gekennzeichnet ist. Dieses geringfügige Nachätzen ist als akzeptabel zu erachten, um damit die Vorteile zu erhalten, dass der erste und der zweite Durchmesser 408 und 410 von gleicher Größe sind.Since the distance between the first and second planes is small compared to the third plane, the etching process continues until the second contact opening 404 the lower class 320 reached. At this point you can expect the first and the third contact opening 402 and 406 slightly in the lower layer 320 when Nachätzen bring, as by the first and third Nachätzbereich 414 and 416 is marked. This minor etching is considered acceptable in order to obtain the benefits of having the first and second diameters 408 and 410 are of the same size.

In 5 ist eine in drei Ebenen geätzte Kontaktstruktur 500 gezeigt, wie sie gemäß der vorliegenden Erfindung erhalten wird. Die gleichen Elemente, die in 3 gezeigt sind, besitzen auch hier die gleichen Bezugszeichen.In 5 is a contact structure etched in three levels 500 shown as obtained according to the present invention. The same elements that are in 3 are shown, also here have the same reference numerals.

Nach einer selektiven Ätzung zur Entfernung der verbleibenden Unterschicht 320 von den Kontaktöffnungen 338, 340 und 342, werden die Öffnungen mit leitendem Material gefüllt, um den ersten, den zweiten und den dritten Kontakt 502, 504 und 506 zu bilden. Der erste, der zweite und der dritte Kontakt 502, 504 und 506 sind entsprechend mit dem Gate 314, dem ersten Halbleitersubstrat 306 und dem zweiten Halbleitersubstrat 302 in Verbindung. Der erste, der zweite und der dritte Kontakt 502, 504 und 506 besitzen entsprechend einen ersten, einen zweiten und einen dritten Kontaktdurchmesser 508, 510 und 512.After a selective etch to remove the remaining undercoat 320 from the contact openings 338 . 340 and 342 , the openings are filled with conductive material to the first, the second and the third contact 502 . 504 and 506 to build. The first, the second and the third contact 502 . 504 and 506 are corresponding to the gate 314 , the first semiconductor substrate 306 and the second semiconductor substrate 302 in connection. The first, the second and the third contact 502 . 504 and 506 have correspondingly a first, a second and a third contact diameter 508 . 510 and 512 ,

In diversen Ausführungsformen sind der erste, der zweite und der dritte Kontakt 502, 504 und 506 aus hochschmelzendem Materialien, etwa Tantal (Ta), Titan (Ti), Wolfram (W), aus Legierungen davon und Verbindungen davon hergestellt. Wenn die Kontakte aus äußerst leitfähigen Materialien, etwa Kupfer (Cu), Gold (Au), Silber (Ag), Legierungen davon und Verbindungen davon mit einem oder mehreren der obigen Elemente gebildet sind, umgeben die zuvor erwähnten hochschmelzenden Materialien die äußerst leitfähigen Materialien. Die dielektrische Vormetallschicht 322 ist aus einem dielektrischen Material hergestellt, etwa Siliziumoxid (SiOx), Tetraethylorthosilikat (TEOS), Borphosporsilikat-(BPSG)Glas, etc. mit Dielektrizitätskonstanten von 4,2 bis 3,9, oder sie sind aus dielektrischen Materialien mit kleiner dielektrischer Konstante hergestellt, etwa fluoriniertes Tetraethylorthosilikat (FTEOS), Wasserstoffsilsquioxan (HSQ), Benzozyklobuten (BCB), Tetramethylorthosilikat (TMOS), Oktamethylzyklotetrasiloxan (OMCTS), Hexamethyldisiloxan (HMDS), Diazetoxyditeriarybutooxysilan (DADBS), etc. mit dielektrischen Konstanten unter 3,9 gebildet. Die Unterschicht 320, wenn diese verwendet wird, ist aus einem Material hergestellt, etwa Siliziumnitrid (SixNx) oder Siliziumoxinitrid (SiON).In various embodiments, the first, second and third contacts are 502 . 504 and 506 made of refractory materials, such as tantalum (Ta), titanium (Ti), tungsten (W), alloys thereof and compounds thereof. When the contacts are formed of highly conductive materials such as copper (Cu), gold (Au), silver (Ag), alloys thereof and compounds thereof having one or more of the above elements, the aforementioned refractory materials surround the highly conductive materials. The dielectric pre-metal layer 322 is made of a dielectric material such as silicon oxide (SiO x ), tetraethyl orthosilicate (TEOS), boron phosphosilicate (BPSG) glass, etc. having dielectric constants of 4.2 to 3.9, or are made of dielectric materials having a small dielectric constant , such as fluorinated tetraethylorthosilicate (FTEOS), hydrogen silsesquioxane (HSQ), benzocyclobutene (BCB), tetramethylorthosilicate (TMOS), octamethylcyclotetrasiloxane (OMCTS), hexamethyldisiloxane (HMDS), diacetoxy-diarylbutyoxysilane (DADBS), etc., having dielectric constants below 3.9. The lower class 320 when used, is made of a material such as silicon nitride (Si x N x ) or silicon oxynitride (SiON).

In 6 ist ein Flussdiagramm gezeigt, wobei ein Verfahren 600 zur Herstellung einer integrierten Schaltung gemäß der vorliegenden Erfindung dargestellt ist. Das Verfahren 600 umfasst: einen Schritt 602 zum Ätzen einer ersten Öffnung bis zu einer ersten Tiefe in einem dielektrischen Material, das über einem Halbleiterbauelement auf einem ersten Halbleitersubstrat angeordnet ist; einen zweiten Schritt 604 zum Ätzen einer zweiten Öffnung bis zu einer zweiten Tiefe in dem dielektrischen Material über dem ersten Halbleitersubstrat, wobei die erste und die zweite Öffnung unterschiedlich dimensioniert sind, um entsprechend bis zu der ersten und zu der zweiten Tiefe in ungefähr der gleichen Zeit auf Grund der Ätzverzögerung zu ätzen; und einen Schritt 606 zum Füllen der ersten und der zweiten Kontaktöffnung mit leitendem Material.In 6 a flow chart is shown, wherein a method 600 for producing an integrated circuit according to the present invention. The procedure 600 includes: a step 602 for etching a first opening to a first depth in a dielectric material disposed over a semiconductor device on a first semiconductor substrate; a second step 604 for etching a second opening to a second depth in the dielectric material over the first semiconductor substrate, wherein the first and second openings are differently dimensioned to correspondingly to the first and second depths in approximately the same time due to the etch delay to etch; and a step 606 for filling the first and second contact openings with conductive material.

Claims (5)

Verfahren (600) zur Bildung einer integrierten Schaltung mit: Abscheiden einer Unterschicht (214) (320) über einem Halbleiterbauelement (213) (317) und einem ersten Halbleitersubstrat (201); Ätzen bis zu der Unterschicht (214) (320) einer ersten Öffnung (228) (338) (402) bis zu einer ersten Tiefe in einem dielektrischen Material (216) (322) über dem Halbleiterbauelement (213) (317) und dem ersten Halbleitersubstrat (202), und der Unterschicht (214) (320); Ätzen bis zu der Unterschicht (214) (320) einer zweiten Öffnung (230) (340) (404) bis zu einer zweiten von der ersten Tiefe unterschiedlichen Tiefe in dem dielektrischen Material (216) (322) über dem ersten Halbleitersubstrat (202), wobei die erste und die zweite Öffnung (228) (338) (402) (230) (340) (404) unterschiedlich dimensioniert sind, so dass bis zu der ersten und zu der zweiten Tiefe in ungefähr der gleichen Zeit auf Grund der Ätzverzögerung geätzt wird; Entfernen der Unterschicht (214) (320) aus den Öffnungen; und Füllen der ersten und der zweiten Öffnung (228) (338) (402) (230) (340) (404) mit einem leitenden Material; wobei das Verfahren ferner umfasst: Bestimmen von Ätzverzögerungen für mehrere Öffnungen durch: Ätzen mehrerer unterschiedlich dimensionierter und tiefer Öffnungen in das dielektrische Material (102), wobei eine Kalibrieröffnung (118) enthalten ist, die dimensioniert ist wie die erste Öffnung (228) (338) (402); Messen der mehreren Tiefen, die aus dem Ätzen der mehreren Öffnungen erhalten werden; und Berechnender mehreren Ätzverzögerungen, die gleich sind eins minus dem Verhältnis der Tiefe der Kalibrieröffnung (118) zu den mehreren Tiefen; und Bestimmen einer optimalen Ätzverzögerung durch: Berechnen von eins minus dem Verhältnis der ersten Tiefe zu der zweiten Tiefe; und Dimensionierender zweiten Öffnung (230) (340) (404) auf der Grundlage der Größe der Öffnung mit der Ätzverzögerung, die am nächsten zu der optimalen Ätzverzögerung liegt.Procedure ( 600 ) for forming an integrated circuit comprising: depositing an underlayer ( 214 ) ( 320 ) over a semiconductor device ( 213 ) ( 317 ) and a first semiconductor substrate ( 201 ); Etching to the lower layer ( 214 ) ( 320 ) a first opening ( 228 ) ( 338 ) ( 402 ) to a first depth in a dielectric material ( 216 ) ( 322 ) over the semiconductor device ( 213 ) ( 317 ) and the first semiconductor substrate ( 202 ), and the lower class ( 214 ) ( 320 ); Etching to the lower layer ( 214 ) ( 320 ) a second opening ( 230 ) ( 340 ) ( 404 ) to a second depth different from the first depth in the dielectric material ( 216 ) ( 322 ) over the first semiconductor substrate ( 202 ), wherein the first and the second opening ( 228 ) ( 338 ) ( 402 ) ( 230 ) ( 340 ) ( 404 ) are dimensioned differently such that etching is up to the first and second depths in approximately the same time due to the etch delay; Removal of the lower layer ( 214 ) ( 320 ) from the openings; and filling the first and second openings ( 228 ) ( 338 ) ( 402 ) ( 230 ) ( 340 ) ( 404 ) with a conductive material; the method further comprising: determining etch delays for a plurality of apertures by: etching a plurality of different sized and deep apertures into the dielectric material ( 102 ), wherein a calibration opening ( 118 ), which is dimensioned like the first opening ( 228 ) ( 338 ) ( 402 ); Measuring the plurality of depths obtained from the etching of the plurality of openings; and calculating the plurality of etch delays equal to one minus the ratio of the depth of the calibration aperture ( 118 ) to the several depths; and determining an optimal etch delay by: calculating one minus the ratio of the first depth to the second depth; and dimensioning the second opening ( 230 ) ( 340 ) ( 404 ) based on the size of the aperture with the etch delay closest to the optimum etch delay. Verfahren (600) nach Anspruch 1, das ferner umfasst: Dimensionieren der zweiten Öffnung (230) (340) (404) in Bezug auf die erste Öffnung (228) (338) (402), so dass eine nicht lineare Beziehung zu der Ätzverzögerung der zweiten Öffnung (230) (340) (404) und der Ätzverzögerung der ersten Öffnung (228) (338) (402) besteht.Procedure ( 600 ) according to claim 1, further comprising: sizing the second opening ( 230 ) ( 340 ) ( 404 ) with respect to the first opening ( 228 ) ( 338 ) ( 402 ), so that a non-linear relationship with the etching delay of the second opening ( 230 ) ( 340 ) ( 404 ) and the etching delay of the first opening ( 228 ) ( 338 ) ( 402 ) consists. Verfahren (600) nach Anspruch 1, das ferner umfasst: Ätzen einer dritten Öffnung (342) (406) bis zu einer dritten von der ersten und zweiten Tiefe unterschiedlichen Tiefe (128) (128) in dem dielektrischen Material (322) über einem zweiten Halbleitersubstrat (302) (302) und dem ersten Halbleitersubstrat (306), wobei die erste, die zweite und die dritte Öffnung unterschiedlich dimensioniert sind, um entsprechend zu der ersten, der zweiten und der dritten Tiefe (128) (128) aufgrund der Ätzverzögerung in ungefähr der gleichen Zeit zu ätzen; und Füllender dritten Öffnung (342) (406) mit leitendem Material.Procedure ( 600 ) according to claim 1, further comprising: etching a third opening ( 342 ) ( 406 ) to a third depth different from the first and second depths ( 128 ) ( 128 ) in the dielectric material ( 322 ) over a second semiconductor substrate ( 302 ) ( 302 ) and the first semiconductor substrate ( 306 ), wherein the first, second and third openings are dimensioned differently to correspond to the first, second and third depths ( 128 ) ( 128 ) due to the etching delay in about the same time; and filling the third opening ( 342 ) ( 406 ) with conductive material. Verfahren (600) nach Anspruch 3, das ferner umfasst: Dimensionieren der dritten Öffnung (342) (406) in Bezug auf die erste Öffnung (228) (338) (402) so, dass eine nicht lineare Beziehung entsprechend der Ätzverzögerung der dritten Öffnung (342) (406) und der Ätzverzögerung der ersten Öffnung (228) (338) (402) besteht.Procedure ( 600 ) according to claim 3, further comprising: sizing the third opening ( 342 ) ( 406 ) with respect to the first opening ( 228 ) ( 338 ) ( 402 ) such that a non-linear relationship corresponding to the etching delay of the third opening ( 342 ) ( 406 ) and the etching delay of the first opening ( 228 ) ( 338 ) ( 402 ) consists. Verfahren (600) nach Anspruch 3, das ferner umfasst: Bestimmen einer zweiten optimalen Ätzverzögerung durch Berechnen von eins minus dem Verhältnis der ersten Tiefe zu der dritten Tiefe (128) (128); und Dimensionieren der dritten Öffnung (342) (406) auf der Grundlage der Größe der Öffnung, die eine Ätzverzögerung aufweist, die am nächsten zu der dritten optimalen Ätzverzögerung liegt.Procedure ( 600 ) according to claim 3, further comprising: determining a second optimum etching delay by calculating one minus the ratio of the first depth to the third depth ( 128 ) ( 128 ); and dimensioning the third opening ( 342 ) ( 406 ) based on the size of the aperture having an etch delay closest to the third optimal etch delay.
DE10394263T 2003-07-02 2003-12-30 Method for producing an integrated circuit Expired - Fee Related DE10394263B4 (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
USPCT/US03/20872 2003-07-02
PCT/US2003/020872 WO2004006261A2 (en) 2002-07-02 2003-07-02 Wordline latching in semiconductor memories
USPCT/US03/21282 2003-07-09
PCT/US2003/021282 WO2004013908A1 (en) 2002-08-02 2003-07-09 Method of manufacturing multi-level contacts by sizing of contact sizes in integrated circuits
PCT/US2003/041684 WO2005013357A1 (en) 2003-07-02 2003-12-30 Method of manufacturing multi-level contacts by sizing of contact sizes in integrated circuits

Publications (2)

Publication Number Publication Date
DE10394263T5 DE10394263T5 (en) 2006-04-27
DE10394263B4 true DE10394263B4 (en) 2011-05-26

Family

ID=34118088

Family Applications (1)

Application Number Title Priority Date Filing Date
DE10394263T Expired - Fee Related DE10394263B4 (en) 2003-07-02 2003-12-30 Method for producing an integrated circuit

Country Status (7)

Country Link
JP (1) JP2007521630A (en)
KR (1) KR101029384B1 (en)
CN (1) CN1802738A (en)
AU (2) AU2003300120A1 (en)
DE (1) DE10394263B4 (en)
GB (1) GB2420015A (en)
WO (2) WO2005013357A1 (en)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011044589A (en) * 2009-08-21 2011-03-03 Oki Semiconductor Co Ltd Semiconductor device and method of manufacturing the same
JP6486137B2 (en) * 2015-02-16 2019-03-20 キヤノン株式会社 Manufacturing method of semiconductor device
JP7069605B2 (en) * 2017-08-29 2022-05-18 富士電機株式会社 Manufacturing method of semiconductor device
US11250895B1 (en) 2020-11-04 2022-02-15 Qualcomm Incorporated Systems and methods for driving wordlines using set-reset latches

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10154752A (en) * 1996-11-21 1998-06-09 Ricoh Co Ltd Manufacture of semiconductor device
JP2003045963A (en) * 2001-07-30 2003-02-14 Matsushita Electric Ind Co Ltd Semiconductor device and method of manufacturing same

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2239541B (en) * 1989-12-29 1994-05-18 Intel Corp Dual port static memory with one cycle read-modify-write operation
US5031141A (en) * 1990-04-06 1991-07-09 Intel Corporation Apparatus for generating self-timing for on-chip cache
JPH0574167A (en) * 1991-09-17 1993-03-26 Nec Corp Semiconductor memory device
JPH05121369A (en) * 1991-10-24 1993-05-18 Oki Electric Ind Co Ltd Method of etching contact hole of semiconductor device
JPH05267251A (en) * 1992-03-18 1993-10-15 Oki Electric Ind Co Ltd Formation of contact hole in semiconductor device
JP3086747B2 (en) * 1992-05-07 2000-09-11 三菱電機株式会社 Semiconductor device and manufacturing method thereof
US5530677A (en) * 1994-08-31 1996-06-25 International Business Machines Corporation Semiconductor memory system having a write control circuit responsive to a system clock and/or a test clock for enabling and disabling a read/write latch
JPH08316320A (en) * 1995-05-22 1996-11-29 Nec Corp Production of semiconductor device
US5994780A (en) * 1997-12-16 1999-11-30 Advanced Micro Devices, Inc. Semiconductor device with multiple contact sizes
JP2001044441A (en) * 1999-07-29 2001-02-16 Sony Corp Full depletion soi-type semiconductor device and integrated circuit
DE10054109C2 (en) * 2000-10-31 2003-07-10 Advanced Micro Devices Inc Method of forming a substrate contact in a field effect transistor formed over a buried insulating layer

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10154752A (en) * 1996-11-21 1998-06-09 Ricoh Co Ltd Manufacture of semiconductor device
JP2003045963A (en) * 2001-07-30 2003-02-14 Matsushita Electric Ind Co Ltd Semiconductor device and method of manufacturing same

Also Published As

Publication number Publication date
WO2005013357A1 (en) 2005-02-10
AU2003300121A1 (en) 2005-02-15
KR101029384B1 (en) 2011-04-15
CN1802738A (en) 2006-07-12
WO2005013282A1 (en) 2005-02-10
KR20060119856A (en) 2006-11-24
GB0601531D0 (en) 2006-03-08
GB2420015A (en) 2006-05-10
JP2007521630A (en) 2007-08-02
DE10394263T5 (en) 2006-04-27
AU2003300120A1 (en) 2005-02-15

Similar Documents

Publication Publication Date Title
DE10245179B4 (en) Multilevel lines with reduced pitch and method of manufacture
DE102016100766B4 (en) STRUCTURING OF CONTACT THROUGH MULTI-PHOTOLITHOGRAPHY AND MULTILATERALITY
DE10194958B4 (en) A method of making a barrier / adhesion layer and a seed layer in an integrated circuit device and associated integrated circuit device
DE10256346B4 (en) Semiconductor device with MIM capacitor and interconnect and manufacturing method therefor
DE69721411T2 (en) Semiconductor device and manufacturing method therefor
DE60211915T2 (en) SEMICONDUCTOR STRUCTURE USING SURGERY MATERIALS AND RELATED MANUFACTURING METHODS
DE60127271T2 (en) A METHOD OF MAKING A REVERSE CONTACT FOR INTEGRATED CIRCUITS
DE69531244T2 (en) SIMPLIFIED DOUBLE DAMASCEN PROCESS FOR THE PRODUCTION OF A MULTIPLE LAYER METALIZATION AND A CONNECTING STRUCTURE
DE69826934T2 (en) Process for making a double damascene structure
DE69933933T2 (en) METHOD FOR PRODUCING A LADDER TRACK STRUCTURE FOR INTEGRATED CIRCUIT
DE4310955C2 (en) Process for processing a semiconductor wafer
DE10351875A1 (en) Integrated circuit device and semiconductor device with MIM capacitor
DE102005020060B4 (en) A method of patterning a low-k dielectric using a hardmask
DE10054109C2 (en) Method of forming a substrate contact in a field effect transistor formed over a buried insulating layer
DE69838202T2 (en) End point sensation and apparatus
DE102005057076A1 (en) Increasing adhesion of metal layers comprises determination of regions of reduced contact hole density and formation of position-holding contacts with metal
DE102009006798B4 (en) A method of fabricating a metallization system of a semiconductor device using a hard mask to define the size of the via
DE60132152T2 (en) A method of producing a rimless contact on bit line contact studs with an etch stop layer
DE102016100323B4 (en) Reduce dual damascene warpage in integrated circuit structures
DE60037599T2 (en) MANUFACTURING METHOD FOR SEMICONDUCTOR ARRANGEMENT WITH REDUCED SIGNAL WAY DELAY TIME
DE60132707T2 (en) Low-temperature method for suppressing hills in interconnections of integrated circuits
DE19531602C2 (en) Connection structure of a semiconductor device and its manufacturing method
DE10347428B4 (en) A high density DRAM manufacturing method with reduced peripheral device area
DE102004001853B3 (en) Fabrication of connection contacts of semiconductors for future nanotechnologies including deposition of contact layer on masking layer during later back polishing stage
EP1118122B1 (en) Integrated circuit and method for producing the same

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law

Ref document number: 10394263

Country of ref document: DE

Date of ref document: 20060427

Kind code of ref document: P

R020 Patent grant now final

Effective date: 20110827

R119 Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee
R119 Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee

Effective date: 20140701