DE102020102533A1 - Verfahren zur Herstellung einer elektro-optischen Einrichtung, elektro-optische Einrichtung, Halbleitereinrichtung und Halbleitervorrichtung - Google Patents

Verfahren zur Herstellung einer elektro-optischen Einrichtung, elektro-optische Einrichtung, Halbleitereinrichtung und Halbleitervorrichtung Download PDF

Info

Publication number
DE102020102533A1
DE102020102533A1 DE102020102533.5A DE102020102533A DE102020102533A1 DE 102020102533 A1 DE102020102533 A1 DE 102020102533A1 DE 102020102533 A DE102020102533 A DE 102020102533A DE 102020102533 A1 DE102020102533 A1 DE 102020102533A1
Authority
DE
Germany
Prior art keywords
planarization layer
electro
waveguide
active element
spin
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102020102533.5A
Other languages
English (en)
Inventor
Daniel Schall
Galip Reha Hepgüler
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
BLACK SEMICONDUCTOR GMBH, DE
Original Assignee
Ges Fuer Angewandte Mikro und Optoelektronik Amo GmbH mbH
Amo GmbH
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ges Fuer Angewandte Mikro und Optoelektronik Amo GmbH mbH, Amo GmbH filed Critical Ges Fuer Angewandte Mikro und Optoelektronik Amo GmbH mbH
Priority to DE102020102533.5A priority Critical patent/DE102020102533A1/de
Priority to JP2022546653A priority patent/JP2023512092A/ja
Priority to US17/796,357 priority patent/US20230117534A1/en
Priority to CN202080094961.7A priority patent/CN115023817A/zh
Priority to PCT/EP2020/086611 priority patent/WO2021151584A1/de
Priority to EP20839263.9A priority patent/EP4097765A1/de
Priority to KR1020227024504A priority patent/KR20220133876A/ko
Priority to CA3168436A priority patent/CA3168436A1/en
Publication of DE102020102533A1 publication Critical patent/DE102020102533A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/01Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour 
    • G02F1/03Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  based on ceramics or electro-optical crystals, e.g. exhibiting Pockels effect or Kerr effect
    • G02F1/035Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  based on ceramics or electro-optical crystals, e.g. exhibiting Pockels effect or Kerr effect in an optical waveguide structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/02Details
    • H01L31/0232Optical elements or arrangements associated with the device
    • H01L31/02327Optical elements or arrangements associated with the device the optical elements being integrated or being directly associated to the device, e.g. back reflectors
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B6/13Integrated optical circuits characterised by the manufacturing method
    • G02B6/136Integrated optical circuits characterised by the manufacturing method by etching
    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/01Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour 
    • G02F1/015Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  based on semiconductor elements with at least one potential jump barrier, e.g. PN, PIN junction
    • G02F1/025Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  based on semiconductor elements with at least one potential jump barrier, e.g. PN, PIN junction in an optical waveguide structure
    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/01Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour 
    • G02F1/21Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  by interference
    • G02F1/225Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  by interference in an optical waveguide structure
    • G02F1/2257Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  by interference in an optical waveguide structure the optical waveguides being made of semiconducting material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/0248Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies
    • H01L31/0256Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by the material
    • H01L31/0264Inorganic materials
    • H01L31/028Inorganic materials including, apart from doping material or other impurities, only elements of Group IV of the Periodic System
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/08Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof in which radiation controls flow of current through the device, e.g. photoresistors
    • H01L31/10Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof in which radiation controls flow of current through the device, e.g. photoresistors characterised by at least one potential-jump barrier or surface barrier, e.g. phototransistors
    • H01L31/101Devices sensitive to infrared, visible or ultraviolet radiation
    • H01L31/112Devices sensitive to infrared, visible or ultraviolet radiation characterised by field-effect operation, e.g. junction field-effect phototransistor
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B2006/12083Constructional arrangements
    • G02B2006/12097Ridge, rib or the like
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B2006/12133Functions
    • G02B2006/12138Sensor
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B2006/12133Functions
    • G02B2006/12142Modulator
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B2006/12166Manufacturing methods
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B2006/12166Manufacturing methods
    • G02B2006/12176Etching

Abstract

Die vorliegende Anmeldung betrifft ein Verfahren zur Herstellung einer elektro-optischen Einrichtung, insbesondere eines Photodetektors (6) oder elektro-optischen Modulators (6), bei dem- ein Wellenleiter (3) bereitgestellt wird (S1),- eine zumindest einen Abschnitt des Wellenleiters (3) übergreifende Planarisierungsschicht (7) hergestellt wird (S2), bevorzugt, indem ein Beschichtungsmaterial aufgebracht,- die Planarisierungsschicht (7) mit einer Spin-on-Glas-Beschichtung (9) versehen wird (S3),- zumindest im Bereich der Spin-on-Glas-Beschichtung (9) eine bevorzugt trockenchemische Ätzbehandlung erfolgt,- optional die Schritte des Versehens der Planarisierungsschicht (7) mit einer Spin-on-Glas-Beschichtung (9) und der Ätzbehandlung wenigstens ein Mal wiederholt werden (S5, S6), und- auf oder oberhalb der Planarisierungsschicht (7) und oberhalb des Wellenleiters (3) ein aktives Element (10) vorgesehen wird (S7), welches wenigsten ein Material umfasst oder aus wenigstens einem Material besteht, das elektromagnetische Strahlung wenigstens einer Wellenlänge absorbiert und infolge der Absorption ein elektrisches Photosignal erzeugt, und/oder dessen Brechungsindex sich in Abhängigkeit einer Spannung und/oder dem Vorhandensein von Ladung(en) und/oder einem elektrischen Feld ändert.

Description

  • Die Erfindung betrifft ein Verfahren zur Herstellung einer elektro-optischen Einrichtung. Darüber hinaus betrifft die Erfindung eine elektro-optische Einrichtung, eine Halbleitereinrichtung mit einem Chip und wenigstens einer elektro-optischen Einrichtung sowie eine Halbleitervorrichtung mit einem Wafer und wenigstens einer elektro-optischen Einrichtung.
  • Es sind elektro-optische Einrichtungen, beispielsweise Photodetektoren oder elektro-optische Modulatoren bekannt, die einen oder mehrere Filme aus Graphen umfassen. Solche sind beispielsweise in der US 9,893,219 B2 offenbart.
  • Damit Graphen oder andere dünne Materialien auf strukturierten Oberflächen, beispielswiese oberhalb von Wellenleitern aufgebracht werden können, ohne dass der aufzubringende Film bzw. die aufzubringende Schicht insbesondere an den Kanten der strukturierten Oberfläche reißt, ist in der Regel eine Planarisierung der Oberfläche erforderlich.
  • Derzeit werden Planarisierungen durch Aufbringen von Planarisierungsschichten und anschließendes chemisch-mechanisches Polieren (CMP) realisiert. Alternativ können auch Spin-on-Gläser (englisch: spin on glass, kurz: SOG) oder Polymere aufgeschleudert werden.
  • Bei Spin-on-Glas-Verfahren wird ein zunächst flüssiges Material, beispielsweise Wasserstoff-Silsesquioxan aufgeschleudert und bei einem anschließenden Ausheizen verglast, weshalb es auch als Spin-on-Glas bezeichnet wird. Bei Wasserstoff-Silsesquioxan (englisch: hydrogen silsesquioxane, kurz: HSQ) handelt es sich um eine Klasse anorganischer Verbindungen mit der Formel [HSiO3/2]n. Durch die Kinetik von flüssigen Stoffen an Höhenunterschieden ergibt sich ein Palarisierungseffekt. Die Wasserstoff-Silsesquioxan Schichtdicke ist auf einer Erhebung auf der Oberfläche geringer bzw. niedriger als neben der Erhebung.
  • Ein Problem bei diesem Ansatz ist die vergleichsweise hohe Rauheit der Oberfläche, die sich im Wesentlichen aus den Eigenschaften des Spin-on-Materials ergibt, und die Wechselwirkung der Planarisierungsschicht mit Graphen oder anderen aufzubringenden Materialien. Da Graphen nur eine Atomlage dünn ist, führt jeder Kontakt mit einem anderen Material zu Veränderungen im Graphen. Nicht jedes Material ist ein geeignetes Trägermaterial für Graphen. Untersuchungen haben ergeben, dass Spin-on-Gläser zu einer Veränderung der Dotierung in Graphen führen. Die Veränderung kann mit Hilfe der Raman Spektroskopie gemessen werden. Der Anmelderin ist bekannt, dass Signaturen von (unerwünschter) Verspannung und Dotierung erkennbar sind.
  • Weiterhin problematisch ist, dass SOG und Polymere in der Regel instabil bezüglich Chemikalien und nachfolgenden Prozessschritten sind.
  • Das CMP-Verfahren umgeht die oben beschriebene Problematik, weil als Planarisierungsmaterial beispielsweise chemisch abgeschiedenes SiO2 genutzt werden kann. SiO2 hat sich als sehr gutes Trägermaterial für Graphen erwiesen und durch das CMP-Verfahren können exzellent niedrige Rauheitswerte erreicht werden. SiO2 ist ferner bezüglich üblicher Prozesschemikalien stabil und gut trockenchemisch strukturierbar. Teilweise als nachteilig wird jedoch erachtet, dass einzelne erhabene Strukturen mitunter nicht gleichmäßig auf der gesamten Oberfläche, beispielsweise eines Wafers, planarisiert werden können. Grund hierfür ist, dass der Wafer während des Polierens auf einen Schleifteller gepresst wird, und nur die erhabenen Teile der Waferoberfläche poliert werden. Wenn der Abstand zwischen benachbarten Erhebungen auf der Waferoberfläche zu groß ist (beispielsweise in der Größenordnung von 100 bis 1000 µm), und die Verteilung auf dem Wafer nicht homogen, kann sich eine lateral ungleiche Schichtdicke nach der Politur ergeben. Die geringen Toleranzen der einzustellenden Schichtdicke nach der Planarisierung (in der Regel einstellige nm) erfordern für eine Reproduzierbarkeit Stützstellen auf dem gesamten Wafer in identischer Höhe wie die strukturierte Oberfläche. Die Form und Anordnung der Stützstellen hat erheblichen Einfluss auf das Ergebnis der Ätzprozesse, die für die Oberflächenstrukturierung eingesetzt werden und des CMP. Daraus ergibt sich ein erhöhter Aufwand beim Design und bei der Prozessentwicklung, weil die Stützstellen neben dem eigentlichen Bauteildesign mit designt und entwickelt werden müssen.
  • Darüber hinaus kann die gewünschte Restüberdeckung auf dem Wellenleiter beispielsweise in der Größenordnung von 10 nm in die Grenzbereiche der Toleranz von CMP-Schritten führen. Um reproduzierbare Ergebnisse zu erzielen, wird nach Kenntnisstand der Anmelderin die gesamte SiO2-Planarisierungsschicht bis auf die Oberfläche der Wellenleiter und Stützstellen poliert (das Si wird langsamer poliert, das führt zu einem „ Stoppen‟ in der richtigen Höhe). Da ein Oxid auf dem Wellenleiter erforderlich ist, wird der Wafer anschließend trocken oxidiert (d.h. unter hoher Temperatur und Zugabe von O2 oxidiert Si). An den freien Si Oberflächen wird das Si in SiO2 oxidiert.
  • Ausgehend davon ist es eine Aufgabe der vorliegenden Erfindung ein Verfahren zur Herstellung einer elektro-optischen Einrichtungen anzugeben, welches sich mit vertretbarem Aufwand durchführen lässt und die vorgenannten Nachteile vermeidet.
  • Diese Aufgabe wird gelöst durch ein Verfahren zur Herstellung einer elektro-optischen Einrichtung, insbesondere eines Photodetektors oder elektro-optischen Modulators, bei dem
    • - ein Wellenleiter bereitgestellt wird,
    • - eine zumindest einen Abschnitt des Wellenleiters übergreifende Planarisierungsschicht hergestellt wird, bevorzugt, indem ein Beschichtungsmaterial aufgebracht, insbesondere abgeschieden wird,
    • - die Planarisierungsschicht mit einer Spin-on-Glas-Beschichtung versehen wird,
    • - zumindest im Bereich der Spin-on-Glas-Beschichtung eine bevorzugt trockenchemische Ätzbehandlung erfolgt, im Rahmen derer bevorzugt bis zur Planarisierungsschicht runtergeätzt und bevorzugt die Spin-on-Glas-Beschichtung und ein Teil der Planarisierungsschicht entfernt wird,
    • - optional die Schritte des Versehens der Planarisierungsschicht mit einer Spin-on-Glas-Beschichtung und der Ätzbehandlung wenigstens ein Mal wiederholt werden, und
    • - auf oder oberhalb der Planarisierungsschicht und oberhalb des Wellenleiters ein aktives Element vorgesehen wird, welches wenigsten ein Material umfasst oder aus wenigstens einem Material besteht, das elektromagnetische Strahlung wenigstens einer Wellenlänge absorbiert und infolge der Absorption ein elektrisches Photosignal erzeugt, und/oder dessen Brechungsindex sich in Abhängigkeit einer Spannung und/oder dem Vorhandensein von Ladung(en) und/oder einem elektrischen Feld ändert.
  • Die vorliegende Erfindung umgeht die mit der Spin-on-Planarisierung verbundenen Nachteile, insbesondere der hohen Rauheit und Instabilität, und die der CMP-Technik, indem sie das von anderen Anwendungsgebieten vorbekannte Resist-Planarisierungsverfahren gezielt nutz, um oberhalb eines Wellenleiters ein für die Auflage eines aktiven Elementes, beispielsweise Graphenfilms, geeignetes flaches Oberflächenprofil bei gleichzeitig geringer Restdicke oberhalb des Wellenleiters und ausreichend niedriger Rauheit zu erhalten. So wird es möglich, eine Beschädigung, insbesondere ein Reißen eines aktiven Elementes besonders zuverlässig zu verhindern. Im Ergebnis können elektro-optische Einrichtungen erhalten werden, die sich durch exzellente Eigenschaften, insbesondere auch eine besonders zuverlässige Funktionsweise auszeichnen. Da ein Reißen des aktiven Elementes zuverlässig vermieden wird, ist mit dem Herstellungsverfahren ein geringer Ausschuss verbunden.
  • Durch die erfindungsgemäße Vorgehensweise können vergleichsweise niedrige Rauheiten, insbesondere an der Oberseite der Planarisierungsschicht, etwa im Bereich von 1,0 nm RMS bis 0,1 nm RMS, insbesondere 0,6 nm RMS bis 0,1 nm RMS, bevorzugt 0,4 nm RMS bis 0,1 nm RMS erhalten werden. Eine Rauheit von 0,2 nm RMS beispielsweise hat sich als besonders geeignet erwiesen. Die Abkürzung nm steht hier und im Folgenden in an sich bekannter Weis für Nanometer (10-9 m). Die Abkürzung RMS für root mean squared. Die RMS-Rauheit wird im Deutschen auch als quadratische Rauheit bezeichnet.
  • Rauheiten in diesen Bereichen haben sich als besonders geeignet erwiesen. Sie sind insbesondere vorteilhaft, um Stress und Verspannungen in darüber liegenden Schichten zu vermeiden. In diesem Zusammenhang sei auch auf den Aufsatz „Identifying suitable substrates for high-quality graphene-based heterostructures‟ von L. Banszerus et al, 2D Mater., Vol. 4, No. 2, 025030, 2017 verwiesen.
  • Als Messverfahren zur Bestimmung der Rauheit kann die Rasterkraftmikroskopie (englisch: atomic force microscopy, kurz: AFM) zum Einsatz kommen, insbesondere, wie in der Norm EN ISO 25178 beschrieben. Die Rasterkraftmikroskopie ist vor allem in dem sich mit Messmethoden zur Rauheitsbestimmung beschäftigten Teil 6 (EN ISO 25178-6:2010-01) dieser Norm erörtert.
  • Die elektro-optische Einrichtung kann beispielsweise auf einem Wafer oder Chip bzw. auf einem auf einem Wafer oder Chip vorgesehenen Element bzw. einer auf einem Wafer oder Chip vorgesehenen Schicht hergestellt werden. Dann gilt bevorzugt, dass der bereitgestellte Wellenleiter auf einem oder oberhalb eines Wafers oder Chips angeordnet ist, wobei der Wafer oder Chip besonders bevorzugt integrierte Schaltkreise mit integrierten elektronischen Bauteilen aufweist.
  • Es ist natürlich möglich, dass mehre Wellenleiter bereitgestellt und mehrere elektro-optische Einrichtungen auf die erfindungsgemäße Weise erhalten werden. Beispielsweise kann es sein, dass ein Wafer oder Chip bereitgestellt wird, an dessen Oberseite zwei oder mehr Wellenleiter vorgesehen sind. Es kann sich um einen integrierten Wellenleiter eines Wafers oder Chips handeln. Es kann dann eine Planarisierungsschicht und eine Spin-on-Glas-Beschichtung hergestellt werden, die sich über alle Wellenleiter, insbesondere flächig über die gesamte Wafer- bzw. Chip-Oberseite, erstrecken und es kann über die gesamte Oberseite geätzt werden. Werden die Schritte des Versehens der Planarisierungsschicht mit einer Spin-on-Glas-Beschichtung und der Ätzbehandlung wiederholt, kann dies auch für die Wiederholung(en) gelten. So kann auf besonders einfache Weise ein Vielzahl elektro-optischer Einrichtungen auf die erfindungsgemäße Weise erhalten werden.
  • Unter einem Wellenleiter ist ein Element bzw. eine Komponente zu verstehen, die eine elektromagnetische Welle, insbesondere Licht, leitet. Um die Welle zu leiten wird zweckmäßiger Weise ein von der Wellenlänge abhängiger Querschnitt eines für mindestens diese Wellenlänge optisch transparenten Materials, das sich von einem benachbarten Material, das ebenfalls für diese Wellenlänge transparent ist, durch einen Brechungsindexkontrast auszeichnet, verwendet. Ist der Brechungsindex des umgebenden Materials niedriger, wird das Licht im Bereich des höheren Brechungsindexes geführt. Für den besonderen Fall einer Schlitzmode sind zwei Bereiche hohen Brechungsindexes von einem bezüglich der Wellenlänge schmalen Bereich niedrigen Brechungsindexes getrennt und das Licht wird im Bereich des niedrigen Brechungsindexes geführt. Um niedrige Verluste durch Streuung zu erreichen, ist eine geringe Seitenwandrauheit vorteilhaft.
  • Wellenleiter und Planarisierungsschicht bestehen zweckmäßiger Weise aus Materialien, deren Brechungsindices sich unterscheiden. Als rein beispielhafte Paare von Brechungsindices seien genannt 3,4 (Si) für den bzw. die Wellenleiter und 1,5 (SiO2) für die Planarisierungsschicht oder, im Falle von Dielektrika, 2,4 (TiO2) für den bzw. die Wellenleiter und 1,5 (Si02) für die Planarisierungsschicht oder 2 (SiN) für den oder die Wellenleiter und 1,47 Planarisierungsschicht.
  • Besonders bevorzugt gilt, dass der Brechungsindex des Materials des oder der Wellenleiter um mindestens 20 %, bevorzugt mindestens 30 % größer ist als der Brechungsindex des Materials der Planarisierungsschicht.
  • Der oder - im Falle mehrerer - wenigstens einer der Wellenleiter der photonischen Plattform umfasst in weiterer bevorzugter Ausgestaltung wenigstens eine Material, das für elektromagnetische Strahlung einer Wellenlänge von 850 nm und/oder 1310 nm und/oder 1550 nm transparent ist oder besteht aus einem solchen. Besonders bevorzugt ist es für elektromagnetische Strahlung im Wellenlängenbereich von 800 nm bis 900 nm und/oder von 1260 nm bis 1360 nm (sogenanntes Original Band bzw. kurz O-Band) und/oder 1360 nm bis 1460 nm (sogenanntes Extend Band oder kurz E-Band) und/oder 1460 nm bis 1530 nm (sogenanntes Short Band oder kurz S-Band) und/oder von 1530 nm bis 1565 nm (sogenanntes Conventional Band bzw. kurz C-Band) und/oder 1565 nm bis 1625 nm (sogenanntes Long Band bzw. kurz L-Band) transparent. Diese Bänder sind aus dem Bereich der Nachrichtentechnik vorbekannt.
  • Der oder - im Falle mehrerer - wenigstens einer der Wellenleiter kann in weiterer vorteilhafter Ausgestaltung Titandioxid und/oder Aluminiumnitrid und/oder Tantalpentoxid und/oder Siliziumnitrid und/oder Aluminiumoxid und/oder Siliziumoxynitrid und/oder Lithiumniobat und/oder Silizium, insbesondere Polysilizium, und/oder Indiumphosphit und/oder Galliumarsenid und/oder Indiumgalliumarsenid und/oder Aluminiumgalliumarsenid und/oder wenigstens ein Dichalkogenid, insbesondere zweidimensionales Übergangsmetall-Dichalkogenid, und/oder Chalkogenidglas und/oder Harze bzw. Harz enthaltende Materialien, insbesondere SU8, und/oder Polymere bzw. Polymere enthaltende Materialien, insbesondere OrmoComp, umfassen oder aus einem oder mehreren dieser Materialien bestehen. Der (jeweilige) Wellenleiter kann durch Materialabscheidung und insbesondere anschließende Strukturierung erhalten werden bzw. worden sein.
  • Was die Abmessungen des bzw. der Wellenleiter angeht, kann insbesondere das Folgende gelten. Die Dicke liegt bevorzugt im Bereich von 150 Nanometern bis 10 Mikrometer. Die Breite und Länge des bzw. der Wellenleiter kann sich insbesondere im Bereich von 100 Nanometer und 10 Mikrometer bewegen.
  • Einer oder mehrere Wellenleiter können beispielsweise als Streifenwellenleiter ausgebildet sein, die sich dann insbesondere durch einen rechteckigen oder quadratischen Querschnitt auszeichnen. Einer oder mehrere Wellenleiter können alternativ oder zusätzlich auch als Rippenwellenleiter mit T-förmigem Querschnitt ausgebildet sein. Weiter alternativ oder zusätzlich ist es möglich, dass wenigstens ein Wellenleiter durch einen Schlitzwellenleiter gegeben ist.
  • Der oder die Wellenleiter kann bzw. können fern mehrteilig ausgebildet sein, etwa einen ersten, beispielsweise unteren oder linken, und einen zweiten, beispielsweise oberen oder rechten Teil bzw. Abschnitt umfassen oder daraus bestehen. Es kann sein, dass einer oder mehrere Abschnitte sich durch einen rechteckigen oder quadratischen Querschnitt auszeichnen. Weist ein Wellenleiter zwei oder mehr Teile auf bzw. besteht daraus, können diese - etwa unter Bildung eines Schlitzes (englisch: slot) - zueinander beabstandet sein.
  • Bevorzugt wird die Planarisierungsschicht hergestellt, indem Beschichtungsmaterial zumindest auf bzw. oberhalb eines Abschnitts, insbesondere Längsabschnitt des bzw. des jeweiligen Wellenleiters und auf Bereiche seitlich des bzw. des jeweiligen Wellenleiters, insbesondere neben den bzw. den jeweiligen Wellenleiter aufgebracht, bevorzugt abgeschieden wird. Selbstverständlich kann auch Material auf den gesamten (jeweiligen) Wellenleiter abgeschieden werden. Dass Beschichtungsmaterial auch seitlich eines Wellenleiters aufgebracht wird bedeutet insbesondere bzw. schließt insbesondere mit ein, dass Material neben dem Wellenleiter auf den Untergrund, auf welchem der Wellenleiter angeordnet ist, aufgebracht wird.
  • Die Planarisierungsschicht kann ferner durch chemische Gasphasenabscheidung (englisch: chemical vapor deposition, kurz CVD), bevorzugt Niederdruck chemische Gasphasenabscheidung (englisch: low pressure chemical vapor deposition, kurz: LPCVD) und/oder plasmaunterstütze chemische Gasphasenabscheidung (englisch plasma enhanced chemical vapor deposition, kurz: PECVD) und/oder durch physikalische Gasphasenabscheidung (englisch: physical vapor deposition) eines Beschichtungsmaterials hergestellt werden.
  • Es gibt unterschiedliche aus dem Stand der Technik vorbekannte Verfahren der chemischen Gasphasenabscheidung, die allesamt im Rahmen der vorliegenden Erfindung zum Einsatz kommen können. Allen gemein ist in der Regel eine chemische Reaktion von eingeleiteten Gasen, die zu einer Abscheidung des gewünschten Materials führen.
  • Auch bezüglich der physikalischen Gasphasenabscheidung gilt, dass alle aus dem Stand der Technik vorbekannten Varianten zum Einsatz kommen können. Rein beispielhaft sei das Elektronenstrahlverdampfen genannt, bei dem mittels eines Elektronenstrahls Material geschmolzen und verdampft wird, sowie das thermische Verdampfen, bei dem Material mittels einer Heizung bis zum Schmelzpunkt erwärmt und auf ein Zielsubstrat gedampft wird, sowie die Kathodenzerstäubung (englisch: sputter deposition), bei der mittels eines Plasmas Atome aus einem Materialträger herausgeschlagen und auf einem Zielsubstrat abgeschieden werden.
  • Alternativ oder zusätzlich zu den vorgenannten Abscheidungsverfahren kommt auch die Atomlagenabscheidung in Frage. Im Rahmen dieser werden isolierende oder leitende Materialien (Dielektrika, Halbleiter oder Metalle) Atomlage für Atomlage sequenziell abgeschieden.
  • Sputtern stellt eine weitere Möglichkeit dar, die im Rahmen der Herstellung der Planarisierungsschicht zum Einsatz kommen kann.
  • Es sei angemerkt, dass eine hergestellte Schicht nur eine oder auch mehrere Lagen umfassen kann. Sie kann aus nur einem Material bestehen oder auch mehrere Materialien umfassen. Beispielsweise kann eine Schicht zwei oder mehr Lagen aus zwei oder mehr verschiedenen Materialien aufweisen. Es kann natürlich auch sein, dass eine Schicht mehrere Lagen aufweist, die jedoch alle aus demselben Material bestehen. Eine Schicht mit mehr als einer Lage kann insbesondere erhalten werden bzw. vorliegen, weil für deren Herstellung mehrere Lagen, beispielsweise mehrere Atomlagen, vorgesehen, beispielsweise abgeschieden werden bzw. wurden.
  • Eine weitere Ausführungsform zeichnet sich dadurch aus, dass eine Planarisierungsschicht mit oder aus wenigstens einem Oxid, insbesondere Siliziumdioxid, und/oder mit oder aus wenigstens einem Nitrid und/oder mit oder aus wenigstens einem Polymer hergestellt wird.
  • Des Weiteren kann vorgesehen sein, dass die Planarisierungsschicht mit einer Spin-on-Glas-Beschichtung versehen wird, indem ein geeignetes Material, beispielsweise HSQ und/oder ein Polymer, im flüssigen Zustand aufgebracht, bevorzugt aufgeschleudert und anschließend erwärmt, insbesondere ausgeheizt wird. Beim Erwärmen, insbesondere Ausheizen, verglasen die Materialien.
  • Es erfolgt bevorzugt ein trockenchemischer Ätzprozess. Als besonders geeignet hat sich das reaktive lonenätzen (englisch: reactive ion etching, kurz: RIE) erwiesen.
  • Die Ätzung kann beispielsweise mit CHF3- und/oder SF6-basierten trockenchemischen Ätzprozessen erfolgen. Die Abkürzung CHF3 steht dabei in hinlänglich bekannter Weise für Fluoroform und die Abkürzung SF6 für Schwefelhexafluorid.
  • Die Ätzbehandlung erfolgt bevorzugt derart, dass die Ätzrate für das Material der Planarisierungsschicht um maximal 50%, bevorzugt maximal 30%, besonders bevorzugt maximal 10% größer oder kleiner als die Ätzrate für das Spin-on-Glas ist. Der Ätzprozess kann in vorbekannter Weise über Prozessparameter eingestellt werden. Als geeignete Parameter kommen beispielsweise der Druck und/oder der Gasfluss und/oder die Zusammensetzung des Gasgemisches und/oder die Leistung zur Erregung des Plasmas und/oder die Temperatur der Elektrode in Frage.
  • Das reaktive lonenätzen beispielsweise ist ein Trockenätzverfahren, bei dem in der Regel mittels spezieller gasförmiger Chemikalien, die zu einem Plasma angeregt werden, ein selektives und gerichtetes Ätzen einer Substratoberfläche ermöglicht wird. Eine Lackmaske kann dabei nicht zu ätzende Teile schützen. Die Ätzchemie und die Parameter des Prozesses entscheiden in der Regel über die Selektivität des Prozesses, also die Ätzraten unterschiedlicher Materialien. Diese Eigenschaft ist entscheidend, um einen Ätzvorgang in der Tiefe zu begrenzen und damit Schichten voneinander getrennt zu definieren.
  • Auf bzw. oberhalb der infolge der Resist-Planarisierung erhaltenen Oberfläche der Planarisierungsschicht, also in dem Bereich, der zuvor der Ätzbehandlung unterzogen wurde, wird erfindungsgemäß im Bereich des bzw. des jeweiligen Wellenleiters ein aktives Element mit oder aus wenigsten einem Material, das elektromagnetische Strahlung wenigstens einer Wellenlänge absorbiert und infolge der Absorption ein elektrisches Photosignal erzeugt, und/oder dessen Brechungsindex sich in Abhängigkeit einer Spannung und/oder dem Vorhandensein von Ladung(en) und/oder einem elektrischen Feld ändert, vorgesehen.
  • In bevorzugter Ausgestaltung gilt, dass das wenigstens eine Material elektromagnetische Strahlung einer Wellenlänge von 850 nm und/oder 1310 nmm und/oder 1550 nm absorbieren und infolge der Absorption ein Photosignal erzeugen kann. Besonders bevorzugt gilt, dass es elektromagnetische Strahlung im Wellenlängenbereich von 800 nm bis 900 nm und/oder von 1260 nm bis 1360 nm (sogenanntes Original Band bzw. kurz O-Band) und/oder 1360 nm bis 1460 nm (sogenanntes Extend Band oder kurz E-Band) und/oder 1460 nm bis 1530 nm (sogenanntes Short Band oder kurz S-Band) und/oder von 1530 nm bis 1565 nm (sogenanntes Conventional Band bzw. kurz C-Band) und/oder 1565 nm bis 1625 nm (sogenanntes Long Band bzw. kurz L-Band) absorbieren und infolge der Absorption ein Photosignal erzeugen kann.
  • Darunter, dass ein Material seinen Brechungsindex ändert ist insbesondere zu verstehen, dass es seine Dispersion (insbesondere Brechzahl) und/oder seine Absorption ändert. Die Dispersion bzw. Brechzahl ist in der Regel durch den Realteil und die Absorption durch den Imaginärteil des komplexen Brechungsindexes gegeben. Unter Materialien, deren Brechungsindex sich in Abhängigkeit einer Spannung und/oder dem Vorhandensein von Ladung(en) und/oder einem elektrischen Feld ändert, sind vorliegend insbesondere solche zu verstehen, die sich durch den Pockels-Effekt und/oder den Franz-Keldysh-Effekt und/oder den Kerr-Effekt auszeichnen. Darüber hinaus werden auch Materialien, die sich durch den Plasmadispersions-Effekt auszeichnen vorliegend als solche Materialien erachtet.
  • Weiterhin kann vorgesehen sein, dass als das wenigstens eine Material des aktiven Elementes, das elektromagnetische Strahlung wenigstens einer Wellenlänge absorbiert und infolge der Absorption ein elektrisches Photosignal erzeugt, und/oder dessen Brechungsindex sich in Abhängigkeit einer Spannung und/oder dem Vorhandensein von Ladung(en) und/oder einem elektrischen Feld ändert, Graphen und/oder wenigstens ein Dichalkogenid, insbesondere zweidimensionales Übergangsmetall-Dichalkogenid, und/oder Heterostrukturen aus zweidimensionalen Materialien und/oder Germanium und/oder Lithiumniobad und/oder wenigstens ein elektro-optisches Polymer und/oder Silizium und/oder wenigstens ein Verbindungshalbleiter, insbesondere wenigsten ein Ill-V-Halbleiter und/oder wenigstens ein II-VI-Halbleiter, zum Einsatz kommt.
  • Unter elektro-optischen Polymeren sind insbesondere Polymere zu verstehen, die sich dadurch auszeichnen, dass sie einen starken linearen elektro-optischen Koeffizienten (Pockels-Effekt) haben. Unter einem starken linearen elektro-optischen Koeffizienten ist bevorzugt ein solcher zu verstehen, der mindestens 150 pm/V, bevorzugt mindestens 250 pm/V beträgt. Dann ist der elektro-optische Koeffizient mindestens etwa fünfmal so groß wie derjenige von Lithiumniobad.
  • Es gibt unterschiedliche Chalkogenide. Im Rahmen der vorliegenden Erfindung haben sich insbesondere Übergangsmetall-Dichalkogenide als zweidimensionale Materialien, wie MoS2 oder WSe2, als besonders geeignet erwiesen.
  • Es sei angemerkt, dass Lithiumniobat und elektro-optische Polymere auf dem elektro-optischen, insbesondere dem Pockels-Effekt basieren, d.h. das E-Feld ändert den Brechungsindex (wie z.B. der Pockels-Effekt in der Pockelszelle genutzt wird). Bei Germanium ist es der Franz-Keldysh-Effekt, d.h. das Feld verschiebt die Valenz und Leitungsbandkanten gegeneinander, so dass sich die optischen Eigenschaften ändern. Diese Effekte sind feldbasierte Effekte. Bei Silizium oder Graphen ist es der ladungsträgerbasierte Plasmadispersions-Effekt, d.h. Ladungsträger (Elektronen oder Löcher) werden in den Bereich der optischen Mode gebracht (entweder befindet sich in der Anordnung ein Kondensator, der aufgeladen wird, oder eine Diode mit einer Sperrschicht, die verarmt und angereichert wird). Der Brechungsindex (Realteil vom Index) und die Absorption (Imaginärteil des Indexes, führt zu free carrier absorption) ändern sich mit der Ladungsträgerkonzentration.
  • Bei III-V-Halbleitern handelt es sich in an sich bekannter Weise um Verbindungshalbleiter, die aus Elementen der Hauptgruppe III und V bestehen. Bei II-VI-Halbleitern bzw. handelt es sich in an sich bekannter Weise um Verbindungshalbleiter, die aus Elementen der Hauptgruppe II bzw. Gruppe-12-Elementen und Elementen der Hauptgruppe VI bestehen.
  • Viele Materialien zeichnen sich sowohl dadurch aus, dass sich ihr Brechungsindex in Abhängigkeit einer Spannung und/oder dem Vorhandensein von Ladung und/oder einem elektrischen Feld ändert, als auch dadurch, dass sie elektromagnetische Strahlung wenigstens einer Wellenlänge absorbieren und infolge der Absorption ein elektrisches Photosignal erzeugen. Für Graphen beispielsweise ist dies der Fall. Graphen ist entsprechend sowohl für die aktiven Elemente von Photodetektoren als auch Modulatoren geeignet. Dies gilt ebenfalls für Dichalkogenide, etwa zweidimensionale Übergangsmetall-Dichalkogenide, Heterostrukturen aus zweidimensionalen Materialien, Germanium, Silizium sowie Verbindungshalbleiter, insbesondere Ill-V-Halbleiter und/oder II-VI-Halbleiter. Lithiumniobad beispielsweise ist in der Regel nur für Modulatoren geeignet. Da es transparent ist, erfüllt es nicht die absorbierende Eigenschaft und kommt daher für Photodetektoren nicht in Frage.
  • Als besonders geeignet haben sich Filme aus Graphen, ggf. chemisch modifiziertem Graphen, oder auch Dichalkogenide, insbesondere zweidimensionale Übergangsmetall-Dichalkogenide, oder auch Dichalkogenid-Graphen-Heterostrukturen bestehend aus mindestens einer Lage Graphen und mindestens einer Lage eines Dichalkogenids oder Anordnungen aus mindestens einer Lage Bornitrid und mindestens einer Lage Graphen, erwiesen.
  • Bei Wellenleitern gilt, dass ein Teil der elektromagnetischen Strahlung, insbesondere des Lichts, evaneszent außerhalb des Wellenleiters geführt wird. Die Grenzfläche des Wellenleiters ist dielektrisch und entsprechend wird die Intensitätsverteilung durch die Randbedingungen gemäß Maxwell mit einem exponentiellen Abfall beschrieben. Wird ein elektro-optisch aktives Material, beispielsweise Graphen auf den bzw. in die Nähe des Wellenleiters in das evaneszente Feld gebracht, können Photonen mit dem Material, insbesondere Graphen in Wechselwirkung treten.
  • Es existieren vier Effekte in Graphen, die zu einem Photostrom führen. Einerseits der bolometrischer Effekt, gemäß dem durch die absorbierte Energie der Widerstand des Graphens steigt und sich ein angelegter DC Strom reduziert. Die Änderung des DC Stroms ist dann das Photosignal. Ein weiterer Effekt ist die Photoleitfähigkeit. Dabei führen absorbierte Photonen zu einer Erhöhung der Ladungsträgerkonzentration und die zusätzlichen Ladungsträger reduzieren den Widerstand des Graphens wegen der Proportionalität des Widerstands zur Ladungsträgerkonzentration. Ein angelegter DC Strom erhöht sich und die Änderung ist das Photosignal. Es existiert auch ein thermoelektrische Effekt, gemäß dem sich durch einen pn Übergang und einen Temperaturgradienten an diesem Übergang wegen unterschiedlicher Seebeckkoeffizienten für das p und n Gebiet eine Thermospannung ergibt. Der Temperaturgradient entsteht durch die Energie des absorbierten optischen Signals. Diese Thermospannung ist dann das Signal. Der vierte Effekt ist dadurch gegeben, dass an einem pn Übergang die angeregten Elektron-Lochpaare getrennt werden. Der resultierende Photostrom ist das Signal.
  • Eine weitere Ausführungsform des erfindungsgemäßen Verfahrens zeichnet sich dadurch aus, dass zum Erhalt eines elektro-optischen Modulators als die elektro-optische Einrichtung weiterhin folgende Schritte durchgeführt werden:
    • - auf dem aktiven Element wird eine dielektrische Schicht hergestellt, bevorzugt eine dielektrische Schicht mit oder aus wenigstens einem Oxid und/oder Nitrid, besonders bevorzugt mit oder aus Aluminiumoxid und/oder Siliziumnitrid und/oder Hafniumoxid, und
    • - auf der von dem aktiven Element abgewandten Oberseite der dielektrischen Schicht wird ein weiteres aktives Element vorgesehen, wobei das weitere aktive Element bevorzugt derart versetzt zu dem aktiven Element angeordnet wird, dass das aktive Element und das weitere aktive Element abschnittsweise übereinander liegen.
  • Es sei angemerkt, dass alternativ zu dem weiteren aktiven Element mit oder aus einem Material, welches absorbiert und ein Photosignal ausgibt und/oder seinen Brechungsindex ändert, auch eine Elektrode aus elektrisch leitfähigem Material vorgesehen werden kann. Mit anderen Worten genügt bei einem Modulator alternativ zu zwei aktiven Elementen auch ein aktives Element und eine konventionelle Elektrode. Ist anstelle eines der aktiven Elemente eine Elektrode vorgesehen, kann diese - in Analogie zum aktiven Element - in Form eines ggf. mehrlagigen Filmes vorliegen, etwa als ein- oder mehrlagiger Metallfilm.
  • Die beiden aktiven Elemente bzw. das eine aktive Element und die Elektrode werden bevorzugt voneinander beabstandet und derart versetzt zueinander angeordnet, dass sie abschnittsweise übereinanderliegen. Mit anderen Worten fluchtet bzw. überlappt dann ein Abschnitt des einen aktiven Elementes mit einem Abschnitt des anderen aktiven Elementes bzw. der Elektrode, ohne dass sich diese berühren. Bevorzugt gilt zumindest im Bereich des Übereinanderliegens, mit anderen Worten im Überlappungsbereich, dass sich die beiden aktiven Elemente bzw. das aktive Element und die Elektrode bzw. zumindest Abschnitte dieser zumindest im Wesentlichen parallel zueinander erstrecken.
  • Auch bei einem Modulator mit zwei aktiven Elementen bzw. einem aktiven Element und einer konventionellen Elektrode kann ferner gelten, dass das jeweilige aktive Element bzw. das eine aktive Element und die Elektrode in Form eines Filmes hergestellt wird.
  • Weist ein Modulator ein weiteres aktives Element auf, kann sich dieses ebenfalls durch die vorstehend sowie nachfolgend im Zusammenhang mit einem aktiven Element als bevorzugt beschriebenen Merkmale auszeichnen. Die Ausgestaltung als Film ist nur ein Beispiel. Es kann ferner nur eines oder können auch mehrere der bevorzugten Merkmale verwirklicht sein.
  • Ein elektro-optischer Modulator kann insbesondere zur optischen Signalcodierung verwendet werden. Ein elektro-optischer Modulator kann auch als Ringmodulator ausgebildet sein. Ein Photodetektor kann bevorzugt der Signalwandlung zurück von der optischen in die elektronische Welt und/oder umgekehrt dienen.
  • Im Falle eines Modulators kann, wie vorstehend dargelegt, eine elektrische Steuerelektrode und ein dazu zweckmäßiger Weise isoliertes aktives Element mit oder aus wenigstens einem Material, dessen Brechungsindex sich in Abhängigkeit einer Spannung bzw. von Ladungen bzw. einem elektrischen Feld ändert, insbesondere aus Graphen vorgesehen sein bzw. auch die Elektrode aus einem entsprechenden Material, insbesondere Graphen, bestehen, so dass im Betrieb dann zwei aktive Elemente gemeinsam im evaneszenten Feld sind und die elektro-optische Funktion ausführen. Graphen beispielsweise kann durch eine Steuerspannung seine optischen Eigenschaften ändern. Im besonders vorteilhaften Fall einer Graphen-Dielektrikum-Graphen-Anordnung entsteht eine Kapazität und die beiden Filme Graphen beeinflussen sich gegenseitig. Durch eine Spannung wird die Kapazität bestehend aus den Graphen-Elektroden bildenden beiden aktiven Elementen geladen und die Elektronen besetzen Zustände im Graphen. Daraus ergibt sich eine Verschiebung der Fermienergie (Energie des letzten besetzten Zustands im Kristall) zu höheren Energien (oder wegen Symmetrie zu niedrigeren). Erreicht die Fermienergie die halbe Energie der Photonen, können diese nicht mehr absorbiert werden, weil die für den Absorptionsvorgang erforderlichen freien Zustände bei der richtigen Energie bereits besetzt sind. In diesem Zustand ist das Graphen folglich transparent, weil die Absorption verboten ist. Durch Wechsel der Spannung wird das Graphen zwischen absorbierend und transparent hin und her geschaltet. Ein kontinuierlich leuchtender Laserstahl wird in seiner Intensität moduliert und kann so zur Informationsübertragung eingesetzt werden. Ebenfalls ändert sich der Realteil des Brechungsindexes mit der Steuerspannung. Durch Wechsel der Spannung kann über den sich ändernden Brechungsindex die Phasenlage eines Lasers moduliert und so Phasenmodulation erreicht werden. Bevorzugt wird die Phasenmodulation in einem Bereich betrieben, in dem alle Zustände bis über der halben Photonenenergie besetzt sind, so dass das Graphen transparent ist und sich maßgeblich der Realteil des Brechungsindexes verschiebt und die Änderung der Absorption eine untergeordnete Rolle spielt.
  • In weiterer bevorzugter Ausgestaltung wird das bzw. das jeweilige aktive Element auf bzw. oberhalb der Oberseite der Planarisierungsschicht vorgesehen, indem wenigstens ein geeignetes Material aufgebracht, insbesondere abgeschieden wird. Eine Abscheidung kann - in Analogie zu der Planarisierungsschicht - beispielsweise durch chemische Gasphasenabscheidung (englisch: chemical vapor deposition, kurz CVD), bevorzugt Niederdruck chemische Gasphasenabscheidung (englisch: low pressure chemical vapor deposition, kurz: LPCVD), und/oder plasmaunterstütze chemische Gasphasenabscheidung (englisch plasma enhanced chemical vapor deposition, kurz: PECVD) und/oder durch physikalische Gasphasenabscheidung (englisch: physical vapor deposition) erfolgen. Auch hier gilt, dass sämtliche vorbekannten Verfahren dieser Art Anwendung finden können.
  • Es ist auch möglich, dass das bzw. das jeweilige aktive Element mittels eines Transferverfahrens auf der Oberseite der Planarisierungsschicht vorgesehen wird. Dies bedeutet insbesondere, dass das (jeweilige) Element nicht monolithisch auf der Planarisierungsschicht hergestellt wird, sondern separat hergestellt und dann transferiert, mit anderen Worten übertragen wird. Ein Transferverfahren für Graphen ist beispielsweise aus den Aufsätzen „ Large-Area Synthesis of High-Quality and Uniform Graphene Films on Copper Foils‟ von Li et al., Science 324, 1312, (2009) und „Roll-to-roll production of 30-inch graphene films for transparent electrodes“ von Bae et al, Nature Nanotech 5, 574-578 (2010) bzw. für LiNbO aus dem Aufsatz „ Integrated lithium niobate electro-optic modulators operating at CMOS-compatible voltages‟, Nature volume 562, pages101104 (2018) bzw. U.a. für GaAs aus dem Aufsatz „Transfer print techniques for heterogeneous integration of photonic components“, Progress in Quantum Electronics Volume 52, March 2017, Pages 1-17 bekannt. Eines dieser Vefahren kann auch im Rahmen der vorliegenden Erfindung angewendet werden, um eine oder mehrere Graphen- bzw. LiNbO- bzw. GaAs-Schichten/-Filme auf der Planarisierungsschicht zu erhalten.
  • Auch für das bzw. die aktiven Elemente kommt alternativ oder zusätzlich zu den vorgenannten Verfahren die Atomlagenabscheidung in Frage.
  • Auf bzw. oberhalb wenigstens eines aktiven Elementes kann ferner eine dielektrische Schicht vorgesehen werden.
  • Die oder wenigstens eine elektro-optische Einrichtung - sowohl im Falle eines Modulators als auch im Falle eines Detektors - kann ferner als solche mit plasmonischer Kopplung ausgebildet sein bzw. hergestellt werden.
  • Dann ist bzw. wird zweckmäßiger Weise auf oder oberhalb des oder wenigstens eines der aktiven Elemente wenigstens eine plasmonische Struktur aus oder mit einem plasmonisch aktiven Material, bevorzugt Gold und/oder Silber und/oder Aluminium und/oder Kupfer, vorgesehen. Die plasmonische Struktur umfasst bevorzugt wenigstens ein Paar von nebeneinander angeordneten plasmonischen Elementen aus oder mit dem plasmonisch aktiven Material. Die plasmonischen Elemente können sich durch einen sich in Richtung des jeweils anderen plasmonischen Elementes verjüngende Abschnitt auszeichnen. Die plasmonischen Elemente können sich beispielsweise durch eine dreieckige Form auszeichnen.
  • Es kann auch sein, dass längliche plasmonische Elemente vorgesehen sind bzw. werden, dies bevorzugt im Falle eines Modulators. Längliche plasmonische Elemente können zumindest im Wesentlichen parallel zu einem Wellenleiter angeordnet sein bzw. werden. Dann werden mit anderen Worten optische und plasmonische Wellenleiter parallel am aktiven Element vorbeigeführt, wie in der Publikation„Efficient electro-optic modulation in low-loss graphene-plasmonic slot waveguides‟, von Zhu et al., Optics Communications (2019), doi: https://doi.org/10.1016/j.optcom.2019.124559 beschrieben.
  • Das oder wenigstens eines der aktiven Elemente wird darüber hinaus zweckmäßiger Weise derart relativ zu einem Wellenleiter angeordnet, dass es zumindest abschnittsweise dem evaneszenten Feld von elektromagnetischer Strahlung, die mit dem Wellenleiter geführt wird, ausgesetzt ist. Bevorzugt ist wenigstens ein aktives Element in einem Abstand kleiner gleich 50 nm, besonders bevorzugt kleiner gleich 30 nm zu wenigstens einem Wellenleiter angeordnet, beispielsweise in einem Abstand von 10 nm.
  • Bevorzugt gilt, dass die Resit-Planarisierung mit der (ein- oder mehrmalige) Spin-on-Glas-Beschichtung und anschließender Ätzbehandlung derart erfolgt, dass die Schichtdicke der Planarisierungsschicht oberhalb des Wellenleiters bzw. zumindest eines Abschnitts dieses kleiner gleich 50 nm, bevorzugt kleiner gleich 30 nm ist, beispielsweise 10 nm beträgt. Wird dann ein aktives Element im Bereich dieser Schichtdicke auf der Planarisierungsschicht angeordnet, liegt ein entsprechender Abstand vor.
  • Es sei angemerkt, dass insbesondere derjenige Abschnitt, bevorzugt Längsabschnitt des Wellenleiters, oberhalb dem das aktive Element - und das ggf., insbesondere bei einem Modulator, vorhandene weitere aktive Element - angeordnet ist, einen Bestandteil der elektro-optischen Einrichtung bilden kann bzw. bildet.
  • Besonders bevorzug wird ferner ein Film als aktives Element vorgesehen bzw. werden Filme als aktive Elemente vorgesehen. Ein Film zeichnet sich bevorzugt in an sich bekannter Weise durch eine deutlich größere laterale Ausdehnung als Dicke aus. Das wenigstens eine aktive Element einer oder mehrerer elektro-optischer Einrichtungen kann sich ferner durch einen quadratischen oder rechteckigen Querschnitt auszeichnen.
  • Eines oder mehrere aktive Elemente können mehrere Lagen bzw. Schichten aus wenigstens einem Material, dessen Brechungsindex sich ändert und/oder das absorbiert, umfassen oder aus einer oder mehreren Lagen bzw. Schichten wenigstens eines solchen gebildet sein. Insbesondere kann vorgesehen sein, dass wenigstens ein aktives Element als Film mehrere Lagen bzw. Schichten aus einem oder auch verschiedenen Materialien umfasst.
  • Zum Erhalt von aktiven Elementen für eine Mehrzahl von elektro-optischen Einrichtungen kann wenigstens ein sich gegebenenfalls über die gesamte laterale Ausdehnung beispielsweise eines Wafers, auf dem mehrere Wellenleiter vorgesehen sind, erstreckender Film (mit einer oder auch mehreren Lagen) vorgesehen, beispielsweise abgeschieden werden, und aus diesem großen Film kann durch ein geeignetes Strukturierungsverfahren, das beispielsweise Lithografie und/oder Ätzen einschließen kann, eine Mehrzahl von in einer Ebene nebeneinander liegenden kleineren Film- bzw. Schicht-förmige aktive Elemente für die Mehrzahl der Einrichtungen erhalten wird bzw. wurde. So können mit vergleichsweise geringem Aufwand viele aktive Elemente für eine Vielzahl von elektro-optischen Einrichtungen erhalten werden.
  • Auch an ein Transferverfahren kann sich eine Strukturierung anschließen.
  • Das - bei mehreren das jeweilige - aktive Element wird bevorzugt direkt auf der Planarisierungsschicht vorgesehen, etwa auf dieser hergestellt oder angeordnet, dies zweckmäßiger Weise in dem (jeweiligen) der Ätzbehandlung unterzogenen Bereich und oberhalb des (jeweiligen) Abschnitts, insbesondere Längsabschnitts des Wellenleiters. Es ist aber auch nicht ausgeschlossen, dass auf der Planarisierungsschicht zunächst noch wenigstens eine weitere Schicht vorgesehen, etwa abgeschieden bzw. angeordnet wird, und das aktive Element auf der bzw. der obersten weiteren Schicht vorgesehen wird. Dann befindet es sich zwar nicht direkt auf aber oberhalb der Planarisierungsschicht. Dies ist insbesondere möglich, da Material beispielsweise konform auf die Planarisierungsschicht abgeschieden werden kann, wobei die Oberflächeneigenschaften einschließlich der Topologie und Rauheit erhalten bleiben, sich praktisch in die Oberseite einer ggf. vorhandenen weiteren Schicht bzw. Schichten niederschlagen können.
  • In weiterer vorteilhafter Ausgestaltung werden dem (jeweiligen) aktiven Element zugeordnete Kontaktelemente hergestellt. Das bzw. das jeweilige Element kann beispielsweise an einer Seite oder auch jeweils an gegenüberliegenden Seiten mit einem Kontaktelement verbunden werden.
  • Befindet sich der Wellenleiter auch einem Chip oder Wafer mit integrierten elektronischen Bauteilen, kann eines bzw. können mehrere Kontaktelemente das (jeweilige) aktive Element mit Verbindungselementen, insbesondere VIAs, verbinden, über die wiederum eine Verbindung mit einem oder mehreren integrierten elektronischen Bauteilen erzielt wird. Es können sich durch die Planarisierungsschicht erstreckende Verbindungselemente im Rahmen des erfindungsgemäßen Verfahrens hergestellt werden.
  • Es sei angemerkt, dass insbesondere im Falle eines Detektors mit nur einem aktiven Element vorgesehen sein kann, dass dieses - insbesondere zur Verbindung mit einem oder mehreren elektronischen Bauteilen - mit zwei Kontakten bzw. Kontaktelementen in Kontakt steht, bevorzugt an gegenüberliegenden Seiten, und im Falle eines Modulators mit zwei aktiven Elementen bzw. einem aktiven Element und einer Elektrode gilt, dass diese - insbesondere zur Verbindung mit einem oder mehreren integrierten elektronischen Bauteilen - jeweils mit einem Kontakt bzw. Kontaktelement in Kontakt stehen. Dies bevorzugt an denjenigen Endbereichen bzw. Enden, die von dem Bereich abgewandt sind, indem sie abschnittsweise übereinanderliegen bzw. überlappen.
  • Auch kann vorgesehen sein, dass wenigstens eine, bevorzugt zwei Gateelektroden vorgesehen werden. Insbesondere bei einer als Photodetektor ausgebildeten elektro-optischen Einrichtung können bevorzugt zwei Gateelektroden dem bzw. einem aktiven Element zugeordnet werden. Diese werden bevorzugt derart ausgestaltet und angeordnet, dass über diese die Ladungsträgerkonzentration im aktiven Element, beispielsweise Graphenfilm, eingestellt und so z.B. ein pn-Übergang erzielt werden kann. Die Gateelektroden werden bevorzugt in einem geeigneten Abstand zu dem aktiven Element angeordnet und von diesem elektrisch isoliert, beispielsweise über eine dielektrische Schicht. Es kann sein, dass auf dem aktivem Element eine dielektrische Schicht vorgesehen wird und die Gateelektroden auf dieser angeordnet, etwa darauf hergestellt oder auf diese transferiert werden.
  • Die Erfindung betrifft auch eine elektro-optische Einrichtung, die unter Durchführung des erfindungsgemäßen Verfahrens erhalten wurde.
  • Ein weiterer Gegenstand der Erfindung ist eine Halbleitereinrichtung umfassend einen Chip und wenigstens eine, bevorzugt mehrere erfindungsgemäße elektro-optische Einrichtungen.
  • Schließlich betrifft die Erfindung eine Halbleitervorrichtung umfassend einen Wafer und wenigstens eine, bevorzugt mehrere erfindungsgemäße elektro-optische Einrichtungen.
  • Die elektro-optische Einrichtung ist bzw. die elektro-optischen Einrichtungen sind bevorzugt auf dem Chip bzw. Wafer, insbesondere auf dem Back-End-of-Line vorgesehen.
  • Unter einem Wafer ist dabei bevorzugt in aus dem Stand der Technik hinlänglich vorbekannter Weise eine Komponente bzw. ein Element bzw. eine Einrichtung zu verstehen, aus dem bzw. aus der durch Wafer-Dicing, das im Deutschen auch als Wafer-Zerkleinern bezeichnet wird, eine Mehrzahl von Chips erhalten wird. Ein Wafer weist zweckmäßiger Weise eine oder mehrere Markierungen auf, entlang der bzw. derer im Rahmen des Dicings das Zerteilen erfolgen kann bzw. zu erfolgen hat. Das Dicing bzw. Zerkleinern kann beispielsweise ein (Laser-)Schneiden bzw. Sägen bzw. Ritzen bzw. Brechen des Wafers einschließen. Im Englischen wird ein einzelner bzw. vereinzelter Chip auch als Die bezeichnet bzw. werden Chips im Plural auch als Dies oder Dice bezeichnet. Es sei angemerkt, dass die nach dem Dicen vorliegenden Chips teilweise auch als Nacktchips bzw. im Englischen bare chips oder bare dies bezeichnet werden. „Nackt‟ bezieht sich dabei darauf, dass die Chips noch nicht in ein Gehäuse (englisch: package) eingesetzt wurden. Vorliegend werden auch „nackte‟ Chips ohne Gehäuse kurz als Chips bezeichnet.
  • Wird ein Wafer - oder auch Chip - im Querschnitt betrachtet, lässt sich sein vertikaler Aufbau in unterschiedliche Teilbereiche einteilen. Der unterste Teil ist das Front-End-of-Line oder kurz FEOL, das eines oder mehrere integrierte elektronische Bauteile umfasst. Bei dem bzw. den integrieren elektronischen Bauteilen kann es sich beispielsweise um Transistoren und/oder Kondensatoren und/oder Widerstände handeln. Über dem Front-End-of-Line befindet sich das Back-End-of-Line oder kurz BEOL, in dem in der Regel verschiedene Metallebenen liegen, mittels derer die integrierten elektronischen Bauteile des FEOL verschaltet werden.
  • Ein Wafer umfasst eine Mehrzahl von Bereichen, die im Anschluss an das Dicen/Zerkleinern/Vereinzeln jeweils einen Chip bzw. Die bilden. Diese Bereiche werden vorliegend auch als Chip- bzw. Die-Bereiche bezeichnet. Jeder Chipbereich des Wafers umfasst bevorzugt einen Abschnitt bzw. Teilbereich des insbesondere einteiligen Halbleitersubstrats des Wafers. Bevorzugt weist ferner jeder Chipbereich einen oder mehrere integrierte elektronische Bauteile auf, die sich in und/oder auf dem entsprechenden Bereich des Halbleitersubstrats - im Querschnitt betrachtet insbesondere im FEOL - erstrecken.
  • Wird ein Wafer oder Chip mit mehreren insbesondere auf dem Back-End-of-Line angeordneten Wellenleitern bereitgestellt, werden bevorzugt mehrere elektro-optische Einrichtungen auf die erfindungsgemäße Weise hergestellt, von denen zweckmäßiger Weise jede einem Wellenleiter zugeordnet ist und einen Abschnitt, insbesondere Längsabschnitt dieses umfassen kann.
  • Es kann sein, dass der bzw. die integrierten elektronischen Bauteile mehrerer, insbesondere aller Chipbereiche des Wafers gleich sind. Dann kann durch Dicen eine Mehrzahl identischer Chips erhalten werden.
  • Hinsichtlich der Ausgestaltungen der Erfindung wird auch auf die Unteransprüche sowie auf die nachfolgende Beschreibung mehrerer Ausführungsbeispiele unter Bezugnahme auf die beiliegende Zeichnung verwiesen.
  • In der Zeichnung zeigt:
    • 1 einen auf einem Wafer angeordneten Wellenleiter in rein schematischer Schnittdarstellung;
    • 2 den Wellenleiter aus 1 mit darauf hergestellter Planarisierungsschicht;
    • 3 den Wellenleiter aus 1 mit darauf hergestellter Planarisierungsschicht und auf der Planarisierungsschicht hergestellter Spin-on-Glas-Beschichtung;
    • 4 die Anordnung aus 3, nachdem eine RIE-Ätzbehandlung erfolgt ist;
    • 5 die Anordnung aus 4 mit einer weiteren Spin-on-Glasbeschichtung, die nach dem Ätzvorgang auf der Planarisierungsschicht hergestellt wurde;
    • 6 die Anordnung aus 5, nachdem nochmals ein RIE-Ätzen erfolgt ist;
    • 7 eine REM-Aufnahme einer zu 2 korrespondierenden Anordnung;
    • 8 eine REM-Aufnahme der Anordnung aus 7 nach einer einmaligen Resist-Planarisierungsbehandlung;
    • 9 eine REM-Aufnahme der Anordnung aus 8, nachdem eine zweite Resist-Planarisierungsbehandlung erfolgt ist;
    • 10 eine REM-Aufnahme der Anordnung aus 9, nachdem eine dritte Resist-Planarisierungsbehandlung erfolgt ist;
    • 11 die Anordnung aus 6 mit einem auf der Planarisierungsschicht vorgesehenen Graphenfilm;
    • 12 die Anordnung aus 11 mit Kontakt- und Verbindungselementen;
    • 13 eine Aufsicht auf den Graphenfilm, die Kontaktelemente und den Wellenleiter aus 12;
    • 14 eine in weiten Teilen zu der Anordnung aus 12 korrespondierende Anordnung mit einer als Modulator ausgebildeten erfindungsgemäßen elektro-optischen Einrichtung, die zwei Graphenfilme umfasst;
    • 15 eine zu der Anordnung aus 4 korrespondierende, weitere Anordnung, bei der anstelle eines Streifenwellenleiters ein Rippenwellenleiter vorgesehen ist; und
    • 16 ein Blockdiagramm mit den Schritten eines Ausführungsbeispiels des erfindungsgemäßen Verfahrens.
  • Alle Figuren zeigen rein schematische Darstellungen. In den Figuren sind gleiche Komponenten bzw. Elemente mit gleichen Bezugszeichen versehen.
  • Die 1 zeigt eine Teilschnittdarstellung durch eine Schicht aus SiO2 1, auf deren in der Figur nach oben weisenden Oberseite 2 ein Wellenleiter 3 angerordnet ist. Die SiO2-Schicht befindet sich auf einem in der 1 nicht erkennbaren, jedoch in 12 dargestellten Wafer 4.
  • Auf der Oberseite 2 der Schicht 1 ist bei dem dargestellten Ausführungsbeispiel eine Vielzahl von Wellenleitern 3 angeordnet, für die in 1 der eine Wellenleiter 3 beispielhaft gezeigt ist. Als Wellenleitermaterialien kommen insbesondere Dielektrika, vorzugsweise Titandioxid in Frage, welches auch bei dem dargestellten Ausführungsbeispiel verwendet wurde, wobei dies beispielhaft zu verstehen ist. Was die Abmessungen des bzw. der Wellenleiter 3 angeht, gilt bevorzugt, dass deren Dicke im Bereich von 150 Nanometern bis 10 Mikrometer liegt und sich deren Breite und Länge im Bereich von 100 Nanometer bis 10 Mikrometer bewegt. Der beispielhaft 1 gezeigte Wellenleiter 3 ist 300 nm dick, hat eine Breite von 400 nm und zeichnet sich durch eine - zu der Zeichenebene senkrecht orientierte - Länge von 5 Mikrometern aus.
  • Auch dass die Schicht 1 aus SiO2 besteht ist rein beispielhaft zu verstehen. Auf dem Wafer 4 könnte beispielsweise auch eine Schicht aus einem anderen Material vorgesehen sein. Die Wellenleiter 3 könnten ferner auch direkt auf der in 12 nach oben weisenden Oberseite 5 des Wafers 4 angeordnet sein.
  • Es sollen für mehrere der Wellenleiter 3 elektro-optische Einrichtungen 6, konkret Photodetektoren bzw. Modulatoren hergestellt werden, was unter Durchführung des im Folgenden beschriebenen Ausführungsbeispiel des erfindungsgemäßen Verfahrens möglich ist. Die Herstellung der elektro-optischen Einrichtungen 6 wird teilweise beispielhaft anhand des einen, in 1 erkennbaren Wellenleiters 3 beschrieben.
  • Nach der Bereitstellung des Wafers 4 mit den Wellenleitern 3 (Schritt S1) wird in einem zweiten Schritt S2 eine Planarisierungsschicht 7 hergestellt, die sich zumindest über einen Abschnitt des jeweiligen Wellenleiters 3 und an zwei gegenüberliegenden Seite über den jeweiligen Wellenleiter 3 hinaus erstreckt. Bei dem hier beschriebenen Beispiel wird eine sich flächig über die gesamte Oberseite 2 der auf dem Wafer 4 vorgesehenen Schicht 1 erstreckende Planarisierungsschicht 7 hergestellt. Diese erstreckt sich entsprechend über und zwischen allen auf der Oberseite 2 angeordneten Wellenleitern 3, so dass diese Anforderung erfüllt ist. Wie man in der 2, welche die im Anschluss an die Herstellung der Planarisierungsschicht 7 erhaltene Anordnung - im gleichen Teilschnitt wie in 1 - zeigt, beispielhaft für den einen Wellenleiter 3 erkennen kann, befindet sich sowohl auf als auch zu beiden Seiten des Wellenleiters 3 Material der Planarisierungsschicht 7.
  • Zum Erhalt der Planarisierungsschicht 7 wird ein Beschichtungsmaterial, vorliegend Siliziumdioxid (SiO2), aufgebracht, was beispielsweise durch chemische Gasphasenabscheidung (CVD), etwa Niederdruck chemische Gasphasenabscheidung (LPCVD) oder plasmaunterstütze chemische Gasphasenabscheidung (PECVD), oder physikalische Gasphasenabscheidung oder auch Atomlagendeponierung (ALD) oder Sputtern erfolgen kann. Vorliegend kommt PECVD zum Einsatz. Die 7 zeigt eine Rasterelektronenmikroskop-Aufnahme (kurz REM-Aufnahme) einer Anordnung, wie sie in 2 in rein schematischer Schnittdarstellung enthalten ist. In der REM-Aufnahme sind die Schichtdicken der Planarisierungsschicht 7 eingetragen, die - mit leichter Variation in lateraler Richtung - bei etwa 1,1 µm) liegt, dies sowohl in dem Bereich über dem Wellenleiter 3 als auch zu beiden Seiten dieses.
  • Nachdem das Beschichtungsmaterial deponiert wurde, wird die Oberseite der erhaltenen Planarisierungsschicht 7 einer Planarisierungsbehandlung unterzogen.
  • Für die Planarisierung wird die Planarisierungsschicht 7 zunächst an ihrer von dem Wellenleiter 2 abgewandten Oberseite mit einer Spin-on-Glas-Beschichtung 9 versehen (Schritt S3). Dafür wird ein geeignetes Material, vorliegend Wasserstoff-Silsesquioxan (HSQ), im flüssigen Zustand auf die Planarisierungsschicht 7 aufgeschleudert und anschließend erwärmt. Beim Ausheizen verglast das Material, daher heißt es Spin-on-Glas.
  • Durch die Kinetik von flüssigen Stoffen an Höhenunterschieden ergibt sich ein Planarisierungseffekt. Die HSQ-Schichtdicke ist auf einer Erhebung auf der Oberfläche, vorliegend den Wellenleitern 3, geringer bzw. niedriger als neben diesen. Dieser Effekt kann gut der 3 und entnommen werden, welche die Anordnung aus 2 mit hergestellter Spin-on-Glas-Beschichtung 9 zeigt. Die Schichtdicke oberhalb des Wellenleiters 3 ist geringer als seitlich von diesem.
  • In einem nächsten Schritt S4 erfolgt eine Ätzbehandlung, konkret ein CHF3-basiertes trockenchemisches Ätzen, vorliegend RIE. Im Rahmen dieser wird bis zur Planarisierungsschicht 7 runtergeätzt und die Spin-on-Glas-Beschichtung 9 vollständig und die Planarisierungsschicht 9 partiell entfernt.
  • Die Ätzbehandlung erfolgt in bevorzugter Ausgestaltung derart dass die Ätzrate für die Spin-on-Glas-Beschichtung 9 um maximal 50%, insbesondere maximal 30%, bevorzugt maximal 10% größer oder kleiner ist als die Ätzrate für das Spin-on-Glas. Beispielhafte Werte für Ätzraten sind etwa 45 nm/min für HSQ und 33 nm/min für SiO2. Geeignete Parameter zur Einstellung des Ätzprozesses sind, wie dem Fachmann bekannt ist, beispielsweise Druck und/oder die Zusammensetzung des Gasgemischs und/oder die Leistung zur Erregung des Plasmas und/oder DC Spannung sowie Temperatur der Elektrode.
  • Die Abtragtiefe oberhalb des Wellenleiters 3 stimmt mit der Abtragtiefe in neben dem Wellenleiter 3 liegenden Bereichen überein bzw. ähnelt dieser. Dies führt, da die Schichtdicke der Spin-on-Glas-Beschichtung 9 oberhalb des jeweiligen Wellenleiters 3 dünner war als neben diesem (vgl. die 3) dazu, dass von der darunterliegenden Planarisierungsschicht 7 oberhalb des jeweiligen Wellenleiters 3 mehr weggenommen wird als daneben. Mit anderen Worten wird die ungleichmäßige Verteilung des Spin-on-Glas gezielt genutzt, um die Schichtdicke der Planarisierungsschicht 7 auf dem Wellenleiter 3 stärker zu reduzieren als neben diesem. Da sich bei dem dargestellten Beispiel aufgrund der Kinematik im flüssigen Zustand oberhalb aller Wellenleiter 3 eine Spin-on-Glas-Beschichtung 9 mit im Vergleich zu neben dem jeweiligen Wellenleiter 3 liegenden Bereichen geringerer Dicke ergibt, gilt dies für alle Wellenleiter 3.
  • Das Resultat, insbesondere die oberhalb des Wellenleiters 3 geringere Schichtdicken geht - rein schematisch - aus der 4 hervor, welche die Anordnung aus 3 nach der Ätzbehandlung zeigt. Die Spin-on-Glas-Beschichtung 9 ist vollständig abgetragen worden und die Planarisierungsschicht 7 nur partiell. Die 8 zeig eine REM-Aufnahme der Anordnung aus 7 nach einer entsprechenden Ätzbehandlung. Wie man sieht, beträgt bei diesem Beispiel die verbliebene Schichtdicke der Planarisierungsschicht 7 oberhalb des Wellenleiters 3 nur noch 581,2 nm im Vergleich zu einer größeren Schichtdicke von 742,9 nm neben diesem.
  • Bei Bedarf können die Schritte des Versehens der Planarisierungsschicht 7 mit einer Spin-on-Glas-Beschichtung 9 und der Ätzbehandlung noch ein Mal oder auch mehrere Male wiederholt werden, um eine noch flachere Topologie zu erhalten.
  • So kann in einem Schritt S5 eine weitere Spin-on-Glas-Beschichtung 9 auf der Planarisierungsschicht 7 vorgesehen werden, insbesondere auf die gleiche Weise, wie die erste Spin-on-Glas-Beschichtung 9. Die 5 zeigt die Anordnung aus 4 mit einer erneuten Spin-on-Glas-Beschichtung 9, die im Anschluss an den (ersten) Ätzvorgang hergestellt wurde.
  • Ein erneutes Ätzen (Schritt S6), bevorzugt mit den gleichen Parametern wie in Schritt S4, liefert als Ergebnis die in 6 gezeigt Anordnung. Wie man erkennt, ist die Schichtdicke der Planarisierungsschicht 7 auf dem Wellenleiter 3 geringer als in 4.
  • Die 9 und 10 zeigen REM-Aufnahmen der Anordnung aus 8 nach einem zweiten und dritten Durchgang der Schritte des Versehens der Planarisierungsschicht 7 mit einer Spin-on-Glas-Beschichtung 9 und anschließendem Ätzen. Die Schichtdicke der Planarisierungsschicht 7 auf dem Wellenleiter 3 nimmt weiter ab, beträgt in 9 noch 97,40 nm und in 10 nahezu Null bzw. Null.
  • Nach der vorstehend beschriebenen Planarisierungsbehandlung mit nur einem oder auch zwei oder mehr Durchgängen von Spin-on-Glas-Beschichtung 9 und anschließendem Ätzen, wird in einem nächsten Schritt (vorliegend Schritt S7 nach einer Wiederholung) auf der Planarisierungsschicht 7 und oberhalb des Wellenleiters 3 ein aktives Element 10 vorgesehen, welches wenigsten ein Material umfasst oder aus wenigstens einem Material besteht, das elektromagnetische Strahlung wenigstens einer Wellenlänge absorbiert und infolge der Absorption ein elektrisches Photosignal erzeugt, und/oder dessen Brechungsindex sich in Abhängigkeit einer Spannung und/oder dem Vorhandensein von Ladung(en) und/oder einem elektrischen Feld ändert.
  • Bei dem beschriebenen Ausführungsbeispiel wird ein Graphenfilm 10 als aktives Element auf der Planarisierungsschicht 7 und oberhalb des jeweiligen Wellenleiters 3 deponiert, vorliegend mit Hilfe eines Transferverfahrens, wie es weiter oben näher beschrieben ist. Dann wird insbesondere ein auf einem separaten Substrat bzw. einer separaten Metallfolie bzw. einem separaten Germaniumwafer hergestellter Graphenfilm 10 auf die weitere Planarisierungsschicht 13 oberhalb des (jeweiligen) Wellenleiters 3 übertragen. Es ist auch möglich, dass einer oder mehrere Graphenfilme 10 direkt auf der weiteren Planarisierungsschicht 13 hergestellt werden, jeweils oberhalb eines Welleiters. Dies kann beispielsweise eine Materialabscheidung und ggf. eine anschließende Strukturierung einschließen.
  • Typische Abmessungen für aktive Elemente sind im Bereich 5 bis 500 µm Länge auf dem Wellenleiter entlang der Ausbreitungsrichtung des Lichts (orthogonal zur Zeichenebene der 1 bis 12, 14 und 15) und 1 bis 50 µm Breite quer zur Ausbreitungsrichtung.
  • Der bzw. der jeweilige Graphenfilm 10 ist derart relativ zu dem bzw. dem jeweiligen Wellenleiter 3 angeordnet, dass er zumindest abschnittsweise dem evaneszenten Feld von elektromagnetischer Strahlung, die mit dem bzw. dem jeweiligen Wellenleiter 3 geführt wird bzw. werden kann, ausgesetzt ist.
  • Zweckmäßiger Weise werden für den jeweiligen Graphenfilm 10 anschließend noch Kontaktelemente 11 hergestellt (Schritt S8), über welche eine Verbindung zu Verbindungselementen, konkret VIAs (Vertical Interconnect Access) 12 erzielt wird, die sich durch die Planarisierungsschicht 7, die SiO2-Schicht 1 und Abschnitte des Wafers 4 erstrecken. Die Kontaktelemente 11 sind auch in der Aufsicht aus 13 zu erkennen. Die Kontaktelemente 11 werden vorliegend hergestellt, indem wenigstens ein Metall vollflächig abgeschieden wird und dann eine Strukturierung mittels Lithographie und RIE erfolgt. Als beispielhafte Metalle für die Kontaktelemente 11 seien Nickel und/oder Titan und/oder Aluminium und/oder Kupfer und/oder Chrom und/oder Palladium und/oder Platin und/ oder Gold und/oder Silber genannt. Im Rahmen der Strukturierung können Kontaktelemente 11 für eine Vielzahl von Graphenfilmen 10 hergestellt werden.
  • Es sei angemerkt, dass es prinzipiell auch möglich ist, dass zunächst die Kontaktelemente 11 hergestellt werden, ggf. auch nur partiell, etwa wenigstens eine erste Lage bzw. erste Lagen von solchen, und dann der bzw. der jeweilige Graphenfilm 10 vorgesehen wird.
  • Über die Kontaktelemente 11 und die VIAs 12 kann eine elektrische Verbindung des Graphenfilms 10 mit integrierten elektronischen Bauteilen 13 des Wafers 4 realisiert werden. Die VIAs 12 sind nur in der 12 dargestellt, in welcher auch der Wafer mit den integrierten elektronischen Bauteilen 13 erkennbar ist. Die Bauteile 13, die bevorzugt Transistoren und/oder Kondensatoren und/oder Widerstände umfassen, sind in der rein schematischen 12 nur vereinfacht durch eine mit dem Bezugszeichen 13 versehene Linie mit Schraffur angedeutet. Die Bauteile 13 befinden sich in hinlänglich vorbekannter Weise in einem Front-End-of-Line (Kurz FEOL) 14 des Wafers 4. Darüber liegt das Back-End-of-Line (kurz BEOL) 15, in dem bzw. über das die integrierten elektronischen Bauteile 13 mittels verschiedener Metallebenen verschaltet sind. Die integrierten elektronischen Bauteile 13 im FEOL 14 und die zugehörige Verschaltung einschließlich der VIAs 12 im BEOL 15 bilden integrierte Schaltkreise des Wafers 4. Die integrierten Schaltkreise erstrecken sich in einem Halbleiter-, vorliegend Siliziumsubstrat 16 des Wafers 4.
  • Es sei angemerkt, dass die sich durch den Wafer 4 und die darauf befindliche SiO2-Schicht 1 erstreckenden VIAs 7 bzw. Abschnitte bereits in dem Wafer 4 und der SiO2-Schicht 1 vorhanden waren, als diese im ersten Schritt bereitgestellt wurden. Die VIAs 12 bzw. Abschnitte solcher, die sich durch Planarisierungsschicht 7 erstrecken, werden bzw. wurden zweckmäßiger Weise zusammen mit der Planarisierungsschicht 7 hergestellt. Die Herstellung kann auf beliebige, aus dem Stand der Technik vorbekannte Weise erfolgen. Insbesondere können Bereiche, in welchen sich diese erstrecken sollen, bevorzugt durch Lithographie definiert und mittels RIE trockenchemisch geätzt werden. Danach kann metallisiert und die metallisierte Oberfläche beispielsweise mittels CMP (Damascene-Prozess) oder mittels Lithografie und RIE strukturiert werden.
  • Im Ergebnis wird eine bzw. werden mehrere elektro-optische Einrichtungen - im Falle des Beispiels gemäß der 11 mit einem Graphenfilm 10 - ein Photodetektor erhalten, der neben dem Graphenfilm 10 den darunterliegenden Längsabschnitt des Wellenleiters 3 umfasst. Der Graphenfilm 10 und darunterliegende Wellenleiter 3 können auch der rein schematischen Aufsicht gemäß 13 entnommen werden. Wie man sieht, ist die Längsausdehnung des Wellenleiters 3 hier deutlich größer als die Ausdehnung des Graphenfilms 10 in Längsrichtung.
  • Optional ist es möglich, dass eine elektro-optische Einrichtung 6 hegestellt wird, die sich durch eine plasmonische Kopplung auszeichnet bzw. eine solche realisiert. Dann wird zweckmäßiger Weise auf oder oberhalb des oder wenigstens eines aktiven Elementes 10 wenigstens eine plasmonische Struktur 17 aus oder mit einem plasmonisch aktiven Material, bevorzugt Gold und/oder Silber und/oder Aluminium und/oder Kupfer, vorgesehen. Die plasmonische Struktur 17 kann dann eines oder mehrere Paare von nebeneinander angeordneten plasmonischen Elementen 18 aus oder mit dem plasmonisch aktiven Material umfassen. Die plasmonischen Elemente können sich durch einen sich in Richtung des jeweils anderen plasmonischen Elementes verjüngende Abschnitt auszeichnen, wie es - rein beispielhaft - in 13 zu erkennen ist. Die plasmonischen Elemente 18, von denen hier sechs Stück 8 (drei Paare) vorgesehen sind, weisen eine dreieckige Form auf. Durch die optische Mode im Wellenleiter 3 werden resonant Dichteschwankungen in der plasmonischen Struktur 17 angeregt. Diese kollektive Bewegung der Elektronenverteilung wird als Plasmon bezeichnet und pflanzt sich in der plasmonischen Struktur 17 fort. Kennzeichnend ist u.a. eine höhere elektrische Feldstärke gegenüber der optischen Mode. Daraus ergibt sich eine stärkere Absorption in Graphen 10 oder im Allgemeinen in einem absorbierenden Material.
  • Oberhalb der elektro-optischen Einrichtung(en) kann noch eine Passivierungsschicht 19 vorgesehen werden. Über diese kann die Anordnung bzw. Schaltung vor Umwelteinflüssen, vor allem Wasser, geschützt werden. Es sei angemerkt, dass die Passivierung 19 in der Aufsicht gemäß 13 nicht gezeigt ist sondern nur die darunterliegende Einrichtung.
  • Insbesondere, um einen Modulator zu erhalten, können an dem bzw. dem jeweiligen Wellenleiter 3 auch zwei aktive Elemente 10 bzw. ein aktives Element 10 und eine Elektrode vorgesehen werden. Die erstere Variante ist beispielhaft in der rein schematischen 14 gezeigt.
  • Für einen Modulator können die vorgenannten Schritte S1 bis S7 identisch sein, wobei dann das in Schritt S7 vorgesehene aktive Element, bevorzugt ebenfalls ein Graphenfilm 10 den in 14 unteren Film 10 darstellt.
  • In Schritt S8 wird dann nur ein Kontaktelement 11 für den unteren Graphenfilm 10 hergestellt.
  • In einem Schritt S9 wird auf dem unteren Graphenfilm 10 eine dielektrische Schicht 18 hergestellt, die bevorzugt wenigstens ein Oxid und/oder Nitrid, besonders bevorzugt Aluminiumoxid und/oder Siliziumnitrid und/oder Hafniumoxid, umfasst oder daraus besteht. Vorliegend wird ein dielektrische Schicht 18 aus Aluminiumoxid hergestellt. Dies kann - in Analogie zu Planarisierungsschicht 7 - durch Abscheidung erfolgen, beispielsweise mittels eines der für diese genannte Abscheidungsverfahren. Es kann sein, dass die dielektrische Schicht 18 flächig über den gesamtem Wafer 4 hergestellt wird.
  • In einem Schritt S10 kann dann das - oder für den Fall, dass für mehrere der Wellenleiter 3 ein Modulator 6 hergestellt wird - das jeweilige weitere aktive Element, vorliegend der (jeweilige) weitere Graphenfilm 10 auf der dielektrischen Schicht oberhalb des (jeweiligen) Wellenleiters 3 vorgesehen werden. Der weitere Graphenfilm 10 wird dabei derart versetzt zu dem ersten, unteren Graphen 10 angeordnet, dass der untere Graphenfilm 10 und der weitere, obere Graphenfilm 10 abschnittsweise übereinander liegen, mit anderen Worten abschnittsweise überlappen. Wie man der 14 entnehmen kann, befindet sich der Überlappungsbereich oberhalb des Wellenleiters 3 und ist ähnlich breit wie dieser. Der zweite Graphenfilm 10 kann die gleiche Ausdehnung haben wie der Erste.
  • In 16 sind sämtliche Schritte S1 bis S10 rein schematisch dargestellt. Diese zeigt damit sowohl die Schritte zum Erhalt eines Photodetektors (mit Schritt S8 endend) als auch die Schritte zum Erhalt eines Modulators (alle Schritte S1 bis S10).
  • Abschließend kann das Kontaktelement 11 für den weiteren, oberen Graphenfilm 10 hergestellt werden, bevorzugt genauso, wie dasjenige für den unteren Film 10.
  • Der Wafer 4 mit den darauf hergestellten elektro-optischen Einrichtungen 6 ist ein Ausführungsbeispiel einer erfindungsgemäßen Halbleitervorrichtung.
  • Es sei angemerkt, dass einer oder mehrere der Wellenleiter 3 alternativ dazu, dass sie, wie in 1 bis 14 dargestellt, als Streifenwellenleiter 3 mit rechteckigem Querschnitt ausgebildet sind, beispielsweise auch als Rippenwellenleiter 3mit einem T-förmigen Querschnitt mit einem ersten, oberen Abschnitt 3 mit schmalerem rechteckigen Querschnitt und einem zweiten, unteren Abschnitt 3b mit deutlich breiterem rechteckigen Querschnitt ausgebildet sein kann. Die 14 zeigt - rein schematisch und beispielhaft - ein zu der 6 korrespondierende Anordnung mit einem Rippenwellenleiter 3.
  • Es ist möglich, dass der Wafer 4, auf dem auf die vorstehend beschriebene Weise mehrere elektro-optische Einrichtungen 6 hergestellt wurden, anschließend gediced wird. Dadurch kann eine Vielzahl von Chips mit integrierten Schaltkreisen erhalten werden, die jeweils wenigstens eine, bevorzugt mehrere elektro-optische Einrichtungen, insbesondere Photodetektoren 6 und/oder Modulator 6 umfassen, die auf erfindungsgemäße Weise hergestellt wurden.
  • Die durch das Dicen erhaltenen „Nacktchips‟ mit elektro-optischen Einrichtungen können dann, wie es auch von herkömmlichen Nacktchips vorbekannt ist, in Gehäuse (englisch: Packages) eingesetzt und einer weiteren Nutzung zugeführt werden.
  • Ein durch Dicen der Halbleitervorrichtung mit dem Wafer 4 und den elektro-optischen Einrichtungen 6 erhaltener Chip mit elektro-optischen Einrichtungen ist ein Ausführungsbeispiel einer erfindungsgemäßen Halbleitereinrichtung.
  • Es sei angemerkt, dass sämtliche Teilschnittdarstellungen nur einen vergleichsweise sehr kleinen Ausschnitt zeigen, konkret einen Ausschnitt, der nur einen kleinen Teil eines Chipbereichs 4 bzw. eines nach dem Dicen erhaltenen Chips zeigt. Sämtliche Teilschnitte repräsentieren somit Schnitte sowohl durch ein Ausführungsbeispiel einer erfindungsgemäßen Halbleitereinrichtung als auch durch ein Ausführungsbeispiel einer erfindungsgemäßen Halbleitervorrichtung. Weiterhin sei angemerkt, dass bereits overhalb eines einzelnen Chipbereichs 4 bzw. Chips ein Mehrzahl von elektro-optischen Einrichtungen 6 vorgesehen werden kann, je nach Anwendungsfall beispielsweise mehrere zehn, mehrere hundert oder sogar mehrere tausend.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • US 9893219 B2 [0002]
  • Zitierte Nicht-Patentliteratur
    • „Identifying suitable substrates for high-quality graphene-based heterostructures‟ von L. Banszerus et al, 2D Mater., Vol. 4, No. 2, 025030, 2017 verwiesen [0014]
    • EN ISO 25178 [0015]
    • EN ISO 25178-6:2010-01 [0015]
    • Li et al., Science 324, 1312, (2009) [0059]
    • Bae et al, Nature Nanotech 5, 574-578 (2010) [0059]
    • „Efficient electro-optic modulation in low-loss graphene-plasmonic slot waveguides‟, von Zhu et al., Optics Communications (2019) [0064]

Claims (12)

  1. Verfahren zur Herstellung einer elektro-optischen Einrichtung, insbesondere eines Photodetektors (6) oder elektro-optischen Modulators (6), bei dem - ein Wellenleiter (3) bereitgestellt wird (S1), - eine zumindest einen Abschnitt des Wellenleiters (3) übergreifende Planarisierungsschicht (7) hergestellt wird (S2), bevorzugt, indem ein Beschichtungsmaterial aufgebracht, insbesondere abgeschieden wird, - die Planarisierungsschicht (7) mit einer Spin-on-Glas-Beschichtung (9) versehen wird (S3), - zumindest im Bereich der Spin-on-Glas-Beschichtung (9) eine bevorzugt trockenchemische Ätzbehandlung erfolgt (S4), im Rahmen derer bevorzugt bis zur Planarisierungsschicht (7) runtergeätzt und bevorzugt die Spin-on-Glas-Beschichtung (9) und ein Teil der Planarisierungsschicht (7) entfernt wird, - optional die Schritte des Versehens der Planarisierungsschicht (7) mit einer Spin-on-Glas-Beschichtung (9) und der Ätzbehandlung wenigstens ein Mal wiederholt werden (S5, S6), und - auf oder oberhalb der Planarisierungsschicht (7) und oberhalb des Wellenleiters (3) ein aktives Element (10) vorgesehen wird (S7), welches wenigsten ein Material umfasst oder aus wenigstens einem Material besteht, das elektromagnetische Strahlung wenigstens einer Wellenlänge absorbiert und infolge der Absorption ein elektrisches Photosignal erzeugt, und/oder dessen Brechungsindex sich in Abhängigkeit einer Spannung und/oder dem Vorhandensein von Ladung(en) und/oder einem elektrischen Feld ändert.
  2. Verfahren nach Anspruch 1, dadurch gekennzeichnet, dass zum Erhalt eines elektro-optischen Modulators (6) als die elektro-optische Einrichtung weiterhin folgende Schritte durchgeführt werden: - auf dem aktiven Element (10) wird eine dielektrische Schicht (17) hergestellt, bevorzugt eine dielektrische Schicht (17) mit oder aus wenigstens einem Oxid und/oder Nitrid, besonders bevorzugt mit oder aus Aluminiumoxid und/oder Siliziumnitrid und/oder Hafniumoxid, und - auf der von dem aktiven Element (10) abgewandten Oberseite der dielektrischen Schicht (17) wird ein weiteres aktives Element (10) vorgesehen, wobei das weitere aktive Element (10) bevorzugt derart versetzt zu dem aktiven Element (10) angeordnet wird, dass das aktive Element (10) und das weitere aktive Element 810) abschnittsweise übereinander liegen.
  3. Verfahren nach Anspruch 1 oder 2, dadurch gekennzeichnet, dass das aktive Element (10) auf bzw. oberhalb der Oberseite der Planarisierungsschicht (7) vorgesehen wird, indem wenigstens ein geeignetes Material aufgebracht, insbesondere abgeschieden wird, oder dass das aktive Element (10) mittels eines Transferverfahrens auf der Oberseite der Planarisierungsschicht (7) vorgesehen wird.
  4. Verfahren nach Anspruch 1 oder 2, dadurch gekennzeichnet, dass ein Film als aktives Element (10) vorgesehen wird, und/oder dass als das wenigstens eine Material des aktiven Elementes (10), das elektromagnetische Strahlung wenigstens einer Wellenlänge absorbiert und infolge der Absorption ein elektrisches Photosignal erzeugt, und/oder dessen Brechungsindex sich in Abhängigkeit einer Spannung und/oder dem Vorhandensein von Ladung(en) und/oder einem elektrischen Feld ändert, Graphen und/oder wenigstens ein Dichalkogenid, insbesondere zweidimensionales Übergangsmetall-Dichalkogenid, und/oder Heterostrukturen aus zweidimensionalen Materialien und/oder Germanium und/oder Lithiumniobad und/oder wenigstens ein elektro-optisches Polymer und/oder Silizium und/oder wenigstens ein Verbindungshalbleiter, insbesondere wenigsten ein Ill-V-Halbleiter und/oder wenigstens ein II-VI-Halbleiter, zum Einsatz kommt.
  5. Verfahren nach einen der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass die Planarisierungsschicht (7) hergestellt wird, indem Beschichtungsmaterial zumindest auf bzw. oberhalb eines Abschnitts des Wellenleiters (3) und auf Bereiche seitlich des Wellenleiters (3) aufgebracht, insbesondere abgeschieden wird.
  6. Verfahren nach einen der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass die Planarisierungsschicht (7) hergestellt wird, indem Beschichtungsmaterial mittels chemischer Gasphasenabscheidung, bevorzugt Niederdruck chemischer Gasphasenabscheidung, und/oder mittels plasmaunterstützer chemischer Gasphasenabscheidung, und/oder mittels physikalischer Gasphasenabscheidung abgeschieden wird.
  7. Verfahren nach einen der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass eine Planarisierungsschicht (7) mit oder aus wenigstens einem Oxid, insbesondere Siliziumdioxid, und/oder mit oder aus wenigstens einem Nitrid und/oder mit oder aus wenigstens einem Polymer hergestellt wird.
  8. Verfahren nach einen der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass die Ätzbehandlung derart erfolgt, dass die Ätzrate für das Material der Planarisierungsschicht (7) um maximal 50%, bevorzugt maximal 30%, besonders bevorzugt maximal 10% größer oder kleiner ist als die Ätzrate für das Spin-on-Glas.
  9. Verfahren nach einen der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass die elektro-optische Einrichtung (6) auf einem oder oberhalb eines Wafers (4) oder auf einem oder oberhalb eines Chips hergestellt wird, und bevorzugt der bereitgestellte Wellenleiter (3) auf dem oder oberhalb des Wafers oder Chips angeordnet ist, wobei der Wafer (4) oder Chip besonders bevorzugt integrierte Schaltkreise mit integrierten elektronischen Bauteilen (13) aufweist.
  10. Elektro-optische Einrichtung (6), die durch das Verfahren nach einem der vorhergehenden Ansprüche erhalten wurde.
  11. Halbleitereinrichtung, umfassend einen Chip und wenigstens eine, bevorzugt mehrere elektro-optische Einrichtungen (6) nach Anspruch 10.
  12. Halbleitervorrichtung, umfassend einen Wafer (4) und wenigstens eine, bevorzugt mehrere elektro-optische Einrichtungen (6) nach Anspruch 10.
DE102020102533.5A 2020-01-31 2020-01-31 Verfahren zur Herstellung einer elektro-optischen Einrichtung, elektro-optische Einrichtung, Halbleitereinrichtung und Halbleitervorrichtung Pending DE102020102533A1 (de)

Priority Applications (8)

Application Number Priority Date Filing Date Title
DE102020102533.5A DE102020102533A1 (de) 2020-01-31 2020-01-31 Verfahren zur Herstellung einer elektro-optischen Einrichtung, elektro-optische Einrichtung, Halbleitereinrichtung und Halbleitervorrichtung
JP2022546653A JP2023512092A (ja) 2020-01-31 2020-12-17 電気光学素子の製造方法および電気光学素子
US17/796,357 US20230117534A1 (en) 2020-01-31 2020-12-17 Method for manufacturing an electro-optical device and electro-optical device
CN202080094961.7A CN115023817A (zh) 2020-01-31 2020-12-17 电光装置的制造方法,以及电光装置
PCT/EP2020/086611 WO2021151584A1 (de) 2020-01-31 2020-12-17 Verfahren zur herstellung einer elektro-optischen einrichtung und elektro-optische einrichtung
EP20839263.9A EP4097765A1 (de) 2020-01-31 2020-12-17 Verfahren zur herstellung einer elektro-optischen einrichtung und elektro-optische einrichtung
KR1020227024504A KR20220133876A (ko) 2020-01-31 2020-12-17 전기 광학 디바이스 및 이의 제조 방법
CA3168436A CA3168436A1 (en) 2020-01-31 2020-12-17 Method for manufacturing an electro-optical device and electro-optical device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE102020102533.5A DE102020102533A1 (de) 2020-01-31 2020-01-31 Verfahren zur Herstellung einer elektro-optischen Einrichtung, elektro-optische Einrichtung, Halbleitereinrichtung und Halbleitervorrichtung

Publications (1)

Publication Number Publication Date
DE102020102533A1 true DE102020102533A1 (de) 2021-08-05

Family

ID=74181106

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102020102533.5A Pending DE102020102533A1 (de) 2020-01-31 2020-01-31 Verfahren zur Herstellung einer elektro-optischen Einrichtung, elektro-optische Einrichtung, Halbleitereinrichtung und Halbleitervorrichtung

Country Status (8)

Country Link
US (1) US20230117534A1 (de)
EP (1) EP4097765A1 (de)
JP (1) JP2023512092A (de)
KR (1) KR20220133876A (de)
CN (1) CN115023817A (de)
CA (1) CA3168436A1 (de)
DE (1) DE102020102533A1 (de)
WO (1) WO2021151584A1 (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE202020104362U1 (de) 2020-07-28 2021-10-29 Gesellschaft für angewandte Mikro- und Optoelektronik mit beschränkter Haftung - AMO GmbH Elektro-optische Einrichtung, Halbleitereinrichtung und Halbleitervorrichtung, elektro-optische Anordnung und Verwendung

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113865702B (zh) * 2021-09-02 2024-04-30 暨南大学 一种具有起偏功能的光纤集成光电探测器

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5503882A (en) 1994-04-18 1996-04-02 Advanced Micro Devices, Inc. Method for planarizing an integrated circuit topography
CN106990563A (zh) 2017-06-02 2017-07-28 电子科技大学 基于石墨烯微带线行波电极的环形谐振腔光调制器
US9893219B2 (en) 2015-06-25 2018-02-13 Kabushiki Kaisha Toshiba Graphene photodetector and graphene optical modulator

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2684942B2 (ja) * 1992-11-30 1997-12-03 日本電気株式会社 化学気相成長法と化学気相成長装置および多層配線の製造方法
JPH10335331A (ja) * 1997-05-29 1998-12-18 Sony Corp 半導体装置の製造方法
US6768828B2 (en) * 2002-11-04 2004-07-27 Little Optics Inc. Integrated optical circuit with dense planarized cladding layer
WO2014089454A2 (en) * 2012-12-07 2014-06-12 The Trustees Of Columbia University In The City Of New York Systems and methods for graphene photodetectors
JP6267449B2 (ja) * 2013-03-15 2018-01-24 東京エレクトロン株式会社 有機デバイスの製造方法及び有機デバイスの製造装置
WO2016073995A1 (en) * 2014-11-07 2016-05-12 Cornell University Electro-optic modulator using cavity-coupled bus waveguide

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5503882A (en) 1994-04-18 1996-04-02 Advanced Micro Devices, Inc. Method for planarizing an integrated circuit topography
US9893219B2 (en) 2015-06-25 2018-02-13 Kabushiki Kaisha Toshiba Graphene photodetector and graphene optical modulator
CN106990563A (zh) 2017-06-02 2017-07-28 电子科技大学 基于石墨烯微带线行波电极的环形谐振腔光调制器

Non-Patent Citations (6)

* Cited by examiner, † Cited by third party
Title
„Efficient electro-optic modulation in low-loss graphene-plasmonic slot waveguides‟, von Zhu et al., Optics Communications (2019)
„Identifying suitable substrates for high-quality graphene-based heterostructures‟ von L. Banszerus et al, 2D Mater., Vol. 4, No. 2, 025030, 2017 verwiesen
Bae et al, Nature Nanotech 5, 574-578 (2010)
EN ISO 25178
EN ISO 25178-6:2010-01
Li et al., Science 324, 1312, (2009)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE202020104362U1 (de) 2020-07-28 2021-10-29 Gesellschaft für angewandte Mikro- und Optoelektronik mit beschränkter Haftung - AMO GmbH Elektro-optische Einrichtung, Halbleitereinrichtung und Halbleitervorrichtung, elektro-optische Anordnung und Verwendung
WO2022023407A1 (de) 2020-07-28 2022-02-03 Gesellschaft für angewandte Mikro- und Optoelektronik mit beschränkter Haftung - AMO GmbH Elektro-optische einrichtung, halbleitereinrichtung und halbleitervorrichtung, elektro-optische anordnung und verwendung

Also Published As

Publication number Publication date
KR20220133876A (ko) 2022-10-05
CA3168436A1 (en) 2021-08-05
EP4097765A1 (de) 2022-12-07
JP2023512092A (ja) 2023-03-23
WO2021151584A1 (de) 2021-08-05
CN115023817A (zh) 2022-09-06
US20230117534A1 (en) 2023-04-20

Similar Documents

Publication Publication Date Title
DE69434745T2 (de) Verfahren zur Herstellung eines Aggregats von Mikro-Nadeln aus Halbleitermaterial und Verfahren zur Herstellung eines Halbleiterbauelements mit einem solchen Aggregat
DE60116381T2 (de) Elektro-optische struktur und verfahren zu ihrer herstellung
DE60200849T2 (de) Zweidimensionaler photonischer Kristall und Herstellungsverfahren
EP0524219B1 (de) Halbleiterelement mit einer silizium-schicht
DE3300986A1 (de) Mehrschichtige optische integrierte schaltung
DE3300131A1 (de) Dielektrischer optischer wellenleiter und verfahren zu seiner herstellung
DE4234471C1 (de) Vorrichtung zur Absorption infraroter Strahlung
DE102020102533A1 (de) Verfahren zur Herstellung einer elektro-optischen Einrichtung, elektro-optische Einrichtung, Halbleitereinrichtung und Halbleitervorrichtung
DE102014119717B4 (de) Integrierte optoelektronische Vorrichtung und System mit Wellenleiter und Herstellungsverfahren derselben
EP4118486A1 (de) Photodetektor, modulator, halbleitereinrichtung und halbleitervorrichtung
EP3704536B1 (de) Wellenleiter-bauelement
DE4432031A1 (de) Detektor mit Quantensenke und Verfahren zu seiner Herstellung
DE102020104331A1 (de) Halbleitervorrichtung und herstellungsverfahren
EP4097520A1 (de) Halbleitervorrichtung und halbleitereinrichtung sowie verfahren zur herstellung solcher
DE202020104362U1 (de) Elektro-optische Einrichtung, Halbleitereinrichtung und Halbleitervorrichtung, elektro-optische Anordnung und Verwendung
EP3149778A1 (de) Plasmonisches bauteil und plasmonischer photodetektor sowie deren herstellungsverfahren
DE112021004502T5 (de) Eine plasmonische Vorrichtung und ein Verfahren zur Herstellung einer plasmonischen Vorrichtung
DE102013100025B4 (de) Halbleiterbauelement mit darin integriertem Kondensator und Verfahren zu seiner Herstellung
DE60210587T2 (de) Herstellungsverfahren für optische vorrichtungen und verbesserungen
DE19838430A1 (de) Verfahren zur Herstellung eines Arrays von Photodetektoren
DE112016000832B4 (de) Verfahren zur Strukturierung einer Nitridschicht, optoelektronisches Bauelement und Ätzverfahren zum Ätzen von Schichten
DE112022002328T5 (de) Elektrooptischer Modulator und Verfahren zu dessen Bildung
DE102021106176A1 (de) In-situ-kappe für germanium-fotodetektor
DE112022002427T5 (de) Fotodetektor und Verfahren zum Bilden davon
DE102023102574A1 (de) Photonic device and methods of forming same

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R081 Change of applicant/patentee

Owner name: BLACK SEMICONDUCTOR GMBH, DE

Free format text: FORMER OWNER: ANMELDERANGABEN UNKLAR / UNVOLLSTAENDIG, 80297 MUENCHEN, DE

R081 Change of applicant/patentee

Owner name: BLACK SEMICONDUCTOR GMBH, DE

Free format text: FORMER OWNER: GESELLSCHAFT FUER ANGEWANDTE MIKRO- UND OPTOELEKTRONIK MIT BESCHRAENKTER HAFTUNG - AMO GMBH, 52074 AACHEN, DE