DE102017118475A1 - SELF-ADJUSTED SPACERS AND METHOD FOR THE PRODUCTION THEREOF - Google Patents

SELF-ADJUSTED SPACERS AND METHOD FOR THE PRODUCTION THEREOF Download PDF

Info

Publication number
DE102017118475A1
DE102017118475A1 DE102017118475.9A DE102017118475A DE102017118475A1 DE 102017118475 A1 DE102017118475 A1 DE 102017118475A1 DE 102017118475 A DE102017118475 A DE 102017118475A DE 102017118475 A1 DE102017118475 A1 DE 102017118475A1
Authority
DE
Germany
Prior art keywords
source
dielectric
interlayer dielectric
gate
spacer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE102017118475.9A
Other languages
German (de)
Other versions
DE102017118475B4 (en
Inventor
Yi-Tsang Hsieh
Cha-Hsin Chao
Yi-Wei Chiu
Li-Te Hsu
Ying Ting Hsia
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/386,952 external-priority patent/US10510598B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102017118475A1 publication Critical patent/DE102017118475A1/en
Application granted granted Critical
Publication of DE102017118475B4 publication Critical patent/DE102017118475B4/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66515Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned selective metal deposition simultaneously on the gate and on source or drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41775Source or drain electrodes for field effect devices characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode
    • H01L29/41783Raised source or drain electrodes self aligned with the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate

Abstract

Ein Verfahren weist das Herstellen eines unteren Source-/Drain-Kontaktstifts in einem unteren Zwischenschicht-Dielektrikum auf. Der untere Source-/Drain-Kontaktstift wird mit einem Source-/Drain-Bereich eines Transistors elektrisch verbunden. Das Verfahren umfasst weiterhin das Herstellen eines Zwischenschicht-Dielektrikums über dem unteren Source-/Drain-Kontaktstift. In dem Zwischenschicht-Dielektrikum wird eine Source-/Drain-Kontaktöffnung hergestellt, wobei der untere Source-/Drain-Kontaktstift durch die Source-/Drain-Kontaktöffnung freigelegt wird. Eine dielektrische Abstandshalterschicht wird so hergestellt, dass sie einen ersten Teil, der in die Source-/Drain-Kontaktöffnung hinein reicht, und einen zweiten Teil über dem Zwischenschicht-Dielektrikum hat. An der dielektrischen Abstandshalterschicht wird eine anisotrope Ätzung durchgeführt, wobei ein verbleibender vertikaler Teil der dielektrischen Abstandshalterschicht einen Source-/Drain-Kontaktabstandshalter bildet. Der verbleibende Teil der Source-/Drain-Kontaktöffnung wird gefüllt, um einen oberen Source-/Drain-Kontaktstift herzustellen.One method includes forming a lower source / drain contact pin in a lower interlayer dielectric. The lower source / drain contact pin is electrically connected to a source / drain region of a transistor. The method further includes forming an interlayer dielectric over the lower source / drain contact pin. In the inter-layer dielectric, a source / drain contact opening is made exposing the lower source / drain contact pin through the source / drain contact opening. A dielectric spacer layer is fabricated to have a first portion that extends into the source / drain contact opening and a second portion over the interlayer dielectric. Anisotropic etch is performed on the dielectric spacer layer with a remaining vertical portion of the dielectric spacer layer forming a source / drain contact spacer. The remaining portion of the source / drain contact opening is filled to form an upper source / drain contact pin.

Description

Prioritätsanspruch und QuerverweisPriority claim and cross reference

Diese Anmeldung beansprucht die Priorität der am 29. November 2016 eingereichten vorläufigen US-Patentanmeldung mit dem Aktenzeichen 62/427.477 und dem Titel „Self-Aligned Spacers and Method Forming Same“ („Selbstjustierte Abstandshalter und Verfahren zu deren Herstellung“), die durch Bezugnahme aufgenommen ist.This application claims priority from US Provisional Patent Application Serial No. 62 / 427,477, filed Nov. 29, 2016, entitled "Self-Aligned Spacers and Method Forming Same", which is incorporated herein by reference is included.

Hintergrund der ErfindungBackground of the invention

Da die Größen von integrierten Schaltkreisen immer kleiner werden, werden die jeweiligen Herstellungsprozesse immer schwieriger, und es können Probleme auftreten, wo herkömmlich keine Probleme aufgetreten sind. Zum Beispiel können bei der Herstellung von Finnen-Feldeffekttransistoren (FinFETs) die Metall-Gates und die angrenzenden Source- und Drain-Bereiche elektrisch kurzgeschlossen werden. Außerdem können die Kontaktstifte der Metall-Gates mit den Kontaktstiften der benachbarten Source- und Drain-Bereiche kurzgeschlossen werden.As the sizes of integrated circuits become smaller and smaller, the respective manufacturing processes become more and more difficult, and problems may occur where conventionally no problems have occurred. For example, in the fabrication of fin field effect transistors (FinFETs), the metal gates and the adjacent source and drain regions may be electrically shorted. In addition, the contact pins of the metal gates can be shorted to the contact pins of the adjacent source and drain regions.

Figurenlistelist of figures

Aspekte der vorliegenden Erfindung lassen sich am besten anhand der nachstehenden detaillierten Beschreibung in Verbindung mit den beigefügten Zeichnungen verstehen. Es ist zu beachten, dass entsprechend der üblichen Praxis in der Branche verschiedene Elemente nicht maßstabsgetreu gezeichnet sind. Vielmehr können der Übersichtlichkeit der Erörterung halber die Abmessungen der verschiedenen Elemente beliebig vergrößert oder verkleinert sein.

  • Die 1 bis 25 zeigen Schnittansichten von Zwischenstufen bei der Herstellung eines Transistors und einer darüber befindlichen Verbindungsstruktur gemäß einigen Ausführungsformen.
  • 26 zeigt einen Prozessablauf zur Herstellung eines Transistors und einer darüber befindlichen Verbindungsstruktur gemäß einigen Ausführungsformen.
Aspects of the present invention will be best understood from the following detailed description taken in conjunction with the accompanying drawings. It should be noted that, according to common practice in the industry, various elements are not drawn to scale. Rather, for the sake of clarity of the discussion, the dimensions of the various elements can be arbitrarily increased or reduced.
  • The 1 to 25 12 show sectional views of intermediate stages in the fabrication of a transistor and an overlying interconnect structure, according to some embodiments.
  • 26 FIG. 12 shows a process flow for fabricating a transistor and overlying interconnect structure according to some embodiments.

Detaillierte BeschreibungDetailed description

Die nachstehende Beschreibung liefert viele verschiedene Ausführungsformen oder Beispiele zum Implementieren verschiedener Merkmale des bereitgestellten Gegenstands. Nachstehend werden spezielle Beispiele für Komponenten und Anordnungen beschrieben, um die vorliegende Erfindung zu vereinfachen. Diese sind natürlich lediglich Beispiele und sollen nicht beschränkend sein. Zum Beispiel kann die Herstellung eines ersten Elements über oder auf einem zweiten Element in der nachstehenden Beschreibung Ausführungsformen umfassen, bei denen das erste und das zweite Element in direktem Kontakt ausgebildet werden, und sie kann auch Ausführungsformen umfassen, bei denen zusätzliche Elemente zwischen dem ersten und dem zweiten Element so ausgebildet werden können, dass das erste und das zweite Element nicht in direktem Kontakt sind. Darüber hinaus können in der vorliegenden Erfindung Bezugszahlen und/oder -buchstaben in den verschiedenen Beispielen wiederholt werden. Diese Wiederholung dient der Einfachheit und Übersichtlichkeit und schreibt an sich keine Beziehung zwischen den verschiedenen erörterten Ausführungsformen und/oder Konfigurationen vor.The following description provides many different embodiments or examples for implementing various features of the provided subject matter. Hereinafter, specific examples of components and arrangements will be described in order to simplify the present invention. Of course these are just examples and should not be limiting. For example, the fabrication of a first element over or on a second element in the description below may include embodiments in which the first and second elements are formed in direct contact, and may also include embodiments in which additional elements are interposed between the first and second elements the second element can be formed so that the first and the second element are not in direct contact. Moreover, in the present invention, reference numerals and / or letters may be repeated in the various examples. This repetition is for simplicity and clarity and as such does not dictate any relationship between the various embodiments and / or configurations discussed.

Darüber hinaus können hier räumlich relative Begriffe, wie etwa „darunter befindlich“, „unter“, „untere(r)“/„unteres“, „darüber befindlich“, „obere(r)“/„oberes“ und dergleichen, zur einfachen Beschreibung der Beziehung eines Elements oder einer Struktur zu einem oder mehreren anderen Elementen oder Strukturen verwendet werden, die in den Figuren dargestellt sind. Die räumlich relativen Begriffe sollen zusätzlich zu der in den Figuren dargestellten Orientierung andere Orientierungen des in Gebrauch oder in Betrieb befindlichen Bauelements umfassen. Die Vorrichtung kann anders ausgerichtet werden (um 90 Grad gedreht oder in einer anderen Orientierung), und die räumlich relativen Deskriptoren, die hier verwendet werden, können ebenso entsprechend interpretiert werden.Moreover, spatially relative terms such as "underlying", "below", "lower" / "lower", "above", "upper", "upper", and the like, may be simply used Description of the relationship of an element or a structure to one or more other elements or structures are used, which are shown in the figures. The spatially relative terms are intended to include, in addition to the orientation shown in the figures, other orientations of the device in use or in service. The device may be reoriented (rotated 90 degrees or in a different orientation), and the spatially relative descriptors used herein may also be interpreted accordingly.

Gemäß verschiedenen beispielhaften Ausführungsformen werden ein Transistor und eine darüber befindliche Verbindungsstruktur sowie ein Verfahren zu deren Herstellung bereitgestellt. Es werden die Zwischenstufen bei der Herstellung des Transistors und der darüber befindlichen Verbindungsstruktur gemäß einigen Ausführungsformen dargestellt. Es werden einige Abwandlungen einiger Ausführungsformen erörtert. In allen Darstellungen und erläuternden Ausführungsformen werden ähnliche Bezugssymbole zum Bezeichnen von ähnlichen Elementen verwendet.According to various exemplary embodiments, there is provided a transistor and overlying interconnect structure and method of making the same. The intermediate stages in the fabrication of the transistor and the overlying interconnect structure are illustrated in accordance with some embodiments. Some modifications of some embodiments will be discussed. In all illustrations and illustrative embodiments, similar reference symbols are used to denote similar elements.

Die 1 bis 25 zeigen Schnittansichten von Zwischenstufen bei der Herstellung eines Transistors und einer darüber befindlichen Verbindungsstruktur gemäß einigen Ausführungsformen der vorliegenden Erfindung. Die Schritte, die in den 1 bis 25 gezeigt sind, sind auch in dem Prozessablauf 200, der in 26 gezeigt ist, schematisch widergegeben. Bei den erläuternden Ausführungsformen wird die Herstellung eines Finnen-Feldeffekttransistors (FinFET) als ein Beispiel verwendet. Es ist klar, dass die Struktur und die Herstellungsverfahren der vorliegenden Erfindung problemlos für planare Transistoren und jeweilige Kontaktstifte verwendet werden können.The 1 to 25 10 illustrate cross-sectional views of intermediate stages in the fabrication of a transistor and overlying interconnect structure in accordance with some embodiments of the present invention. The steps in the 1 to 25 are also shown in the process flow 200 who in 26 shown is shown schematically. In the illustrative embodiments, the fabrication of a fin field effect transistor (FinFET) is used as an example. It will be understood that the structure and manufacturing methods of the present invention can be readily used for planar transistors and respective contact pins.

In 1 wird eine Anfangsstruktur auf einem Halbleitersubstrat 20 hergestellt, das ein Teil eines Halbleiterwafers 2 ist. Bei einigen Ausführungsformen der vorliegenden Erfindung besteht das Halbleitersubstrat 20 aus kristallinem Silizium. Andere übliche Materialien, wie etwa Kohlenstoff, Germanium, Gallium, Bor, Arsen, Stickstoff, Indium, Phosphor und dergleichen, können ebenfalls in dem Halbleitersubstrat 20 enthalten sein. Das Substrat 20 kann auch ein Verbindungshalbleiter-Substrat sein, das einen III-V-Verbindungshalbleiter oder Siliziumgermanium aufweist. In 1 becomes an initial structure on a semiconductor substrate 20 made part of a semiconductor wafer 2 is. In some embodiments of the present invention, the semiconductor substrate is 20 made of crystalline silicon. Other common materials such as carbon, germanium, gallium, boron, arsenic, nitrogen, indium, phosphorus and the like may also be present in the semiconductor substrate 20 be included. The substrate 20 may also be a compound semiconductor substrate comprising a III-V compound semiconductor or silicon germanium.

Bei einigen Ausführungsformen der vorliegenden Erfindung hat die Anfangsstruktur einen Teil eines FinFET, der auf der Grundlage einer Halbleiterfinne 22 hergestellt wird, die über die Oberseiten von STI-Bereichen (STI: flache Grabenisolation) (nicht dargestellt) auf gegenüberliegenden Seiten der Halbleiterfinne 22 übersteht. Eine Linie 21 dient zum Darstellen des Niveaus der Oberseite der STI-Bereiche, wobei die Halbleiterfinne 22 höher als die Linie 21 ist.In some embodiments of the present invention, the initial structure has a portion of a FinFET based on a semiconductor fin 22 (STI: shallow trench isolation) (not shown) on opposite sides of the semiconductor fin 22 survives. A line 21 is used to represent the level of the top of the STI regions, wherein the semiconductor fin 22 higher than the line 21 is.

Ein Gate-Stapel 32 wird auf der Halbleiterfinne 22 hergestellt, und er hat Teile, die auf der Oberseite und den Seitenwänden der Halbleiterfinne 22 verlaufen. Bei einigen Ausführungsformen der vorliegenden Erfindung ist der Gate-Stapel 32 ein Ersatz-Gate-Stapel, der durch Herstellen eines Dummy-Gate-Stapels (nicht dargestellt) und anschließendes Ersetzen des Dummy-Gate-Stapels durch ein Ersatz-Gate hergestellt wird. Der Gate-Stapel 32 kann Folgendes umfassen: eine Oxid-Zwischenschicht 26, die die Oberseite und die Seitenwände der Halbleiterfinne 22 kontaktiert; ein Gate-Dielektrikum 28 über der Oxid-Zwischenschicht 26; und eine Gate-Elektrode 30 über dem Gate-Dielektrikum 28. Über der Gate-Elektrode 30 wird eine Hartmaske 34 zum Schützen des Gate-Stapels 32 in einer Vielzahl von nachfolgenden Prozessen hergestellt. Die Hartmaske 34 kann als ein Teil des Gate-Stapels angesehen werden. Die Oxid-Zwischenschicht 26 kann durch thermische Oxidation einer Oberflächenschicht der Halbleiterfinne 22 hergestellt werden. Das Gate-Dielektrikum 28 kann aus Siliziumoxid, Siliziumnitrid, einem oder mehreren Highk-Dielektrika, wie etwa Hafniumoxid, Lanthanoxid oder Aluminiumoxid, oder Kombinationen davon oder Mehrfachschichten davon bestehen. Die Gate-Elektrode 30 kann ein Metall-Gate sein, das zum Beispiel Cobalt, Aluminium, Titannidrid, Tantalnitrid, Wolfram, Wolframnitrid, Tantalcarbid, Tantalsiliziumnitrid oder dergleichen aufweist, und sie kann mehrere Schichten aus unterschiedlichen Materialien umfassen. In Abhängigkeit davon, ob der jeweilige Transistor ein p-Metall-Oxid-Halbleiter-Transistor (PMOS-Transistor) oder ein n-Metall-Oxid-Halbleiter-Transistor (NMOS-Transistor) ist, kann das Material der Gate-Elektrode 30 so gewählt werden, dass es eine Austrittsarbeit hat, die für den jeweiligen MOS-Transistor geeignet ist.A gate stack 32 becomes on the semiconductor fin 22 made, and he has parts on the top and side walls of the semiconductor fin 22 run. In some embodiments of the present invention, the gate stack is 32 a replacement gate stack made by fabricating a dummy gate stack (not shown) and then replacing the dummy gate stack with a replacement gate. The gate stack 32 may include: an oxide interlayer 26 that cover the top and sidewalls of the semiconductor fin 22 contacted; a gate dielectric 28 over the oxide interlayer 26 ; and a gate electrode 30 above the gate dielectric 28. Above the gate electrode 30 becomes a hard mask 34 to protect the gate stack 32 produced in a variety of subsequent processes. The hard mask 34 can be considered as part of the gate stack. The oxide interlayer 26 can by thermal oxidation of a surface layer of the semiconductor fin 22 getting produced. The gate dielectric 28 may be silicon oxide, silicon nitride, one or more high-k dielectrics, such as hafnium oxide, lanthana or alumina, or combinations thereof or multiple layers thereof. The gate electrode 30 may be a metal gate comprising, for example, cobalt, aluminum, titanium amide, tantalum nitride, tungsten, tungsten nitride, tantalum carbide, tantalum silicon nitride, or the like, and may include multiple layers of different materials. Depending on whether the respective transistor is a p-metal oxide semiconductor transistor (PMOS transistor) or an n-metal oxide semiconductor transistor (nMOS transistor), the material of the gate electrode 30 be chosen so that it has a work function, which is suitable for the respective MOS transistor.

Auf den Seitenwänden des Gate-Stapels 32 und der Hartmaske 34 werden Gate-Abstandshalter 36 hergestellt. Bei einigen Ausführungsformen der vorliegenden Erfindung umfassen die Gate-Abstandshalter 36 eine Vielzahl von Schichten, zum Beispiel eine Schicht 36A und eine Schicht 36B. Obwohl es nicht dargestellt ist, können die Gate-Abstandshalter 36 mehr Schichten aufweisen. Die Materialien für die Gate-Abstandshalter 36 umfassen Siliziumoxid, Siliziumnitrid, Siliziumoxidnitrid, Siliciumcarbooxidnitrid und dergleichen. Die Schichten 36A und 36B können Elemente aufweisen, die voneinander verschieden sind, wobei zum Beispiel die eine Schicht aus Siliziumoxid besteht und die andere Schicht aus Siliziumnitrid besteht. Alternativ können die Schichten 36A und 36B die gleichen Elemente (wie etwa Silizium und Stickstoff) mit unterschiedlichen Zusammensetzungen (unterschiedlichen prozentualen Anteilen) aufweisen. Die Gate-Abstandshalter 36 können bei einigen Ausführungsformen in Kontakt mit den Oberseiten und den Seitenwänden der Halbleiterfinne 22 sein.On the side walls of the gate stack 32 and the hard mask 34 become gate spacers 36 produced. In some embodiments of the present invention, the gate spacers include 36 a plurality of layers, for example a layer 36A and a layer 36B , Although not shown, the gate spacers 36 may include more layers. The materials for the gate spacers 36 include silicon oxide, silicon nitride, silicon oxynitride, silicon carbon oxynitride, and the like. The layers 36A and 36B may have elements that are different from one another, wherein, for example, the one layer consists of silicon oxide and the other layer consists of silicon nitride. Alternatively, the layers can 36A and 36B have the same elements (such as silicon and nitrogen) with different compositions (different percentages). The gate spacers 36 In some embodiments, they may be in contact with the tops and sidewalls of the semiconductor fin 22 be.

Eine Kontakt-Ätzstoppschicht (CESL) 38 wird so hergestellt, dass sie das Substrat 20 bedeckt, und sie kann auf den Seitenwänden der Gate-Abstandshalter 36 verlaufen. Bei einigen Ausführungsformen der vorliegenden Erfindung besteht die CESL 38 aus Siliziumnitrid, Siliziumcarbid oder einem anderen dielektrischen Material. Über der CESL 38 und dem Gate-Stapel 32 wird ein Zwischenschicht-Dielektrikum (ILD) 40 hergestellt. Das ILD 40 wird nachstehend als ILDo bezeichnet, da es das unterste ILD von einer Vielzahl von ILDs ist. Das ILDo 40 kann aus einem Oxid bestehen, wie etwa Phosphorsilicatglas (PSG), Borsilicatglas (BSG), Borphosphorsilicatglas (BPSG), Tetraethylorthosilicat(TEOS)-Oxid oder dergleichen. Die Herstellung kann zum Beispiel durch chemische Aufdampfung (CVD), fließfähige CVD (FCVD), Schleuderbeschichtung oder dergleichen erfolgen. Es kann eine Planarisierung, wie etwa eine chemisch-mechanische Polierung (CMP), durchgeführt werden, um die Oberseiten der Hartmaskenschicht 34, der Gate-Abstandshalter 36, der CESL 38 und des ILDo 40 so zu nivellieren, dass sie miteinander koplanar sind.A contact etch stop layer (CESL) 38 is made to cover the substrate 20 and may be on the sidewalls of the gate spacers 36 run. In some embodiments of the present invention, the CESL 38 silicon nitride, silicon carbide or other dielectric material. About the CESL 38 and the gate stack 32 becomes an interlayer dielectric (ILD) 40 produced. The ILD 40 hereinafter referred to as ILDo, since it is the lowest ILD of a plurality of ILDs. The ILDo 40 may be made of an oxide such as phosphosilicate glass (PSG), borosilicate glass (BSG), borophosphosilicate glass (BPSG), tetraethyl orthosilicate (TEOS) oxide, or the like. The preparation can be carried out, for example, by chemical vapor deposition (CVD), flowable CVD (FCVD), spin coating or the like. Planarization, such as chemical mechanical polishing (CMP), may be performed around the tops of the hardmask layer 34 , the gate spacer 36 , the CESL 38 and the ILDo 40 so that they are coplanar with each other.

Es werden Source- und Drain-Bereiche 42 (die nachstehend als Source-/Drain-Bereiche 42 bezeichnet werden) hergestellt, wobei zumindest untere Teile der Source-/Drain-Bereiche 42 in das Halbleitersubstrat 20 hinein reichen. Bei einigen Ausführungsformen der vorliegenden Erfindung weisen die Source-/Drain-Bereiche 42 einen p- oder einen n-Dotierungsstoff auf, je nachdem, ob der jeweilige Transistor ein p- oder ein n-Transistor ist. Die Source-/Drain-Bereiche 42 können SiP aufweisen, wenn der jeweilige Transistor ein n-MOS-Transistor ist, oder SiGe, wenn der jeweilige Transistor ein p-MOS-Transistor ist. Die Herstellung der Source-/Drain-Bereiche 42 kann das Ätzen der Halbleiterfinne 22 zur Herstellung von Aussparungen und das epitaxiale Aufwachsen der Source-/Drain-Bereiche 42 in den Aussparungen umfassen. Wenn ein p-Transistor hergestellt werden soll, können die Epitaxiebereiche 42 mit einem p-Dotierungsstoff, wie etwa Bor oder Indium, dotiert werden. Wenn ein n-Transistor hergestellt werden soll, können die Epitaxiebereiche 42 mit einem n-Dotierungsstoff, wie etwa Phosphor, dotiert werden. Der p- oder der n-Dotierungsstoff kann bei der Durchführung der Epitaxie in situ dotiert werden oder kann nach der Epitaxie implantiert werden.There will be source and drain areas 42 (hereinafter referred to as source / drain regions 42 are designated), wherein at least lower parts of the source / drain regions 42 in the semiconductor substrate 20 reach into it. In some embodiments of the present invention, the source / drain regions 42 a p- or an n-type dopant, depending on whether the respective transistor is a p-type transistor or an n-type transistor. The source / drain regions 42 may have SiP when the respective transistor is an n-type MOS transistor, or SiGe, when the respective transistor is a p-type MOS transistor. The preparation of the source / drain regions 42 may be the etching of the semiconductor fin 22 for making recesses and epitaxially growing the source / drain regions 42 in the recesses. If a p-type transistor is to be made, the epitaxy regions can 42 doped with a p-type dopant such as boron or indium. If an n-type transistor is to be produced, the epitaxy regions can 42 doped with an n-type dopant such as phosphorus. The p- or n-type dopant may be doped in situ when performing epitaxy, or may be implanted after epitaxy.

Die 2 bis 6 zeigen die Herstellung von unteren Source-/Drain-Kontaktstiften. Bei einigen Ausführungsformen der vorliegenden Erfindung, die in 2 gezeigt sind, wird eine dielektrische Opferschicht 46 hergestellt, und daran schließen sich das Aufbringen und Strukturieren eines Fotoresists 48 an. Bei alternativen Ausführungsformen der vorliegenden Erfindung wird die Herstellung der dielektrischen Opferschicht 46 ausgelassen. Das strukturierte Fotoresist 48 kann ein einschichtiges Fotoresist sein, oder es kann eine Dreifachschicht mit zwei Fotoresists und einer anorganischen Schicht sein, die die zwei Fotoresists trennt. Dann werden die dielektrische Opferschicht 46, das ILDo 40 und die CESL 38 geätzt, um Kontaktöffnungen 50 herzustellen. Anschließend werden Source-/Drain-Silizidbereiche 52 hergestellt, zum Beispiel mit einem Prozess zur Bildung von selbstjustierenden Siliziden. Dann wird das Fotoresist 48 entfernt.The 2 to 6 show the preparation of lower source / drain pins. In some embodiments of the present invention, which are disclosed in U.S. Pat 2 is a sacrificial dielectric layer 46 and this is followed by the application and patterning of a photoresist 48 at. In alternative embodiments of the present invention, the fabrication of the sacrificial dielectric layer 46 omitted. The textured photoresist 48 may be a single layer photoresist, or it may be a triple layer with two photoresists and an inorganic layer separating the two photoresists. Then, the sacrificial dielectric layer becomes 46 , the ILDo 40 and the CESL 38 etched to contact openings 50 manufacture. Subsequently, source / drain silicide regions 52 made, for example, with a process for the formation of self-adjusting silicides. Then the photoresist 48 away.

Es dürfte klar sein, dass die Source-/Drain-Kontaktöffnungen 50 mit einem einzelnen lithografischen Prozess oder mit einem Doppelstrukturierungsprozess mit zwei lithografischen Prozessen hergestellt werden können, wobei sich die Struktur der Source-/Drain-Kontaktöffnung 50 auf der linken Seite des Ersatz-Gate-Stapels 32 in einer ersten lithografischen Maske (nicht dargestellt) befindet und sich die Struktur der Source-/Drain-Kontaktöffnung 50 auf der rechten Seite des Ersatz-Gate-Stapels 32 in einer zweiten lithografischen Maske (nicht dargestellt) befindet.It should be clear that the source / drain contact openings 50 can be made with a single lithographic process or with a double structuring process with two lithographic processes, the structure of the source / drain contact opening 50 on the left side of the replacement gate stack 32 in a first lithographic mask (not shown) and the structure of the source / drain contact opening 50 on the right side of the replacement gate stack 32 in a second lithographic mask (not shown).

In 3 wird eine dielektrische Abstandshalterschicht 54 abgeschieden. Die dielektrische Abstandshalterschicht 54 kann mit einem konformen Abscheidungsverfahren hergestellt werden, wie etwa Atomlagenabscheidung (ALD), chemische Aufdampfung (CVD) oder dergleichen. Daher reicht die dielektrische Abstandshalterschicht 54 in die Öffnungen 50 hinein, und die Dicke der vertikalen Teile der dielektrischen Abstandshalterschicht 54 ist im Wesentlichen gleich der Dicke der horizontalen Teile.In 3 becomes a dielectric spacer layer 54 deposited. The dielectric spacer layer 54 can be prepared by a conformal deposition method such as atomic layer deposition (ALD), chemical vapor deposition (CVD) or the like. Therefore, the dielectric spacer layer is enough 54 into the openings 50, and the thickness of the vertical parts of the dielectric spacer layer 54 is substantially equal to the thickness of the horizontal parts.

In 4 wird eine anisotrope Ätzung durchgeführt, um die horizontalen Teile der dielektrischen Abstandshalterschicht 54 zu entfernen, sodass die vertikalen Teile der dielektrischen Abstandshalterschicht 54 in den Kontaktöffnungen 50 zurückbleiben. Die übrigen vertikalen Teile werden in der gesamten Beschreibung als Kontaktabstandshalter 56 bezeichnet. Der entsprechende Schritt ist durch den Schritt 202 dargestellt, der in dem in 26 gezeigten Prozessablauf angegeben ist. In der Draufsicht des Wafers 2 bilden die Kontaktabstandshalter 56 Ringe, die die jeweiligen Kontaktöffnungen 50 umschließen. Die oberen Teile der inneren Ränder der Kontaktabstandshalter können konisch zulaufend sein sowie gekrümmt sein, wobei die gekrümmten inneren Ränder zu den Öffnungen 50 zeigen. Die unteren Teile der inneren Ränder können im Wesentlichen gerade sein.In 4 Anisotropic etching is performed to form the horizontal portions of the dielectric spacer layer 54 remove so that the vertical parts of the dielectric spacer layer 54 in the contact openings 50 remain. The remaining vertical parts are referred to throughout the specification as contact spacers 56 designated. The corresponding step is through the step 202 represented in the in 26 indicated process flow is indicated. In the plan view of the wafer 2 form the contact spacers 56 Rings containing the respective contact openings 50 enclose. The upper portions of the inner edges of the contact spacers may be tapered and curved, with the curved inner edges leading to the openings 50 demonstrate. The lower parts of the inner edges may be substantially straight.

Dann werden die Kontaktöffnungen 50 mit einem oder mehreren leitenden Materialien 58 gefüllt, wie in 5 gezeigt ist. Die Oberseite des leitenden Materials ist höher als die Oberseite der dielektrischen Opferschicht 46. 6 zeigt einen Planarisierungsprozess, bei dem die Teile des einen oder der mehreren leitenden Materialien 58 über dem ILDo 40 entfernt werden. Bei der Planarisierung wird auch die dielektrische Opferschicht 46 entfernt, wenn sie hergestellt worden ist. Die verbliebenen Teile der leitenden Materialien 58 sind Source-/Drain-Kontaktstifte 60. Der entsprechende Schritt ist durch den Schritt 204 dargestellt, der in dem in 26 gezeigten Prozessablauf angegeben ist. Bei einigen Ausführungsformen der vorliegenden Erfindung umfassen die Source-/Drain-Kontaktstifte 60 jeweils eine leitende Sperrschicht, die aus Titan, Titannidrid, Tantal oder Tantalnitrid besteht, und ein Metall, wie etwa Wolfram, Aluminium, Kupfer oder dergleichen, über der Diffusionssperrschicht. Bei alternativen Ausführungsformen der vorliegenden Erfindung bestehen die Kontaktstifte 60 aus einer Einfachschicht, die aus einem homogenen Material, wie etwa Wolfram, oder einer Legierung besteht. Die Oberseiten der Kontaktstifte 60 können bei einigen Ausführungsformen koplanar mit den Oberseiten des ILDo 40 und der Hartmaske 34 sein.Then the contact openings 50 with one or more conductive materials 58 filled, as in 5 is shown. The top of the conductive material is higher than the top of the sacrificial dielectric layer 46 , 6 FIG. 12 shows a planarization process in which the portions of the one or more conductive materials 58 above the ILDo 40 are removed. In the planarization, the dielectric sacrificial layer also becomes 46 removed when it has been manufactured. The remaining parts of the conductive materials 58 are source / drain pins 60 , The corresponding step is through the step 204 represented in the in 26 indicated process flow is indicated. In some embodiments of the present invention, the source / drain pins include 60 each a conductive barrier layer consisting of titanium, titanium amide, tantalum or tantalum nitride, and a metal such as tungsten, aluminum, copper or the like over the diffusion barrier layer. In alternative embodiments of the present invention, the contact pins are made 60 from a single layer consisting of a homogeneous material, such as tungsten, or an alloy. The tops of the contact pins 60 In some embodiments, coplanar with the tops of the ILDo 40 and the hardmask 34 be.

Die 7 bis 12 zeigen die Herstellung von oberen Source-/Drain-Kontaktstiften. In 7 wird eine Ätzstoppschicht 62 hergestellt, und anschließend wird ein ILD 64 hergestellt. In der gesamten Beschreibung wird das ILD 64 alternativ als ILD1 bezeichnet. Die Ätzstoppschicht 62 kann aus Siliziumcarbid, Siliziumoxidnitrid, Siliziumcarbonitrid, Kombinationen davon oder Verbundschichten davon bestehen. Die Ätzstoppschicht 62 kann unter Verwendung eines Abscheidungsverfahrens, wie etwa CVD, Plasma-unterstützte chemische Aufdampfung (PECVD), ALD oder dergleichen, hergestellt werden. Das ILD 64 kann ein Material, das aus der Gruppe PSG, BSG, BPSG, Fluorsilicatglas (FSG) und TEOS gewählt ist, oder andere nicht-poröse dielektrische Low-k-Materialien umfassen. Das ILD 64 kann durch Schleuderbeschichtung, FCVD oder dergleichen oder mit einem Abscheidungsverfahren hergestellt werden, wie etwa CVD, PECVD, chemische Aufdampfung bei Tiefdruck (LPCVD) oder dergleichen.The 7 to 12 show the preparation of upper source / drain pins. In 7 becomes an etch stop layer 62 and then becomes an ILD 64 produced. Throughout the description, the ILD 64 alternatively referred to as ILD1. The etch stop layer 62 may be silicon carbide, silicon oxynitride, silicon carbonitride, combinations thereof, or composite layers thereof. The etch stop layer 62 can be prepared using a deposition method such as CVD, plasma enhanced chemical vapor deposition (PECVD), ALD or the like. The ILD 64 can be a material that comes from the Group PSG, BSG, BPSG, fluorosilicate glass (FSG) and TEOS, or other non-porous low-k dielectric materials. The ILD 64 can be made by spin coating, FCVD or the like or by a deposition method such as CVD, PECVD, low pressure chemical vapor deposition (LPCVD) or the like.

8 zeigt die Herstellung von Öffnungen 66 durch Ätzung. Dann wird in 9 eine dielektrische Abstandshalterschicht 68 durch Abscheidung hergestellt, und sie wird als eine konforme oder im Wesentlichen konforme Schicht hergestellt, deren horizontale und vertikale Teile zum Beispiel Dicken mit einem Unterschied von weniger als etwa 10 % der horizontalen Dicke haben. Die Abscheidung kann durch ALD, CVD oder dergleichen erfolgen. Die dielektrische Abstandshalterschicht 68 kann aus einem dielektrischen Material aus der Gruppe SiN, SiON, SiCN, SiC, SiOCN, AlON, AlN, HfOx oder Kombinationen davon und/oder mehreren Schichten davon bestehen. 8th shows the production of openings 66 by etching. Then it will be in 9 a dielectric spacer layer 68 produced by deposition, and it is fabricated as a conformal or substantially conformal layer whose horizontal and vertical parts have thicknesses, for example, with a difference of less than about 10% of the horizontal thickness. The deposition can be done by ALD, CVD or the like. The dielectric spacer layer 68 may consist of a dielectric material selected from the group SiN, SiON, SiCN, SiC, SiOCN, AlON, AlN, HfO x, or combinations thereof and / or multiple layers thereof.

10 zeigt eine anisotrope Ätzung zum Entfernen der horizontalen Teile der dielektrischen Abstandshalterschicht 68, sodass Kontaktabstandshalter 70 entstehen. Der entsprechende Schritt ist durch den Schritt 206 dargestellt, der in dem in 26 gezeigten Prozessablauf angegeben ist. Durch die anisotrope Ätzung der dielektrischen Abstandshalterschicht 68 (9) können die verbliebenen Öffnungen 66 eine obere Breite W1 und eine untere Breite W2 haben, wobei das Verhältnis W1/W2 in dem Bereich von etwa 1,0 bis etwa 2,0 liegen kann. Die oberen Teile der inneren Ränder der Kontaktabstandshalter 70 können konisch zulaufend sein sowie gekrümmt sein, wobei die gekrümmten inneren Ränder zu den Öffnungen 60 zeigen. Die unteren Teile der Kontaktabstandshalter 70 können im Wesentlichen gerade Ränder haben, die zu den Öffnungen 66 zeigen. Auch hier sind in der Draufsicht des Wafers 2 die Kontaktabstandshalter 70 Ringe, die die jeweiligen Öffnungen 66 umschließen. 10 shows an anisotropic etching for removing the horizontal parts of the dielectric spacer layer 68 , so contact spacers 70 arise. The corresponding step is through the step 206 represented in the in 26 indicated process flow is indicated. By the anisotropic etching of the dielectric spacer layer 68 ( 9 ) can use the remaining openings 66 have an upper width W1 and a lower width W2, wherein the ratio W1 / W2 may be in the range of about 1.0 to about 2.0. The upper portions of the inner edges of the contact spacers 70 may be tapered and curved, with the curved inner edges toward the openings 60 demonstrate. The lower parts of the contact spacers 70 can essentially have straight edges leading to the openings 66 demonstrate. Again, in the plan view of the wafer 2 the contact spacers 70 Rings, which are the respective openings 66 enclose.

Dann werden die Kontaktöffnungen 66 mit einem oder mehreren leitenden Materialien 72 gefüllt, wie in 11 gezeigt ist. Dann wird ein Planarisierungsprozess (z. B. eine CMP) durchgeführt, bei dem die Teile des einen oder der mehreren leitenden Materialien 72 über dem ILD1 64 entfernt werden. Die - übrigen Teile der leitenden Materialien 72 bleiben nach der Planarisierung bestehen und werden als obere Source-/Drain-Kontaktstifte 74 bezeichnet, die in 12 gezeigt sind. Bei einigen Ausführungsformen der vorliegenden Erfindung werden die konisch zulaufenden Teile der Kontaktabstandshalter 70 bei der Planarisierung entfernt, und die verbleibenden Kontaktabstandshalter 70 haben im Wesentlichen gerade innere Ränder, die die Kontaktstifte 74 kontaktieren. Der entsprechende Schritt ist durch den Schritt 208 dargestellt, der in dem in 26 gezeigten Prozessablauf angegeben ist.Then the contact openings 66 with one or more conductive materials 72 filled, as in 11 is shown. Then, a planarization process (eg, a CMP) is performed in which the portions of the one or more conductive materials 72 above the ILD1 64. The - remaining parts of the conductive materials 72 remain after planarization and are considered upper source / drain pins 74 referred to in 12 are shown. In some embodiments of the present invention, the tapered portions of the contact spacers 70 removed at the planarization, and the remaining contact spacers 70 have essentially straight inner edges, which are the contact pins 74 to contact. The corresponding step is through the step 208 represented in the in 26 indicated process flow is indicated.

Bei alternativen Ausführungsformen der vorliegenden Erfindung haben die konisch zulaufenden Teile der Kontaktabstandshalter 70 Teile, die nach der Planarisierung bestehen bleiben (nicht dargestellt), und die inneren Ränder der verbliebenen Kontaktabstandshalter 70 haben gekrümmte obere Teile (die in 11 gezeigt sind), die in physischem Kontakt mit den Kontaktstiften 74 sind. Bei einigen Ausführungsformen der vorliegenden Erfindung ist das Material der oberen Source-/Drain-Kontaktstifte 74 dem der Source-/Drain-Kontaktstifte 60 ähnlich. Zum Beispiel können die Source-/Drain-Kontaktstifte 74 leitende Sperrschichten und ein Metall, wie etwa Wolfram, Aluminium, Kupfer oder dergleichen, über der Diffusionssperrschicht umfassen.In alternative embodiments of the present invention, the tapered portions of the contact spacers 70 Parts that remain after planarization (not shown) and the inner edges of the remaining contact spacers 70 have curved upper parts (which in 11 shown) in physical contact with the contact pins 74 are. In some embodiments of the present invention, the material is the upper source / drain contact pins 74 that of the source / drain pins 60 similar. For example, the source / drain pins 74 conductive barrier layers and a metal such as tungsten, aluminum, copper or the like over the diffusion barrier layer.

Die 13 bis 20 zeigen die Herstellung eines Gate-Kontaktstifts und weiterer Source-/Drain-Kontaktstifte. Bei einigen Ausführungsformen der vorliegenden Erfindung, wird, wie in 13 gezeigt ist, eine Ätzstoppschicht 76 hergestellt, und anschließend wird eine dielektrische Schicht 78 hergestellt, die in der gesamten Beschreibung als ILD2 78 bezeichnet werden kann. Bei alternativen Ausführungsformen der vorliegenden Erfindung wird die Ätzstoppschicht 76 nicht hergestellt, und die dielektrische Schicht 78 ist in Kontakt mit dem ILD1 64. Die Ätzstoppschicht 76 ist durch Strichlinien dargestellt, um anzugeben, dass sie hergestellt werden kann oder auch nicht. Bei einigen Ausführungsformen der vorliegenden Erfindung werden die Ätzstoppschicht 76 und die dielektrische Schicht 78 aus den Materialien hergestellt, die aus der gleichen Gruppe von in Frage kommenden Materialien wie für die Ätzstoppschicht 62 bzw. die dielektrische Schicht 64 gewählt sind. Bei alternativen Ausführungsformen der vorliegenden Erfindung besteht die dielektrische Schicht 78 aus einem dielektrischen Low-k-Material, das ein kohlenstoffhaltiges dielektrisches Low-k-Material sein kann, wie etwa Wasserstoff-Silsesquioxan (HSQ), Methyl-Silsesquioxan (MSQ) oder dergleichen.The 13 to 20 show the fabrication of a gate pin and other source / drain pins. In some embodiments of the present invention, as shown in FIG 13 an etch stop layer is shown 76 and then a dielectric layer is formed 78 which may be referred to throughout the specification as ILD2 78. In alternative embodiments of the present invention, the etch stop layer 76 not manufactured, and the dielectric layer 78 is in contact with the ILD1 64. The etch stop layer 76 is represented by dashed lines to indicate that it may or may not be made. In some embodiments of the present invention, the etch stop layer 76 and the dielectric layer 78 made from the materials selected from the same group of candidate materials as for the etch stop layer 62 or the dielectric layer 64 are selected. In alternative embodiments of the present invention, the dielectric layer is 78 low-k dielectric material which may be a carbonaceous low-k dielectric material such as hydrogen silsesquioxane (HSQ), methyl silsesquioxane (MSQ) or the like.

In 14 wird ein fotolithografischer Prozess unter Verwendung einer strukturierten lithografischen Maske 80 durchgeführt, um die Schichten 78, 76, 64 und 62 durchzuätzen, sodass eine Gate-Kontaktöffnung 82 entsteht. Die lithografische Maske 80 kann eine untere Schicht 80A, die aus einem Fotoresist besteht, eine mittlere Schicht 80B, die aus einem anorganischen Material besteht, und eine obere Schicht 80C umfassen, die aus einem weiteren Fotoresist besteht. Dann wird der freigelegte Teil der Hartmaske 34 (13) entfernt, sodass die Gate-Kontaktöffnung 82 in den Zwischenraum zwischen gegenüberliegenden Gate-Abstandshaltern 36 hinein reicht. Der entsprechende Schritt ist durch den Schritt 210 dargestellt, der in dem in 26 gezeigten Prozessablauf angegeben ist. Bei einigen Ausführungsformen der vorliegenden Erfindung umfasst die Herstellung der Gate-Kontaktöffnung 82 eine anisotrope Ätzung. Die Seitenwände der Gate-Abstandshalter 36 können zu der Gate-Kontaktöffnung 82 freigelegt werden. Das Ätzmittel kann so gewählt werden, dass es die Gate-Abstandshalter 36 nicht angreift, und daher die freigelegten Abstandshalter 32 nicht geätzt werden. Bei alternativen Ausführungsformen der vorliegenden Erfindung ist die Gate-Kontaktöffnung 82 dichter an der Hartmaske 34, und daher bleiben einige Randteile der Hartmaske 34 (nicht dargestellt) auf einer der beiden Seiten der Gate-Kontaktöffnung 82 zurück. 14 zeigt zwar die mittlere Schicht 80B und die obere Schicht 80C, aber praktisch können zum Zeitpunkt der Herstellung der Gate-Kontaktöffnung 82 die mittlere Schicht 80B und die obere Schicht 80C bereits aufgebraucht sein. Dann wird die verbliebene lithografische Maske 80 entfernt, und der resultierende Wafer 2 ist in 15 gezeigt.In 14 becomes a photolithographic process using a patterned lithographic mask 80 performed to the layers 78 . 76 . 64 and 62 durchzuätzen, so that a gate contact opening 82 arises. The lithographic mask 80 can be a lower layer 80A which consists of a photoresist, a middle layer 80B made of an inorganic material and an upper layer 80C include, which consists of a further photoresist. Then the exposed part of the hard mask 34 ( 13 ), leaving the gate contact opening 82 in the space between opposing gate spacers 36 reaches into it. The corresponding step is through the step 210 represented in the in 26 indicated process flow is indicated. In some embodiments of the present invention, the fabrication involves the gate contact opening 82 an anisotropic etching. The sidewalls of the gate spacers 36 may be connected to the gate contact opening 82 be exposed. The etchant may be chosen to be the gate spacer 36 does not attack, and therefore the exposed spacers 32 not be etched. In alternative embodiments of the present invention, the gate contact opening is 82 closer to the hard mask 34 , and therefore some edge parts of the hardmask remain 34 (not shown) on either side of the gate contact opening 82 back. 14 shows the middle layer 80B and the upper layer 80C but can practically at the time of manufacture of the gate contact opening 82 the middle layer 80B and the upper layer 80C already used up. Then the remaining lithographic mask 80 removed, and the resulting wafer 2 is in 15 shown.

In 16 wird eine weitere strukturierte lithografische Maske 84 hergestellt, die in die Gate-Kontaktöffnung 82 (15) hinein reicht. Der entsprechende Schritt ist durch den Schritt 212 dargestellt, der in dem in 26 gezeigten Prozessablauf angegeben ist. Die strukturierte lithografische Maske 84 wird als eine Ätzmaske zum weiteren Ätzen der Schichten 78 und 76 verwendet, sodass Source-/Drain-Kontaktöffnungen 86 entstehen. Die Kontaktstifte 74 und die Kontaktabstandshalter 70 werden durch die Kontaktöffnungen 86 freigelegt. Bis zum Zeitpunkt der Herstellung der Kontaktöffnungen 86 können die mittlere Schicht und die obere Schicht der lithografischen Maske 84 bereits aufgebraucht sein. Dann wird die verbliebene lithografische Maske 84 entfernt, und der resultierende Wafer 2 ist in 17 gezeigt.In 16 becomes another structured lithographic mask 84 made in the gate contact opening 82 ( 15 ). The corresponding step is through the step 212 represented in the in 26 indicated process flow is indicated. The textured lithographic mask 84 is used as an etch mask to further etch the layers 78 and 76 used so that source / drain contact openings 86 arise. The contact pins 74 and the contact spacers 70 be through the contact openings 86 exposed. Until the time of manufacture of the contact openings 86 may be the middle layer and the upper layer of the lithographic mask 84 already used up. Then the remaining lithographic mask 84 removed, and the resulting wafer 2 is in 17 shown.

18 zeigt die Herstellung einer dielektrischen Abstandshalterschicht 88, die in die Gate-Kontaktöffnung 82 und die Source-/Drain-Kontaktöffnungen 86 hinein reicht. Die Herstellungsverfahren und die Materialien für die dielektrische Abstandshalterschicht 88 können aus den gleichen Gruppen von in Frage kommenden Verfahren bzw. Materialien wie für die Herstellung der dielektrischen Abstandshalterschicht 68 (9) gewählt werden. Zum Beispiel umfassen die in Frage kommenden Materialien für die Herstellung der dielektrischen Abstandshalterschicht 88 unter anderem SiN, SiON, SiCN, SiC, SiOCN, AlON, AlN und HfOx. Die dielektrische Abstandshalterschicht 88 ist ebenfalls konform oder im Wesentlichen konform. Darüber hinaus reicht die dielektrische Abstandshalterschicht 88 in die Gate-Kontaktöffnung 82 und die Source-/Drain-Kontaktöffnungen 86 hinein. 18 shows the preparation of a dielectric spacer layer 88 entering the gate contact opening 82 and the source / drain contact openings 86 reaches into it. The manufacturing methods and the materials for the dielectric spacer layer 88 may be from the same groups of candidate materials as for the dielectric spacer layer fabrication 68 ( 9 ) to get voted. For example, the materials of interest include for the preparation of the dielectric spacer layer 88 SiN, SiON, SiCN, SiC, SiOCN, AlON, AlN and HfO x, among others. The dielectric spacer layer 88 is also compliant or substantially compliant. In addition, the dielectric spacer layer is sufficient 88 in the gate contact opening 82 and the source / drain contact openings 86 into it.

Dann wird eine anisotrope Ätzung durchgeführt, und die verbleibenden Teile der dielektrischen Abstandshalterschicht 88 bilden Kontaktabstandshalter 90 und 92, wie in 19 gezeigt ist. Der entsprechende Schritt ist durch den Schritt 214 dargestellt, der in dem in 26 gezeigten Prozessablauf angegeben ist. Dann wird ein leitendes Material 94 abgeschieden, um die verbliebenen Kontaktöffnungen 86 und 86 zu füllen (18). Anschließend wird ein Planarisierungsprozess durchgeführt, und das verbliebene leitende Material 94 bildet Source-/Drain-Kontaktstifte 96 und einen Gate-Kontaktstift 98, wie in 20 gezeigt ist. Der entsprechende Schritt ist durch den Schritt 216 dargestellt, der in dem in 26 gezeigten Prozessablauf angegeben ist. Wie in den 15 bis 19 gezeigt ist, umfasst die Herstellung der Kontaktstifte 96 und 98 das Herstellen von jeweiligen Kontaktöffnungen 82 und 86 (17) durch Doppelstrukturierung, sodass die Kontaktöffnungen 82 und 86 eng voneinander beabstandet angeordnet werden können, damit kein optischer Proximity-Effekt entsteht. Außerdem werden die Kontaktöffnungen 82 und 86 simultan gefüllt, um die Herstellungskosten zu senken.Then, an anisotropic etch is performed, and the remaining portions of the dielectric spacer layer 88 form contact spacers 90 and 92 , as in 19 is shown. The corresponding step is through the step 214 represented in the in 26 indicated process flow is indicated. Then it becomes a conductive material 94 deposited to the remaining contact openings 86 and 86 to fill ( 18 ). Subsequently, a planarization process is performed, and the remaining conductive material 94 forms source / drain pins 96 and a gate pin 98 , as in 20 is shown. The corresponding step is through the step 216 represented in the in 26 indicated process flow is indicated. As in the 15 to 19 shows the manufacture of the contact pins 96 and 98 the production of respective contact openings 82 and 86 ( 17 ) by double structuring, so that the contact openings 82 and 86 can be arranged closely spaced from each other so that no optical proximity effect arises. In addition, the contact openings 82 and 86 filled simultaneously to reduce manufacturing costs.

20 zeigt auch die Breiten der Kontaktstifte 96 und 98 und die Abstände zwischen benachbarten Kontaktstiften 96 und 98. Die Kontaktstifte 96 haben eine Breite W3, und der Kontaktstift 98 hat eine Breite W3'. Der Abstand zwischen benachbarten Kontaktstiften 96 und 98 ist S1. Bei einigen Ausführungsformen der vorliegenden Erfindung liegen das Verhältnis S1/W3 und das Verhältnis S2/W3' in dem Bereich von etwa 1,0 bis 2,0. 20 also shows the widths of the contact pins 96 and 98 and the distances between adjacent pins 96 and 98 , The contact pins 96 have a width W3, and the contact pin 98 has a width W3 '. The distance between adjacent pins 96 and 98 is S1. In some embodiments of the present invention, the ratio S1 / W3 and the ratio S2 / W3 'are in the range of about 1.0 to 2.0.

Die 21 bis 25 zeigen die Herstellung einer unteren Metallschicht (die nachstehend als Metallschicht 1 oder M1 bezeichnet wird) und von darüber befindlichen Durchkontaktierungen mit Single-Damascene-Prozessen. In 21 werden eine Ätzstoppschicht 102 und eine dielektrische Schicht 104 hergestellt. Bei einigen Ausführungsformen der vorliegenden Erfindung besteht die Ätzstoppschicht 102 aus einem Material, das aus der gleichen Gruppe von in Frage kommenden Materialien wie für die Ätzstoppschicht 76 gewählt ist, und die dielektrische Schicht 104 kann aus einem dielektrischen Low-k-Material bestehen, das eine Dielektrizitätskonstante hat, die kleiner als 3,8 ist. Zum Beispiel kann die dielektrische Low-k-Schicht 104 aus einem kohlenstoffhaltigen dielektrischen Low-k-Material, HSQ, MSQ oder dergleichen bestehen.The 21 to 25 show the production of a lower metal layer (hereinafter referred to as metal layer 1 or M1) and overlying vias with single damascene processes. In 21 become an etch stop layer 102 and a dielectric layer 104 produced. In some embodiments of the present invention, the etch stop layer is 102 made of a material that consists of the same group of candidate materials as for the etch stop layer 76 is selected, and the dielectric layer 104 may consist of a low-k dielectric material having a dielectric constant less than 3.8. For example, the low-k dielectric layer 104 may be comprised of a carbonaceous low-k dielectric material, HSQ, MSQ, or the like.

22 zeigt die Herstellung von Gräben 106, wobei die Herstellung das Ätzen der dielektrischen Low-k-Schicht 104 und der Ätzstoppschicht 102 umfasst, wodurch die Kontaktstifte 96 und 98 freigelegt werden. Dann werden, wie in 23 gezeigt ist, Metallleitungen 108 und Metallleitungs-Abstandshalter 110 hergestellt. Der entsprechende Schritt ist durch den Schritt 218 dargestellt, der in dem in 26 gezeigten Prozessablauf angegeben ist. Das Herstellungsverfahren kann dem Verfahren für die Herstellung der Kontaktabstandshalter 70 bzw. der Kontaktstifte 74 ähnlich sein, und daher werden die Einzelheiten des Herstellungsverfahrens hier nicht wiederholt. Die Metallleitungs-Abstandshalter 110 können aus einem dielektrischen Material bestehen, das aus der gleichen Gruppe von in Frage kommenden Materialien wie für die Herstellung der Kontaktabstandshalter 70 gewählt ist. Die Metallleitungen 108 können leitende Diffusionbarrieren und ein kupferhaltiges Metallmaterial über den leitenden Diffusionbarrieren aufweisen. 22 shows the production of trenches 106 wherein the fabrication comprises etching the low-k dielectric layer 104 and the etch stop layer 102 includes, causing the contact pins 96 and 98 be exposed. Then, as in 23 shown is metal pipes 108 and metal line spacers 110 produced. The appropriate step is through the step 218 represented in the in 26 indicated process flow is indicated. The manufacturing process may be the process for the preparation of the contact spacers 70 or the contact pins 74 be similar, and therefore the details of the manufacturing process will not be repeated here. The metal pipe spacers 110 may be made of a dielectric material selected from the same group of candidate materials as for the preparation of the contact spacers 70 is selected. The metal pipes 108 may include conductive diffusion barriers and a copper-containing metal material over the conductive diffusion barrier.

Dann werden Durchkontaktierungen über den Metallleitungen 108 mit einem Damascene-Prozess hergestellt. In 23 werden eine Ätzstoppschicht 112 und eine dielektrische Schicht 114 hergestellt. Bei einigen Ausführungsformen der vorliegenden Erfindung besteht die Ätzstoppschicht 112 aus einem Material, das aus der gleichen Gruppe von in Frage kommenden Materialien wie für die Ätzstoppschichten 76 und 102 gewählt ist, und die dielektrische Schicht 114 kann aus einem dielektrischen Low-k-Material bestehen, das dem Material der dielektrischen Low-k-Schicht 104 ähnlich ist. 24 zeigt die Herstellung von Durchkontaktierungsöffnungen 115 und einer dielektrischen Schicht 116, die eine konforme oder im Wesentlichen konforme Schicht sein kann, die durch ALD, CVD oder dergleichen abgeschieden wird. Die dielektrische Schicht 116 reicht in die Durchkontaktierungsöffnungen 115 hinein.Then, vias become over the metal lines 108 made with a damascene process. In 23 become an etch stop layer 112 and a dielectric layer 114 produced. In some embodiments of the present invention, the etch stop layer is 112 made of a material that consists of the same group of candidate materials as for the etch stop layers 76 and 102 is selected, and the dielectric layer 114 may be made of a low-k dielectric material similar to the material of the low-k dielectric layer 104. 24 shows the production of via openings 115 and a dielectric layer 116 which may be a conformal or substantially conformal layer deposited by ALD, CVD or the like. The dielectric layer 116 reaches into the via openings 115 into it.

25 zeigt die Herstellung von Durchkontaktierungen 118 und Durchkontaktierungs-Abstandshaltern 120. Der entsprechende Schritt ist durch den Schritt 220 dargestellt, der in dem in 26 gezeigten Prozessablauf angegeben ist. Das Herstellungsverfahren kann dem Verfahren für die Herstellung der Kontaktabstandshalter 70 bzw. der Kontaktstifte 74 ähnlich sein, und daher werden die Einzelheiten des Herstellungsverfahrens hier nicht wiederholt. Die Durchkontaktierungs-Abstandshalter 120 können aus einem dielektrischen Material bestehen, das aus der gleichen Gruppe von in Frage kommenden Materialien wie für die Herstellung der Kontaktabstandshalter 70 gewählt ist. Die Durchkontaktierungen 118 können leitende Diffusionbarrieren und ein kupferhaltiges Metallmaterial über den jeweiligen leitenden Diffusionbarrieren aufweisen. Bei nachfolgenden Prozessen können die Prozesse für die Herstellung der Metallleitungen 108, der Metallleitungs-Abstandshalter 110, der Durchkontaktierungen 118 und der Durchkontaktierungs-Abstandshalter 120 wiederholt werden, um darüber befindliche Metallleitungen (wie etwa M2, M3, M4 ... Mtop) und Durchkontaktierungen herzustellen. Die darüber befindlichen Metallleitungen und Durchkontaktierungen können mit Single-Damascene-Prozessen (die in den 21 bis 25 gezeigt sind) oder Dual-Damascene-Prozessen hergestellt werden, wobei eine dielektrische Schicht abgeschieden und anisotrop geätzt wird, bevor die jeweiligen Durchkontaktierungen und Metallleitungen in die Durchkontaktierungsöffnungen bzw. Gräben gefüllt werden. 25 shows the production of vias 118 and via spacers 120 , The corresponding step is represented by step 220, which is in the in 26 indicated process flow is indicated. The manufacturing method may be the method for the preparation of the contact spacers 70 and the contact pins 74 be similar, and therefore the details of the manufacturing process will not be repeated here. The via spacers 120 may be made of a dielectric material selected from the same group of candidate materials as for the preparation of the contact spacers 70 is selected. The vias 118 may include conductive diffusion barriers and a copper-containing metal material over the respective conductive diffusion barriers. In subsequent processes, the processes for the production of metal lines 108 , the metal conduit spacer 110 , the vias 118 and the via spacer 120 to produce overlying metal lines (such as M2, M3, M4 ... Mtop) and vias. The overlying metal lines and vias can with single damascene processes (which in the 21 to 25 or dual damascene processes, wherein a dielectric layer is deposited and anisotropically etched before the respective vias and metal lines are filled into the via openings or trenches.

Die Ausführungsformen der vorliegenden Anmeldung haben mehrere Vorzüge. Durch die Herstellung von Kontaktabstandshaltern, Metallleitungs-Abstandshaltern und/oder Durchkontaktierungs-Abstandshaltern sind zusätzliche dielektrische Abstandshalter zur Vermeidung des elektrischen Kurzschließens von darunter befindlichen leitenden Strukturelementen mit darüber befindlichen leitenden Strukturelementen bei einer Überdeckungsverschiebung vorhanden. Dadurch wird das Prozessfenster vergrößert.The embodiments of the present application have several advantages. By fabricating contact spacers, metal line spacers, and / or via spacers, additional dielectric spacers are provided to prevent electrical shorting of underlying conductive features with overlying conductive features in a masking displacement. This enlarges the process window.

Bei einigen Ausführungsformen der vorliegenden Erfindung weist ein Verfahren das Herstellen eines unteren Source-/Drain-Kontaktstifts in einem unteren Zwischenschicht-Dielektrikum auf. Der untere Source-/Drain-Kontaktstift wird mit einem Source-/Drain-Bereich eines Transistors elektrisch verbunden. Das Verfahren umfasst weiterhin das Herstellen eines Zwischenschicht-Dielektrikums über dem unteren Source-/Drain-Kontaktstift. In dem Zwischenschicht-Dielektrikum wird eine Source-/Drain-Kontaktöffnung hergestellt, wobei der untere Source-/Drain-Kontaktstift durch die Source-/Drain-Kontaktöffnung freigelegt wird. Eine dielektrische Abstandshalterschicht wird so hergestellt, dass sie einen ersten Teil, der in die Source-/Drain-Kontaktöffnung hinein reicht, und einen zweiten Teil über dem Zwischenschicht-Dielektrikum hat. An der dielektrischen Abstandshalterschicht wird eine anisotrope Ätzung durchgeführt, wobei ein verbleibender vertikaler Teil der dielektrischen Abstandshalterschicht einen Source-/Drain-Kontaktabstandshalter bildet. Der verbleibende Teil der Source-/Drain-Kontaktöffnung wird gefüllt, um einen oberen Source-/Drain-Kontaktstift herzustellen.In some embodiments of the present invention, a method includes forming a lower source / drain contact pin in a lower interlayer dielectric. The lower source / drain contact pin is electrically connected to a source / drain region of a transistor. The method further includes forming an interlayer dielectric over the lower source / drain contact pin. In the inter-layer dielectric, a source / drain contact opening is made exposing the lower source / drain contact pin through the source / drain contact opening. A dielectric spacer layer is fabricated to have a first portion that extends into the source / drain contact opening and a second portion over the interlayer dielectric. Anisotropic etch is performed on the dielectric spacer layer with a remaining vertical portion of the dielectric spacer layer forming a source / drain contact spacer. The remaining portion of the source / drain contact opening is filled to form an upper source / drain contact pin.

Bei einigen Ausführungsformen der vorliegenden Erfindung weist ein Verfahren die folgenden Schritte auf: Herstellen eines ersten Source-/Drain-Kontaktstifts in einem ersten Zwischenschicht-Dielektrikum, wobei der erste Source-/Drain-Kontaktstift mit einem Source-/Drain-Bereich eines Transistors elektrisch verbunden wird; Herstellen eines zweiten Zwischenschicht-Dielektrikums über dem ersten Zwischenschicht-Dielektrikum; Herstellen eines zweiten Source-/Drain-Kontaktstifts in dem zweiten Zwischenschicht-Dielektrikum; Herstellen eines dritten Zwischenschichtdielektrikums über dem zweiten Zwischenschicht-Dielektrikum; und Ätzen des zweiten Zwischenschichtdielektrikums und des dritten Zwischenschicht-Dielektrikums, um eine Gate-Kontaktöffnung herzustellen. Eine Gate-Elektrode des Transistors wird zu der Gate-Kontaktöffnung freigelegt. In der Gate-Kontaktöffnung wird ein Gate-Kontaktabstandshalter hergestellt. Der Gate-Kontaktabstandshalter geht durch das zweite Zwischenschicht-Dielektrikum und das dritte Zwischenschicht-Dielektrikum hindurch. In der Gate-Kontaktöffnung wird ein Gate-Kontaktstift hergestellt, wobei der Gate-Kontaktstift von dem Gate-Kontaktabstandshalter umschlossen wird.In some embodiments of the present invention, a method comprises the steps of: fabricating a first source / drain contact pin in a first interlayer dielectric, wherein the first source / drain contact pin is electrically connected to a source / drain region of a transistor is connected; Forming a second interlayer dielectric over the first interlayer dielectric; Forming a second source / drain contact pin in the second interlayer dielectric; Forming a third interlayer dielectric over the second interlayer dielectric; and etching the second interlayer dielectric and the third interlayer dielectric to produce a gate contact opening. A gate of the transistor is exposed to the gate contact hole. In the gate contact opening, a gate contact spacer is produced. The gate contact spacer passes through the second interlayer dielectric and the third interlayer dielectric. In the gate contact opening, a gate contact pin is produced, wherein the gate contact pin is enclosed by the gate contact spacer.

Bei einigen Ausführungsformen der vorliegenden Erfindung weist ein Bauelement Folgendes auf: ein Halbleitersubstrat; eine Gate-Elektrode über dem Halbleitersubstrat; einen Source-/Drain-Bereich auf einer Seite der Gate-Elektrode; ein erstes Zwischenschicht-Dielektrikum über dem Source-/Drain-Bereich, wobei sich zumindest ein Teil der Gate-Elektrode in dem ersten Zwischenschicht-Dielektrikum befindet; ein zweites Zwischenschicht-Dielektrikum über dem ersten Zwischenschicht-Dielektrikum; ein drittes Zwischenschicht-Dielektrikum über dem zweiten Zwischenschicht-Dielektrikum; einen Gate-Kontaktabstandshalter, der durch das zweite Zwischenschicht-Dielektrikum und das dritte Zwischenschicht-Dielektrikum hindurchgeht; und einen Gate-Kontaktstift, der mit der Gate-Elektrode elektrisch verbunden ist, wobei der Gate-Kontaktstift von dem Gate-Kontaktabstandshalter umschlossen ist.In some embodiments of the present invention, a device comprises: a semiconductor substrate; a gate electrode over the semiconductor substrate; a source / drain region on one side of the gate electrode; a first interlayer dielectric over the source / drain region, wherein at least a portion of the gate electrode is in the first interlayer dielectric; a second interlayer dielectric over the first interlayer dielectric; a third interlayer dielectric over the second interlayer dielectric; a gate contact spacer passing through the second interlayer dielectric and the third interlayer dielectric; and a gate contact pin electrically connected to the gate electrode, the gate contact pin being enclosed by the gate contact spacer.

Vorstehend sind Merkmale verschiedener Ausführungsformen beschrieben worden, sodass Fachleute die Aspekte der vorliegenden Erfindung besser verstehen können. Fachleuten dürfte klar sein, dass sie die vorliegende Erfindung ohne Weiteres als eine Grundlage zum Gestalten oder Modifizieren anderer Verfahren und Strukturen zum Erreichen der gleichen Ziele und/oder zum Erzielen der gleichen Vorzüge wie bei den hier vorgestellten Ausführungsformen oder Beispielen verwenden können. Fachleute dürften ebenfalls erkennen, dass solche äquivalenten Auslegungen nicht von dem Grundgedanken und Schutzumfang der vorliegenden Erfindung abweichen und dass sie hier verschiedene Änderungen, Ersetzungen und Abwandlungen vornehmen können, ohne von dem Grundgedanken und Schutzumfang der vorliegenden Erfindung abzuweichen.Features of various embodiments have been described above so that those skilled in the art can better understand the aspects of the present invention. Those skilled in the art will appreciate that they may readily use the present invention as a basis for designing or modifying other methods and structures to achieve the same objects and / or advantages of the same as the embodiments or examples presented herein. Those skilled in the art should also recognize that such equivalent interpretations do not depart from the spirit and scope of the present invention and that they may make various changes, substitutions and alterations here without departing from the spirit and scope of the present invention.

Claims (20)

Verfahren mit den folgenden Schritten: Herstellen eines unteren Source-/Drain-Kontaktstifts in einem unteren Zwischenschicht-Dielektrikum, wobei der untere Source-/Drain-Kontaktstift mit einem Source-/Drain-Bereich eines Transistors elektrisch verbunden wird; Herstellen eines ersten Zwischenschicht-Dielektrikums über dem unteren Source-/Drain- Kontaktstift; Herstellen einer ersten Source-/Drain-Kontaktöffnung in dem ersten Zwischenschicht-Dielektrikum, wobei der untere Source-/Drain-Kontaktstift durch die erste Source-/Drain-Kontaktöffnung freigelegt wird; Herstellen einer ersten dielektrischen Abstandshalterschicht, wobei die erste dielektrische Abstandshalterschicht einen ersten Teil, der in die erste Source-/Drain-Kontaktöffnung hinein reicht, und einen zweiten Teil über dem ersten Zwischenschicht-Dielektrikum aufweist; Durchführen einer anisotropen Ätzung an der ersten dielektrischen Abstandshalterschicht, wobei ein verbliebener vertikaler Teil der ersten dielektrischen Abstandshalterschicht einen ersten Source-/Drain-Kontaktabstandshalter bildet; und Füllen eines verbliebenen Teils der ersten Source-/Drain-Kontaktöffnung, um einen ersten Source-/Drain-Kontaktstift herzustellen.Procedure with the following steps: Forming a lower source / drain contact pin in a lower interlayer dielectric, wherein the lower source / drain contact pin is electrically connected to a source / drain region of a transistor; Forming a first interlayer dielectric over the lower source / drain pin; Forming a first source / drain contact opening in the first interlayer dielectric, wherein the lower source / drain contact pin is exposed by the first source / drain contact opening; Forming a first dielectric spacer layer, the first dielectric spacer layer having a first portion extending into the first source / drain contact opening and a second portion over the first interlayer dielectric; Performing an anisotropic etch on the first dielectric spacer layer, wherein a remaining vertical portion of the first dielectric spacer layer forms a first source / drain contact spacer; and Filling a remaining portion of the first source / drain contact opening to produce a first source / drain contact pin. Verfahren nach Anspruch 1, das weiterhin Folgendes umfasst: Herstellen einer ersten Ätzstoppschicht über und in Kontakt mit einem Gate-Abstandshalter des Transistors und dem unteren Source-/Drain-Kontaktstift, wobei das erste Zwischenschicht-Dielektrikum über der ersten Ätzstoppschicht angeordnet wird und diese kontaktiert.Method according to Claim 1 method further comprising: forming a first etch stop layer over and in contact with a gate spacer of the transistor and the lower source / drain contact pin, wherein the first interlayer dielectric is disposed over and contacts the first etch stop layer. Verfahren nach einem der vorhergehenden Ansprüche, das weiterhin Folgendes umfasst: Ätzen des ersten Zwischenschicht-Dielektrikums, um eine Gate-Kontaktöffnung herzustellen; Ätzen einer Hartmaske zwischen Gate-Abstandshaltern des Transistors, um die Gate-Kontaktöffnung zwischen den Gate-Abstandshaltern zu vergrößern; Herstellen einer zweiten Abstandshalterschicht, die einen Teil hat, der in die Gate-Kontaktöffnung hinein reicht; Ätzen der zweiten Abstandshalterschicht, um einen Gate-Kontaktabstandshalter in der Gate-Kontaktöffnung herzustellen; und Herstellen eines Gate-Kontaktstifts in der Gate-Kontaktöffnung.The method of any one of the preceding claims, further comprising: Etching the first interlayer dielectric to produce a gate contact opening; Etching a hard mask between gate spacers of the transistor to increase the gate contact opening between the gate spacers; Forming a second spacer layer having a portion extending into the gate contact opening; Etching the second spacer layer to make a gate contact spacer in the gate contact opening; and Producing a gate contact pin in the gate contact opening. Verfahren nach Anspruch 3, das weiterhin Folgendes umfasst: Herstellen eines zweiten Zwischenschichtdielektrikums über dem ersten Zwischenschicht- Dielektrikum; Ätzen des zweiten Zwischenschichtdielektrikums, um eine zweite Source-/Drain-Kontaktöffnung herzustellen, wobei die zweite Abstandshalterschicht weiter in die zweite Source-/Drain-Kontaktöffnung hinein reicht und durch die Ätzung der zweiten Abstandshalterschicht weiterhin ein zweiter Source-/Drain-Kontaktabstandshalter in der zweiten Source-/Drain-Kontaktöffnung entsteht; und Herstellen eines zweiten Source-/Drain-Kontaktstifts in der zweiten Source-/Drain-Kontaktöffnung.Method according to Claim 3 , further comprising: forming a second interlayer dielectric over the first interlayer dielectric; Etching the second interlayer dielectric to produce a second source / drain contact opening, the second spacer layer extending further into the second source / drain contact opening, and further comprising a second source / drain contact spacer in the second spacer layer second source / drain contact opening is formed; and forming a second source / drain contact pin in the second source / drain contact opening. Verfahren nach einem der vorhergehenden Ansprüche, das weiterhin Folgendes umfasst: Herstellen einer ersten dielektrischen Low-k-Schicht über dem ersten Zwischenschicht- Dielektrikum; Herstellen einer Metallleitung in der ersten dielektrischen Low-k-Schicht, wobei die Metallleitung mit dem Source-/Drain-Bereich elektrisch verbunden wird; und Herstellen eines dielektrischen Metallleitungs-Abstandshalters, der die Metallleitung umschließt.The method of any one of the preceding claims, further comprising: Forming a first low-k dielectric layer over the first inter-layer dielectric; Forming a metal line in the first low-k dielectric layer, wherein the metal line is electrically connected to the source / drain region; and manufacturing a dielectric metal line spacer enclosing the metal line. Verfahren nach Anspruch 5, das weiterhin Folgendes umfasst: Herstellen einer zweiten dielektrischen Low-k-Schicht über der ersten dielektrischen Low-k-Schicht; Herstellen einer Metalldurchkontaktierung in der zweiten dielektrischen Low-k-Schicht, wobei die Durchkontaktierung mit dem Source-/Drain-Bereich elektrisch verbunden wird; und Herstellen eines dielektrischen Durchkontaktierungs-Abstandshalters, der die Metalldurchkontaktierung umschließt.Method according to Claim 5 method further comprising: forming a second low-k dielectric layer over the first low-k dielectric layer; Forming a metal via in the second low-k dielectric layer, wherein the via is electrically connected to the source / drain region; and forming a dielectric via spacer enclosing the metal via. Verfahren nach einem der vorhergehenden Ansprüche, das weiterhin Folgendes umfasst: Herstellen einer Opferschicht über einem Gate-Stapel des Transistors; Ätzen der Opferschicht und des unteren Zwischenschichtdielektrikums, um eine untere Source-/Drain-Kontaktöffnung herzustellen; Herstellen eines unteren Kontaktabstandshalters in der unteren Source-/Drain-Kontaktöffnung; Füllen der unteren Source-/Drain-Kontaktöffnung mit einem leitenden Material; und Durchführen einer Planarisierung, um die Opferschicht und Teile des leitenden Materials über dem unteren Zwischenschicht-Dielektrikum zu entfernen, um den unteren Source-/Drain-Kontaktstift herzustellen.The method of any one of the preceding claims, further comprising: Forming a sacrificial layer over a gate stack of the transistor; Etching the sacrificial layer and the lower interlayer dielectric to produce a lower source / drain contact opening; Forming a lower contact spacer in the lower source / drain contact opening; Filling the lower source / drain contact opening with a conductive material; and Performing planarization to remove the sacrificial layer and portions of the conductive material over the lower interlayer dielectric to produce the lower source / drain contact pin. Verfahren mit den folgenden Schritten: Herstellen eines ersten Source-/Drain-Kontaktstifts in einem ersten Zwischenschicht-Dielektrikum, wobei der erste Source-/Drain-Kontaktstift mit einem Source-/Drain-Bereich eines Transistors elektrisch verbunden wird; Herstellen eines zweiten Zwischenschicht-Dielektrikums über dem ersten Zwischenschicht- Dielektrikum; Herstellen eines zweiten Source-/Drain-Kontaktstifts in dem zweiten Zwischenschicht- Dielektrikum; Herstellen eines dritten Zwischenschichtdielektrikums über dem zweiten Zwischenschicht- Dielektrikum; Ätzen des zweiten Zwischenschichtdielektrikums und des dritten Zwischenschicht-Dielektrikums, um eine Gate-Kontaktöffnung herzustellen, wobei eine Gate-Elektrode des Transistors zu der Gate-Kontaktöffnung freigelegt wird; Herstellen eines Gate-Kontaktabstandshalters in der Gate-Kontaktöffnung, wobei der Gate-Kontaktabstandshalter durch das zweite Zwischenschicht-Dielektrikum und das dritte Zwischenschicht-Dielektrikum hindurchgeht; und Herstellen eines Gate-Kontaktstifts in der Gate-Kontaktöffnung, wobei der Gate-Kontaktstift von dem Gate-Kontaktabstandshalter umschlossen wird.Procedure with the following steps: Forming a first source / drain contact pin in a first interlayer dielectric, wherein the first source / drain contact pin is electrically connected to a source / drain region of a transistor; Forming a second interlayer dielectric over the first interlayer dielectric; Forming a second source / drain contact pin in the second interlayer dielectric; Forming a third interlayer dielectric over the second interlayer dielectric; Etching the second interlayer dielectric and the third interlayer dielectric to make a gate contact opening exposing a gate electrode of the transistor to the gate contact opening; Forming a gate contact spacer in the gate contact opening, the gate contact spacer passing through the second interlayer dielectric and the third interlayer dielectric; and Producing a gate contact pin in the gate contact opening, wherein the gate contact pin is enclosed by the gate contact spacer. Verfahren nach Anspruch 8, das weiterhin Folgendes umfasst: Ätzen des dritten Zwischenschichtdielektrikums, um eine Source-/Drain-Kontaktöffnung herzustellen, wobei der zweite Source-/Drain-Kontaktstift durch die Source-/Drain-Kontaktöffnung freigelegt wird; Herstellen eines Source-/Drain-Kontaktabstandshalters in der Source-/Drain-Kontaktöffnung; und Herstellen eines dritten Source-/Drain-Kontaktstifts in der Source-/Drain-Kontaktöffnung, wobei der zweite Source-/Drain-Kontaktstift von dem Source-/Drain-Kontaktabstandshalter umschlossen wird.Method according to Claim 8 further comprising: etching the third interlayer dielectric to make a source / drain contact opening exposing the second source / drain contact pin through the source / drain contact opening; Forming a source / drain contact spacer in the source / drain contact opening; and fabricating a third source / drain contact pin in the source / drain contact opening, wherein the second source / drain contact pin is enclosed by the source / drain contact spacer. Verfahren nach Anspruch 9, wobei für die Herstellung des Gate-Kontaktabstandshalters und für die Herstellung des Source-/Drain-Kontaktabstandshalters ein gemeinsamer Abscheidungsprozess und ein gemeinsamer Ätzprozess verwendet werden.Method according to Claim 9 wherein a common deposition process and a common etching process are used for the fabrication of the gate contact spacer and for the fabrication of the source / drain contact spacer. Verfahren nach einem der Ansprüche 8 bis 10, wobei die Herstellung des Gate-Kontaktabstandshalters Folgendes umfasst: Abscheiden einer dielektrischen Abstandshalterschicht, die in die Gate-Kontaktöffnung hinein reicht und durch das zweite Zwischenschicht-Dielektrikum und das dritte Zwischenschicht-Dielektrikum hindurchgeht; und Durchführen einer anisotropen Ätzung an der dielektrischen Abstandshalterschicht, wobei ein verbleibender Teil der dielektrischen Abstandshalterschicht den Gate-Kontaktabstandshalter bildet.Method according to one of Claims 8 to 10 wherein the fabrication of the gate contact spacer comprises: depositing a dielectric spacer layer that extends into the gate contact opening and passes through the second interlayer dielectric and the third interlayer dielectric; and performing an anisotropic etch on the dielectric spacer layer, wherein a remaining portion of the dielectric spacer layer forms the gate contact spacer. Verfahren nach einem der Ansprüche 8 bis 11, das weiterhin das Ätzen einer Hartmaske zwischen Gate-Abstandshaltern des Transistors zum Vergrößern der Gate-Kontaktöffnung zwischen den Gate-Abstandshaltern umfasst, nachdem das zweite Zwischenschicht-Dielektrikum und das dritte Zwischenschicht-Dielektrikum geätzt worden sind, um die Gate-Kontaktöffnung herzustellen.Method according to one of Claims 8 to 11 further comprising etching a hard mask between gate spacers of the transistor to increase the gate contact opening between the gate spacers after the second interlayer dielectric and the third interlayer dielectric have been etched to form the gate contact opening. Verfahren nach Anspruch 12, wobei der Gate-Kontaktabstandshalter und der Gate-Kontaktstift bis zu einem Niveau reichen, das niedriger als Oberseiten der Gate-Abstandshalter ist.Method according to Claim 12 wherein the gate contact spacer and the gate contact pin extend to a level lower than tops of the gate spacers. Verfahren nach einem der Ansprüche 8 bis 13, das weiterhin Folgendes umfasst: Herstellen einer ersten dielektrischen Low-k-Schicht über dem dritten Zwischenschicht-Dielektrikum; Herstellen einer Metallleitung in der ersten dielektrischen Low-k-Schicht, wobei die Metallleitung mit dem Source-/Drain-Bereich elektrisch verbunden wird; und Herstellen eines dielektrischen Metallleitungs-Abstandshalters, der die Metallleitung umschließt.Method according to one of Claims 8 to 13 method further comprising: forming a first low-k dielectric layer over the third interlayer dielectric; Forming a metal line in the first low-k dielectric layer, wherein the metal line is electrically connected to the source / drain region; and Producing a dielectric metal line spacer enclosing the metal line. Verfahren nach Anspruch 14, das weiterhin Folgendes umfasst: Herstellen einer zweiten dielektrischen Low-k-Schicht über der ersten dielektrischen Low-k-Schicht; Herstellen einer Durchkontaktierung in der zweiten dielektrischen Low-k-Schicht, wobei die Durchkontaktierung mit dem Source-/Drain-Bereich elektrisch verbunden wird; und Herstellen eines dielektrischen Durchkontaktierungs-Abstandshalters, der die Metalldurchkontaktierung umschließt.Method according to Claim 14 method further comprising: forming a second low-k dielectric layer over the first low-k dielectric layer; Forming a via in the second low-k dielectric layer, wherein the via is electrically connected to the source / drain region; and forming a dielectric via spacer enclosing the metal via. Vorrichtung mit: einem Halbleitersubstrat; einer Gate-Elektrode über dem Halbleitersubstrat; einem Source-/Drain-Bereich auf einer Seite der Gate-Elektrode; einem ersten Zwischenschicht-Dielektrikum über dem Source-/Drain-Bereich, wobei sich zumindest ein Teil der Gate-Elektrode in dem ersten Zwischenschicht-Dielektrikum befindet; einem zweiten Zwischenschicht-Dielektrikum über dem ersten Zwischenschicht-Dielektrikum; einem dritten Zwischenschicht-Dielektrikum über dem zweiten Zwischenschicht-Dielektrikum; einem Gate-Kontaktabstandshalter, der durch das zweite Zwischenschicht-Dielektrikum und das dritte Zwischenschicht-Dielektrikum hindurchgeht; und einem Gate-Kontaktstift, der mit der Gate-Elektrode elektrisch verbunden ist, wobei der Gate-Kontaktstift von dem Gate-Kontaktabstandshalter umschlossen ist.Device with: a semiconductor substrate; a gate electrode over the semiconductor substrate; a source / drain region on one side of the gate electrode; a first interlayer dielectric over the source / drain region, wherein at least a portion of the gate electrode is in the first interlayer dielectric; a second interlayer dielectric over the first interlayer dielectric; a third interlayer dielectric over the second interlayer dielectric; a gate contact spacer passing through the second interlayer dielectric and the third interlayer dielectric; and a gate contact pin electrically connected to the gate electrode, the gate contact pin being enclosed by the gate contact spacer. Vorrichtung nach Anspruch 16, die weiterhin Folgendes umfasst: Gate-Abstandshalter auf gegenüberliegenden Seiten der Gate-Elektrode, wobei Oberseiten der Gate-Abstandshalter höher als eine Oberseite der Gate-Elektrode sind und der Gate-Kontaktabstandshalter zwischen den Gate-Abstandshaltern verläuft.Device after Claim 16 , further comprising: gate spacers on opposite sides of the gate electrode, wherein top surfaces of the gate spacers are higher than an upper surface of the gate electrode and the gate contact spacer extends between the gate spacers. Vorrichtung nach Anspruch 16 oder 17, wobei der Gate-Kontaktabstandshalter durchgehend von einer Oberseite des dritten Zwischenschichtdielektrikums zu einer Unterseite des zweiten Zwischenschicht-Dielektrikums ohne eine erkennbare Grenzfläche dazwischen verläuft.Device after Claim 16 or 17 wherein the gate contact spacer extends continuously from an upper surface of the third interlayer dielectric to an underside of the second interlayer dielectric without a detectable interface therebetween. Vorrichtung nach einem der Ansprüche 16 bis 18, die weiterhin Folgendes umfasst: einen ersten Source-/Drain-Kontaktstift in dem ersten Zwischenschicht-Dielektrikum; einen zweiten Source-/Drain-Kontaktstift in dem zweiten Zwischenschicht-Dielektrikum mit einer erkennbaren Grenzfläche zwischen dem ersten Source-/Drain-Kontaktstift und dem zweiten Source-/Drain-Kontaktstift; und einen Source-/Drain-Kontaktabstandshalter in dem zweiten Zwischenschicht-Dielektrikum, der den zweiten Source-/Drain-Kontaktstift umschließt.Device according to one of Claims 16 to 18 further comprising: a first source / drain contact pin in the first interlayer dielectric; a second source / drain contact pin in the second interlayer dielectric having a detectable interface between the first source / drain contact pin and the second source / drain contact pin; and a source / drain contact spacer in the second interlayer dielectric surrounding the second source / drain contact pin. Vorrichtung nach einem der Ansprüche 16 bis 19, die weiterhin Folgendes umfasst: eine dielektrische Low-k-Schicht über dem dritten Zwischenschicht-Dielektrikum; eine Metallleitung in der dielektrischen Low-k-Schicht, wobei die Metallleitung mit dem Source-/Drain-Bereich elektrisch verbunden ist; und einen dielektrischen Metallleitungs-Abstandshalter, der die Metallleitung umschließt.Device according to one of Claims 16 to 19 further comprising: a low-k dielectric layer over the third interlayer dielectric; a metal line in the low-k dielectric layer, the metal line being electrically connected to the source / drain region; and a dielectric metal line spacer surrounding the metal line.
DE102017118475.9A 2016-11-29 2017-08-14 SELF-ALIGNED SPACERS AND METHOD OF MAKING THEM Active DE102017118475B4 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662427477P 2016-11-29 2016-11-29
US62/427,477 2016-11-29
US15/386,952 US10510598B2 (en) 2016-11-29 2016-12-21 Self-aligned spacers and method forming same
US15/386,952 2016-12-21

Publications (2)

Publication Number Publication Date
DE102017118475A1 true DE102017118475A1 (en) 2018-05-30
DE102017118475B4 DE102017118475B4 (en) 2022-08-25

Family

ID=62117888

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102017118475.9A Active DE102017118475B4 (en) 2016-11-29 2017-08-14 SELF-ALIGNED SPACERS AND METHOD OF MAKING THEM

Country Status (4)

Country Link
KR (1) KR102002691B1 (en)
CN (1) CN108122827B (en)
DE (1) DE102017118475B4 (en)
TW (1) TWI698927B (en)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11107902B2 (en) * 2018-06-25 2021-08-31 Taiwan Semiconductor Manufacturing Company, Ltd. Dielectric spacer to prevent contacting shorting
US10840133B2 (en) * 2018-09-27 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with staggered selective growth
KR102612592B1 (en) * 2018-10-15 2023-12-12 삼성전자주식회사 Semiconductor device
US11069579B2 (en) 2018-10-19 2021-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11094795B2 (en) 2018-11-20 2021-08-17 Nanya Technology Corporation Semiconductor device and method for manufacturing the same
US11476363B2 (en) 2019-04-10 2022-10-18 United Microelectronics Corp. Semiconductor device and method of fabricating the same
CN111816710A (en) * 2019-04-10 2020-10-23 联华电子股份有限公司 Semiconductor device with a plurality of semiconductor chips
CN112201614A (en) * 2019-07-08 2021-01-08 中芯国际集成电路制造(上海)有限公司 Semiconductor device and method of forming the same
US11532561B2 (en) 2019-09-30 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Different via configurations for different via interface requirements
DE102020121496A1 (en) * 2019-09-30 2021-04-01 Taiwan Semiconductor Manufacturing Co., Ltd. DIFFERENT THROUGH CONTACT CONFIGURATIONS FOR DIFFERENT THROUGH CONTACT AREA REQUIREMENTS
CN112885775A (en) * 2019-11-29 2021-06-01 广东汉岂工业技术研发有限公司 Semiconductor structure and manufacturing method thereof
US11476347B2 (en) 2020-05-20 2022-10-18 Taiwan Semiconductor Manufacturing Co., Ltd. Processes for removing spikes from gates
US11855218B2 (en) 2020-09-09 2023-12-26 Etron Technology, Inc. Transistor structure with metal interconnection directly connecting gate and drain/source regions
US11658215B2 (en) * 2021-02-19 2023-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming contact structures

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3146962B2 (en) * 1995-12-14 2001-03-19 日本電気株式会社 Semiconductor storage device and method of manufacturing the same
US6165880A (en) * 1998-06-15 2000-12-26 Taiwan Semiconductor Manufacturing Company Double spacer technology for making self-aligned contacts (SAC) on semiconductor integrated circuits
US6180430B1 (en) * 1999-12-13 2001-01-30 Chartered Semiconductor Manufacturing Ltd. Methods to reduce light leakage in LCD-on-silicon devices
US7132363B2 (en) * 2001-03-27 2006-11-07 Advanced Micro Devices, Inc. Stabilizing fluorine etching of low-k materials
KR100780964B1 (en) * 2006-11-13 2007-12-03 삼성전자주식회사 Phase change memory device having cell diode and method of fabricating the same
KR101565797B1 (en) * 2009-02-16 2015-11-05 삼성전자주식회사 Semiconductor device having contact plug
JP2010287744A (en) * 2009-06-11 2010-12-24 Elpida Memory Inc Solid-state memory, data processing system, and data processing apparatus
JP2011228578A (en) * 2010-04-22 2011-11-10 Panasonic Corp Semiconductor device and method of manufacturing the same
US8569129B2 (en) * 2011-05-31 2013-10-29 Taiwan Semiconductor Manufacturing Company, Ltd. Device-manufacturing scheme for increasing the density of metal patterns in inter-layer dielectrics
US9252019B2 (en) 2011-08-31 2016-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method for forming the same
US8624324B1 (en) * 2012-08-10 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Connecting through vias to devices
TWI575654B (en) * 2012-12-05 2017-03-21 聯華電子股份有限公司 Semiconductor structure having contact plug and method of making the same
US9153483B2 (en) * 2013-10-30 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor integrated circuit fabrication
US9472448B2 (en) * 2014-03-14 2016-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plug without seam hole and methods of forming the same
US10998228B2 (en) * 2014-06-12 2021-05-04 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned interconnect with protection layer
JP2016127224A (en) * 2015-01-08 2016-07-11 キヤノン株式会社 Semiconductor device and semiconductor device manufacturing method
CN106206714B (en) * 2015-04-30 2020-06-30 联华电子股份有限公司 Semiconductor device with a plurality of transistors

Also Published As

Publication number Publication date
CN108122827B (en) 2021-04-06
DE102017118475B4 (en) 2022-08-25
KR102002691B1 (en) 2019-07-23
TWI698927B (en) 2020-07-11
TW201820457A (en) 2018-06-01
KR20180060952A (en) 2018-06-07
CN108122827A (en) 2018-06-05

Similar Documents

Publication Publication Date Title
DE102017118475B4 (en) SELF-ALIGNED SPACERS AND METHOD OF MAKING THEM
DE102019106763B4 (en) METHOD FOR FORMING AN INTEGRATED CIRCUIT STRUCTURE AND INTEGRATED CIRCUIT STRUCTURE
DE102017117795B4 (en) FETS AND METHOD OF PRODUCTION
DE102014019360B4 (en) SEMICONDUCTOR STRUCTURE AND THEIR PRODUCTION PROCESS
DE102018115901A1 (en) Dielectric spacer to avoid short circuits
DE102016119018A1 (en) Interconnection structure and procedure
DE102017123445A1 (en) Buried metal trace and method of making the same
DE102017124119B4 (en) Process for etch profile control of interconnection structures and integrated circuit
DE102017117793B4 (en) Process for the manufacture of multi-gate transistors and resulting structures
DE102017118345B4 (en) CONTACT OPENINGS AND METHOD OF MAKING THEM
DE102017127542A1 (en) STRUCTURE AND METHOD FOR A GATE INSULATING PLUG
DE102019116036B4 (en) SEMICONDUCTOR DEVICE AND METHOD
DE102019117011B4 (en) SEMICONDUCTOR DEVICE AND PRODUCTION METHOD
DE102016101844A1 (en) Interconnect structure, manufacturing method thereof and semiconductor device having such an interconnect structure
DE102017120571A1 (en) PROCEDURE FOR REDUCING CONTACT DEVICE FURTHER IN SEMICONDUCTOR MANUFACTURING
DE102018103163B4 (en) Method for producing an integrated circuit
DE102018206438B4 (en) Process for the production of contact structures
DE102017126049A1 (en) SEMICONDUCTOR COMPONENT AND METHOD FOR THE PRODUCTION THEREOF
DE102017127658A1 (en) SEMICONDUCTOR DEVICE AND METHOD
DE102017127205A1 (en) SEMICONDUCTOR COMPONENT AND METHOD
DE102017126106B4 (en) OF DIELECTRIC FINNES AND SPACERS RESTRICTED EPITAXIAL STRUCTURAL ELEMENTS
DE102020102548A1 (en) SELF-ALIGNING CONTACT ARRANGEMENT
DE102017103464B4 (en) DESIGN FOR A METAL GATE AND CONTACT PIN AND METHOD OF MANUFACTURING THEREOF
DE102019219072A1 (en) DUMMY FILLING SCHEME FOR USE WITH PASSIVE DEVICES
DE102018104004A1 (en) Gate structure and method with improved gate contact and improved threshold voltage

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final