DE102010030757A1 - Komplexe Metallisierungssysteme in Halbleitern, die durch Entfernung geschädigter dielektrischer Oberflächenschichten nach der Metallstrukturelemente gebildet sind - Google Patents

Komplexe Metallisierungssysteme in Halbleitern, die durch Entfernung geschädigter dielektrischer Oberflächenschichten nach der Metallstrukturelemente gebildet sind Download PDF

Info

Publication number
DE102010030757A1
DE102010030757A1 DE102010030757A DE102010030757A DE102010030757A1 DE 102010030757 A1 DE102010030757 A1 DE 102010030757A1 DE 102010030757 A DE102010030757 A DE 102010030757A DE 102010030757 A DE102010030757 A DE 102010030757A DE 102010030757 A1 DE102010030757 A1 DE 102010030757A1
Authority
DE
Germany
Prior art keywords
layer
dielectric
forming
metal
metallization
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE102010030757A
Other languages
English (en)
Other versions
DE102010030757B4 (de
Inventor
Torsten Huisinga
Michael Grillberger
Frank Feustel
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Dresden Module One LLC and Co KG
GlobalFoundries US Inc
Original Assignee
GlobalFoundries Dresden Module One LLC and Co KG
GlobalFoundries Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries Dresden Module One LLC and Co KG, GlobalFoundries Inc filed Critical GlobalFoundries Dresden Module One LLC and Co KG
Priority to DE102010030757.2A priority Critical patent/DE102010030757B4/de
Priority to US12/970,117 priority patent/US8399335B2/en
Publication of DE102010030757A1 publication Critical patent/DE102010030757A1/de
Application granted granted Critical
Publication of DE102010030757B4 publication Critical patent/DE102010030757B4/de
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53242Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold
    • H01L23/53252Additional layers associated with noble-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1052Formation of thin functional dielectric layers
    • H01L2221/1057Formation of thin functional dielectric layers in via holes or trenches
    • H01L2221/1063Sacrificial or temporary thin dielectric films in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

In komplexen Halbleiterbauelementen werden dicht gepackte Metallleitungsschichten auf der Grundlage eines dielektrischen Materials mit sehr kleinem ε hergestellt, wobei entsprechende modifizierte Bereiche mit erhöhter Dielektrizitätskonstante in Anwesenheit der Metallleitungen beispielsweise mittels eines selektiven nasschemischen Ätzprozesses entfernt werden. Folglich können die Metallleitungen mit gewünschten kritischen Abmessungen hergestellt werden, ohne dass eine Änderung der kritischen Abmessungen beim Entfernen des modifizierten Materialbereichs berücksichtigt werden muss, wie dies in konventionellen Strategien der Fall ist.

Description

  • Gebiet der vorliegenden Erfindung
  • Die vorliegende Erfindung betrifft allgemein das Gebiet der Halbleiterbauelemente und betrifft insbesondere Metallisierungssysteme mit empfindlichen dielektrischen Materialien und dielektrischen Materialien mit sehr kleinem ε (ULK).
  • Beschreibung des Stands der Technik
  • Der heutige globale Markt zwingt die Hersteller von Massenprodukten dazu, ihre Produkte mit hoher Qualität und geringem Preis anzubieten. Es ist daher wichtig, die Ausbeute und die Prozesseffizienz zu verbessern, um damit die Herstellungskosten zu minimieren. Dies gilt insbesondere auf dem Gebiet der Halbleiterherstellung, da es hier wesentlich ist, modernste Technologien mit Massenproduktionsverfahren zu kombinieren. Ein wichtiger Aspekt bei der Realisierung der obigen Strategie wird darin gesehen, die Bauteilqualität stetig im Hinblick auf das Leistungsverhalten und die Zuverlässigkeit zu verbessern, wobei auch die Vielfalt an Funktionen der Halbleiterbauelemente erhöht werden soll. Diese Fortschritte sind typischerweise mit einer Verringerung der Abmessungen der einzelnen Schaltungselemente, etwa der Transistoren und dergleichen verknüpft. Auf Grund der stetigen Verringerung kritischer Strukturgrößen müssen zumindest in einigen Phasen des gesamten Fertigungsprozesses häufig neue Materialien eingeführt werden, um Bauteileigenschaften an die geringeren Strukturgrößen anzupassen. Ein wichtiges Beispiel in dieser Hinsicht ist die Herstellung komplexer Metallisierungssysteme von Halbleiterbauelementen, in denen komplexe Metallmaterialien, etwa Kupfer, Kupferlegierungen und dergleichen zunehmend in Verbindung mit dielektrischen Materialien mit kleinem ε verwendet werden, die als dielektrische Materialien zu verstehen sind, die eine Dielektrizitätskonstante von ungefähr 3,0 oder weniger besitzen, wobei in jüngsten Entwicklungen Materialien verwendet werden, in denen die effektive Dielektrizitätskonstante noch weiter verringert wird, beispielsweise indem eine poröse Struktur vorgesehen wird, wobei diese Materialien auch als Dielektrika mit ultra-kleinem oder sehr kleinem ε (ULK) bezeichnet werden. Unter Anwendung gut leitender Metalle, etwa von Kupfer, kann zumindest die geringere Querschnittsfläche der Metallleitungen und Kontaktdurchführungen kompensiert werden durch die höhere Leitfähigkeit, im Vergleich zu beispielweise Aluminium, das das Metall der Wahl während der letzten Jahrzehnte war, selbst für komplexe integrierte Bauelemente.
  • Andererseits ist die Einführung des Kupfers in die Halbleiterfertigung mit einer Reihe von Problemen verknüpft, etwa der Empfindlichkeit freiliegender Kupferopferflächen im Hinblick auf reaktive Komponenten, etwa Sauerstoff, Fluor und dergleichen, im Hinblick auf die erhöhte Diffusionsaktivität des Kupfers in einer Vielzahl von Materialien, die typischerweise in Halbleiterbauelementen eingesetzt werden, etwa in Silizium, Siliziumdioxid, einer Vielzahl an dielektrischen Materialien mit kleinem ε und dergleichen, auf Grund der Eigenschaft des Kupfers, im Wesentlichen keine flüchtigen Nebenprodukte auf der Grundlage typisch verwendeter plasmaunterstützter Ätzprozesse zu bilden, und dergleichen. Aus diesen Gründen wurden komplexe Einlege- oder Damaszenerprozesstechniken entwickelt, in denen das dielektrische Material zuerst strukturiert wird, um Gräben und/oder Kontaktlochöffnungen zu schaffen, die dann mit einem geeigneten Barrierenmaterial beschichtet werden, woran sich das Abscheiden des Kupfermaterials anschließt. Folglich ist eine Vielzahl sehr komplexer Prozesse, etwa das Abscheiden komplexer Materialstapel zur Herstellung des dielektrischen Zwischenschichtmaterials mit den Dielektrika mit kleinem ε oder den ULK-Materialien, das Strukturieren des dielektrischen Materials, das Bereitstellen geeigneter Barrieren- und Saatmaterialien, das Einführen des Kupfermaterials, das Entfernen von überschüssigem Material und dergleichen, erforderlich, um komplexe Metallisierungssysteme zu bilden, wobei die wechselseitigen Einflüsse dieser Prozesse schwer abschätzbar sind, insbesondere wenn Materialzusammensetzungen und Prozessstrategien häufig Ändern im Hinblick auf eine weitere Gesamtsteigerung des Leistungsverhaltens des Halbleiterbauelemente.
  • Beispielsweise kann die ständige Verringerung der kritischen Abmessungen auch kleinere Abmessungen der Metallleitungen und Kontaktdurchführungen erfordern, die in dem Metallisierungssystem komplexer Halbleiterbauelemente ausgebildet sind, was zu dicht liegenden Metallleitungen führt, was wiederum zu erhöhten RC-(Widerstands/Kapazitäts-)Zeitkonstanten führt. Diese parasitären RC-Zeitkonstanten führen zu einer merklichen Signalausbreitungsverzögerung, wodurch das Gesamtleistungsverhalten des Halbleiterbauelements begrenzt wird, obwohl kleinste Transistorelemente in der Bauteilebene verwendet werden. Aus diesem Grunde werden die parasitären RC-Zeitkonstanten verringert, indem gut leitende Metalle, etwa Kupfer, in Verbindung mit dielektrischen Materialien mit geringerer Permittivität verwendet werden, die auch als ULK-Materialien bezeichnet werden, wie dies zuvor erläutert ist. Andererseits zeigen diese Materialien eine deutlich geringere mechanische und chemische Stabilität, wenn diese beispielsweise den diversen reaktiven Ätzatmosphären ausgesetzt werden, etwa beispielsweise während der Ätzprozesse, der Lackabtragung und dergleichen, wodurch zunehmend eine geschädigte Zone an den freiliegenden Oberflächenbereichen dieser empfindlichen dielektrischen Materialien erzeugt wird. Die geschädigten Oberflächenbereiche führen jedoch zu einer beeinträchtigten Zuverlässigkeit des gesamten Metallisierungssystems, d. h. zu einem vorzeitigen Bauteilausfall während des Betriebs des Bauelements und/oder es können nachfolgende Prozessschritte deutlich durch die geschädigten Oberflächenbereiche beeinflusst werden, wodurch zu einem geringeren Gesamtleistungsverhalten und einer reduzierten Zuverlässigkeit beigetragen wird. Die geschädigten Zonen der ULK-Materialien können ferner eine deutlich erhöhte Dielektrizitätskonstante im Vergleich zu den anfänglichen Material auf Grund der durch die Ätzprozesse hervorgerufenen Modifikation zeigen. Aus diesen Gründen wird in einigen konventionellen Prozessstrategien der geschädigte Oberflächenbereich vor nachfolgenden Prozessschritten entfernt, was jedoch wieder mit gewissen negativen Effekten auf das schließlich erhaltene Bauelement verknüpft sein kann, wie dies deutlicher mit Bezug zu den 1a bis 1c beschrieben ist.
  • 1a zeigt schematisch eine Qeurschnittsansicht eines Halbleiterbauelements 100 in einer fortgeschrittenen Fertigungsphase, d. h. in einer Fertigungsphase, in der ein Metallisierungssystem 150 auf der Grundlage empfindlicher dielektrischer Materialien in Verbindung mit gut leitenden Metallen herzustellen ist. Wie gezeigt, umfasst das Halbleiterbauelement 100 ein Substrat 101, über welchem eine Halbleiterschicht 102, etwa eine Siliziumschicht und dergleichen, ausgebildet ist, in und über welcher Schaltungselemente 110 typischerweise vorgesehen sind, etwa Transistoren, Widerstände, Kondensatoren und dergleichen. In komplexen Halbleiterbauelementen werden die Schaltungselemente 110 auf der Grundlage von Entwurfsregeln hergestellt, die kritische Abmessungen von 50 nm und deutlich weniger erfordern, wodurch das Leistungsverhalten der einzelnen Schaltungselemente 110 verbessert wird und wodurch auch zu einer höheren Packungsdichte in und über der Halbleiterschicht 102 beigetragen wird. Folglich ist eine geeignete Anpassung der Packungsdichte in dem Materialisierungssystem 150 erforderlich, um in geeigneter Weise die einzelnen Schaltungselemente 110 miteinander zu verbinden, ohne dass wertvolle Chipfläche verbraucht wird, ohne dass die Notwendigkeit besteht, eine große Anzahl einzelner Metallisierungsschichten in dem System 150 bereitzustellen.
  • Typischerweise werden mehrere Metallisierungsschichten in dem Metallisierungssystem 150 benötigt, wobei der Einfachheit halber zwei benachbarte Metallisierungsschichten 120, 130 in 1a gezeigt sind. Beispielsweise umfasst die Metallisierungsschicht 120 ein geeignetes dielektrisches Material 121, etwa ein dielektrisches Material mit kleinem ε, dessen Dielektrizitätskonstante 3,0 oder deutlich geringer ist. Wie beispielsweise zuvor erläutert ist, sind in komplexen Fällen zumindest einige der Metallisierungsschichten 120, 130 mit einem ULK-Material mit einer Dielektrizitätskonstante von 2,7 versehen, was typischerweise erreicht wird, indem ein dielektrisches Basismaterial mit kleinem ε vorgesehen wird, und indem eine poröse Struktur in dem dielektrischen Basismaterial geschaffen wird, wodurch die Dielektrizitätskonstante weiter verringert wird, wobei jedoch auch die mechanische und chemische Widerstandsfähigkeit dieser empfindlichen Materialien zumindest für spezielle reaktive Prozessatmosphären verringert wird, wobei diese Atmosphären typischerweise bei der Herstellung des Metallisierungssystems 150 einzusetzen sind.
  • Es sollte beachtet werden, dass die Dielektrizitätskonstante von Materialien, die typischerweise in dem Metallisierungssystem 150 eingesetzt werden, auf der Grundlage gut etablierter Messverfahren festgestellt werden, in denen eine geeignete Materialschicht in eine kapazitive Struktur mit einer gewünschten Konfiguration strukturiert wird, wobei die Materialeigenschaften im Hinblick auf die Dielektrizitätskonstante dann bestimmt werden, indem elektrische Messprozesse ausgeführt werden. In anderen Fällen werden die Materialeigenschaft effizient auf der Grundlage empfindlicher Analysetechniken bestimmt, etwa durch fouriertransformierte Infrarotspektroskopie (FTIR), die sehr empfindlich ist auf chemische Modifizierungen von Materialien und die auch eine quantitative Bestimmung der Materialien innerhalb einer Materialprobe ermöglicht, wobei die Materialzusammensetzung dann effizient mit einem Wert der Dielektrizitätskonstante verknüpft werden kann, der durch andere Messverfahren ermittelt wurde. Somit bezeichnet der Begriff dielektrisches Material mit kleinem ε ein Material mit einer Dielektrizitätskonstante von 3,0 oder weniger und basiert auf gut etablierten Messtechniken, wobei typischerweise Messergebnisse weniger als 5% voneinander abweichen. In ähnlicher Weise wird ein dielektrisches ULK-Material durchwegs in dieser Anmeldung als ein dielektrisches Material betrachtet, das eine Dielektrizitätskonstante von 2,8 oder weniger besitzt, wobei typischerweise der Bereich von ungefähr 5% Messtoleranzen berücksichtigt ist, wobei dies von der verwendeten Messtechnik abhängt, um die Dielektrizitätskonstante zu bestimmen. Wie zuvor erläutert ist, besitzen ULK-Materialien typischerweise eine poröse Struktur, wobei Poren mit Abmessungen von 1 bis mehrere Nanometer typischerweise zufällig über das gesamte Material hinweg verteilt sind, wobei die Materialeigenschaften dennoch als gleichmäßig betrachtet werden, solange ein entsprechendes Probenvolumen deutlich größer ist als ein entsprechender anisotroper „Nano-Luftspalt” oder eine Pore, d. h. solange eine Vielzahl an Nanoporen innerhalb eines Probenvolumens enthalten ist. Ferner umfasst die Metallisierungsschicht 120 mehrere Metallleitungen 132, die für die elektrische Verbindung innerhalb der Ebene in der Metallisierungsschicht 120 sorgen. Die Metallisierungsschicht 120 besitzt einen ähnlichen Aufbau, wie dies auch mit Bezug zu der Metallisierungsschicht 130 beschrieben wird. Die Metallisierungsschicht 130 umfasst ebenfalls ein dielektrisches Material mit kleinem ε 121 oder ein ULK-Material in dem oben definierten Sinne, in welchem mehrere Metallleitungen 132 eingebettet sind. Die Metallisierungsschicht 130 kann so betrachtet werden, dass diese eine Metallleitungsschicht 130b enthält, wobei die Dicke im Wesentlichen durch die Tiefe der Metallleitungen 132 festgelegt ist. Ferner ist eine Kontaktdurchführungsschicht 130a typischerweise so vorgesehen, dass eine Verbindung von zumindest einigen der Metallleitungen 132 zu zumindest einigen der Metallleitungen 122 der tiefer liegenden Metallisierungsschicht 120 an speziellen Positionen hergestellt wird, wie dies beispielsweise durch die gestrichelten Linien 132v dargestellt ist. Folglich umfasst die Kontaktdurchführungsschicht 130a einen Teil des dielektrischen Materials 121 in Verbindung mit einem oder mehreren dielektrischen Materialien 133, die ein besseres Verhalten im Hinblick auf die chemische Widerstandsfähigkeit und die mechanische Stabilität besitzen. Die Schicht 133 kann auch als eine Ätzstoppschicht bezeichnet werden und enthält Siliziumnitrid, stickstoffenthaltendes Siliziumkarbid, Siliziumdioxid oder eine geeignete Kombination dieser Materialien, um den Strukturierungsprozess zur Herstellung entsprechender Öffnungen der Kontaktdurchführungen 122v zu steuern. Ferner bietet in einigen Fällen die Ätzstoppschicht 123 auch Diffusionsblockiereigenschaften, um eine unerwünschte Kupferdiffusion in empfindliche Bauteilbereiche zu vermeiden. Dazu wird typischerweise eine beträchtliche Menge an Stickstoff in die Schicht 133 eingebaut.
  • Die Metallleitungen 132 sind typischerweise aus einer leitenden Barrierenschicht 132a oder einem Schichtsystem mit zwei oder mehr einzelnen Schichten aufgebaut, während ein gut leitendes Kernmetall oder Füllmaterial 132b für die gewünschte Leitfähigkeit der Metallleitungen 132 bei einer vorgegebenen Querschnittsfläche sorgt. Beispielsweise werden Kupfer oder Kupferlegierungen für das Material 132b vorgesehen. Wie gezeigt, müssen die Metallleitungen 132 ggf. gemäß strikt festgelegter Entwurfsregeln bereitgestellt werden, um die gewünschte „Dichte” an Metallleitungen in der Metallisierungsschicht 130 zu erzeugen. Beispielsweise wird, wie in 1a gezeigt ist, eine kritische Breite 132w auf der Grundlage von Entwurfskriterien eingestellt, wodurch ebenfalls ein Abstand 132p festgelegt wird, die in der gleichen Größenordnung in dicht gepackten Bauteilbereichen sein können. Beispielsweise tragen die Abmessungen 132w, 131m 100 nm und weniger in komplexen Halbleiterbauelementen, wobei dies von der betrachteten Metallisierungsebene abhängt.
  • Wie zuvor erläutert ist, ist das Gesamtverhalten des Bauelements 100 wesentlich durch das Leistungsvermögen des Metallisierungssystems 150 beeinflusst, beispielsweise im Hinblick auf die Signalausbreitungsverzögerung, die durch die parasitären RC-Zeitkonstanten hervorgerufen wird, die wiederum wesentlich durch die parasitäre Kapazität der Metallleitungsschichten, etwa der Schicht 130b vorgegeben sind. Folglich ist es äußerst wichtig, die Dielektrizitätskonstante des Materials 131 möglichst stark zu verringern, insbesondere in dicht gepackten Bauteilbereichen, wodurch extrem geringe Werte für die Dielektrizitätskonstante für das Material 131 erforderlich sind. Folglich übt die Anwesenheit jeglicher modifizierter Materialbereiche, die durch 131m angegeben sind, in dem dielektrischen Material 131 in den Zwischenräumen zwischen den dicht liegenden Metallleitungen 132 einen wesentlichen Einfluss auf die gesamte integrierte Dielektrizitätskonstante und somit das schließlich erreichte elektrische Leistungsverhalten des Metallisierungssystems 150 aus. Insbesondere sehr empfindliche ULK-Materialien erleiden eine signifikante Materialmodifizierung, die durch die Einwirkung reaktiver Prozessatmosphären hervorgerufen wird, wodurch verdichtete Oberflächenbereiche mit einer deutlich erhöhten Dielektrizitätskonstante geschaffen werden, wie dies in 1a durch die modifizierten Oberflächenschichten 131m dargestellt ist, die zumindest die Seitenwände der Metallleitungen 132 begrenzen.
  • Typischerweise wird das in 1a gezeigte Halbleiterbauelement 100 auf der Grundlage gut etablierter Prozesstechniken hergestellt, die die Herstellung der Schaltungselemente 110 mit einschließen, woran sich eine geeignete Fertigungssequenz zum Bereitstellen einer Kontaktstruktur (nicht gezeigt) anschließt, die als eine Schnittstelle zwischen den Schaltungselementen 110 und dem Metallisierungssystem 150 dient. Daraufhin wird das Metallisierungssystem 150 hergestellt, indem ein geeignetes dielektrisches Material, etwa die Materialien 121 und 131 in Verbindung mit der Ätzstoppschicht 133 abgeschieden werden. Der Einfachheit halber werden entsprechende Fertigungsprozesse mit Bezug zu den Metallisierungsschichten 120 beschrieben, wobei zu beachten ist, dass ähnliche Prozesstechniken auch auf die Metallisierungsschicht 120 angewendet werden, wenn eine ähnliche Konfiguration der Schicht 130 erforderlich ist. Somit wird nach dem Bereitstellen der Metallisierungsschicht 130 das dielektrische Material 131 aufgebracht, beispielsweise in Form eines siliziumenthaltenden Materials, das eine gewünschte geringe Dielektrizitätskonstante besitzt, die auf der Grundlage geeigneter Behandlungen weiter verringert werden, um eine poröse Struktur und dergleichen zu schaffen. In anderen Fällen werden andere Materialien aufgebracht, beispielsweise durch Aufschleudertechniken und dergleichen und es werden der Abscheidung nachgeordnete Behandlungen angewendet, um die Dielektrizitätskonstante weiter zu verringern. In jedem Falle weist eine breite Klasse an ULK-Materialien eine geringere mechanische und chemische Widerstandsfähigkeit im Hinblick auf eine Vielzahl von plasmaunterstützten Ätzrezepten, Reinigungsprozessen und dergleichen auf.
  • Nach dem Vorsehen des dielektrischen Materials 131 mit der gewünschten reduzierten Dielektrizitätskonstante wird eine komplexe Strukturierungssequenz ausgeführt, die beispielsweise das Abscheiden von ARC-(antireflektierende Beschichtungs-)Materialien in Verbindung mit Lackmaterialien umfasst, um eine geeignete Ätzmaske vorzusehen, so dass die Breite 122w und der Abstand 132p festgelegt werden, und so dass auch die laterale Größe und Lage von Kontaktdurchführungen 132v bestimmt werden. Die entsprechende Strukturierungssequenz repräsentiert einen kritischen Prozess, da die Lithographie sowie die nachfolgenden Ätzstrukturierungsstrategien so gestaltet sind, dass die kleinst möglichen Strukturgrößen erreicht werden, die gemäß den speziellen Entwurfsregeln konsistent erreicht werden können. Folglich müssen plasmaunterstützte Ätzprozesse angewendet werden und nachfolgend müssen Lackmasken, Hartmaskenmaterialien und dergleichen abgetragen werden, wodurch eine Reihe von komplexen Prozessen ausgeführt werden müssen. Folglich wird das Material 131 innerhalb der resultierenden Öffnungen für die Metallleitungen 132 und auch für die entsprechenden Kontaktdurchführungen wiederholt der Einwirkung reaktiver Atmosphären ausgesetzt, was zu einem gewissen Grad an Schädigung führt, wodurch der modifizierte Oberflächenschichtbereich 131m erzeugt wird. Auf Grund der deutlich unterschiedlichen Materialeigenschaften der modifizierten Schicht 131m vergrößert sich auch die Dielektrizitätkskonstante deutlich, so dass insgesamt die parasitären Zeitkonstanten in der Metallleitungsschicht 130b zunehmen. Daraufhin wird das Barrierenmaterial 132a hergestellt, beispielsweise durch gut etablierte Abscheidetechniken, woran sich das Abscheiden des Füllmetalls 132b anschließt, was typischerweise auf der Grundlage elektrochemischer Abscheideprozesse bewerkstelligt wird. Als nächstes wird überschüssiges Material abgetragen, beispielsweise durch CMP (chemisch-mechanisches Polieren), Elektro-CMP, Elektro-Ätzen und dergleichen, wobei auch typischerweise ein modifizierter Bereich des dielektrischen Materials 131 von der oberen Fläche der Metallisierungsschicht 130 abgetragen wird.
  • Da die Anwesenheit des modifizierten Materials 131m mit der erhöhten Dielektrizitätskonstante zu einer geringeren Leistung und damit zu einer erhöhten Leistungsaufnahme in komplexen Halbleiterbauelementen führt, etwa in komplexen Mikroprozessoren und dergleichen, wurde vorgeschlagen, das Material 131m vor dem Herstellen der Metallleitungen 132 zu entfernen.
  • 1b zeigt schematisch das Halbleiterbauelement 100 während einer entsprechenden Prozessstrategie. Wie gezeigt, sind Gräben 134 in dem dielektrischen Material mit kleinem ε 131 auf der Grundlage der kritischen Breite 132w hergestellt, die jedoch nicht willkürlich auf Grund der zuvor spezifizierten Beschränkungen der beteiligten Lithographie- und Strukturierungsprozesse verringert werden kann. Somit wird, wie gezeigt ist, die modifizierte Materialschicht 131 in den Öffnungen 134 erzeugt, die deutlich unterschiedliche Materialeigenschaften besitzt. Folglich wird in einigen konventionellen Vorgehensweisen die modifizierte Materialschicht 131m effizient abgetragen durch Anwendung geeigneter nasschemischer Ätzrezepte, wie dies durch 103 angegeben ist, die eine ausgeprägte Selektivität in Bezug auf nicht-modifizierte Bereiche des dielektrischen Materials 131 besitzen. Beispielsweise können nasschemische Mittel auf der Grundlage von HCl effizient eingesetzt werden, um im Wesentlichen selektiv den modifizierten Bereich 131m abzutragen. Beispielsweise besitzt die Schicht 131m eine Dicke von ungefähr mehrere 100 nm bis einige 10 nm oder mehr, die somit effizient während des nass-chemischen Ätzprozesses 103 abgetragen wird, ohne dass der nicht-modifizierte Bereich 131 wesentlich beeinflusst wird, da die eigentliche Einwirkzeit durch die Umgebung 103 relativ gering ist, während gleichzeitig eine moderat hohe Selektivität erreicht wird.
  • 1c zeigt schematisch das Bauelement 100 nach dem Entfernen der modifizierten Schicht 131m, was jedoch zu einer Zunahme der Breite der Gräben 134 führt, wie dies durch 134w angegeben ist. Gleichzeitig verringert sich der Abstand 132p, was zu ungünstigeren Prozessbedingungen beim Füllen der Gräben mit der größeren Größe 134 mit dem leitenden Material führt. D. h., der geringere Abstand 132p führt zu einer höheren Wahrscheinlichkeit des Erzeugens von Leckstrompfaden zwischen benachbarten Metallleitungen und/oder es wird die gesamte Isolierfestigkeit verringert, was erhöhte Ausbeuteverluste und eine geringere Zuverlässigkeit des Metallisierungssystems 150 nach sich ziehen kann. Folglich muss eine entsprechende Verringerung des Abstands 132p beim Entwerfen des Halbleiterbauelements 100 berücksichtigt werden, wobei jedoch in Verbindung mit der größeren Breite 134w allgemein die resultierende Packungsdichte in der Metallisierungsschicht 130 zu verringern ist.
  • Im Hinblick auf die zuvor beschriebene Situation betrifft die vorliegende Erfindung Halbleiterbauelemente und Fertigungstechniken, in denen Metallisierungsschichten mit hoher Packungsdichte auf der Grundlage einer sehr geringen Dielektrizitätskonstante bereitgestellt werden, wobei eines oder mehrere der oben erkannten Probleme vermieden oder zumindest in der Auswirkung reduziert werden.
  • Überblick über die Erfindung
  • Die folgende Erfindung stellt allgemein Techniken und Halbleiterbauelemente bereit, in denen Metallleitungen in empfindlichen dielektrischen Materialien mit einer niedrigen Dielektrizitätskonstante hergestellt werden, wobei gleichzeitig gewünschte kritische Abmessungen beibehalten werden, ohne die resultierende Dielektrizitätskonstante zu erhöhen, während in anderen Fällen die resultierende Dielektrizitätskonstante noch 'weiter verringert werden kann, indem Luftspalten lateral benachbart zu den Metallleitungen bereitgestellt werden. Dazu wird ein ausgeprägter Anteil jeglicher modifizierter Materialbereiche, die während der vorhergehenden Strukturierung der empfindlichen dielektrischen Materialien mit kleinem ε oder der ULK-Materialien erzeugt werden, effizient in Anwesenheit der Metallleitungen entfernt werden, wodurch entsprechende Spalten lateral benachbart zu den Metallleitungen geschaffen werden. Daraufhin wird ein geeignetes dielektrisches Material vor der Herstellung einer nächsten Metallisierungsschicht abgeschieden. Folglich können komplexe Metallisierungsschichten auf der Grundlage eng gesetzter Entwurfsregeln hergestellt werden, wobei Mechanismen zum Modifizieren empfindlicher dielektrischer Materialien nicht berücksichtigt werden müssen, da diese Bereiche mit erhöhter Dielektrizitätskonstante nach der Fertigstellung der Metallstrukturelemente entfernt werden. Dazu werden in einigen anschaulichen hierin offenbarten Ausführungsformen geeignete nasschemische Ätzrezepte angewendet, die für eine gewisse Selektivität sorgen, wodurch nicht modifizierte Bereiche des empfindlichen dielektrischen Materials nicht unnötig abgetragen werden.
  • Ein anschauliches hierin offenbartes Verfahren umfasst das Bilden einer Öffnung in einem dielektrischen Material mit sehr kleinem ε, das in einer Metallisierungsschicht eines Halbleiterbauelements ausgebildet ist, indem eine Prozesssequenz mit mindestens einem Ätzprozess ausgeführt wird, die eine modifizierte Materialschicht auf zumindest einigen freiliegenden Oberflächenbereichen innerhalb der Öffnung erzeugt. Das Verfahren umfasst ferner das Bilden eines leitenden metallenthaltenden Materials in der Öffnung, um ein Metallgebiet in der Öffnung herzustellen. Des weiteren umfasst das Verfahren das Entfernen der modifizierten Materialschicht von zumindest einem Teil der Seitenwände der Öffnung in Anwesenheit des Metallgebiets, um Spalte benachbart zu dem Metallgebiet zu erzeugen. Ferner umfasst das Verfahren das Bilden einer dielektrischen Materialschicht über der Metallisierungsschicht, so dass die Spalte geschlossen werden.
  • Ein weiteres anschauliches hierin offenbartes Verfahren betrifft die Herstellung einer Metallleitung in einer Metallisierungsschicht eines Halbleiterbauelements. Das Verfahren umfasst das Bilden eines Grabens in einem dielektrischen Material der Metallisierungsschicht und das Bilden einer modifizierten Materialschicht zumindest an Seitenwandflächen des Grabens. Des weiteren umfasst das Verfahren das Füllen des Grabens, der die modifizierte Materialschicht mit einem metallenthaltenden Material enthält, so dass die Metallleitung erzeugt wird. Ferner wird zumindest ein Teil der modifizierten Materialschicht in Anwesenheit der Metallleitung entfernt, so dass ein Spalt lateral benachbart zu der Metallleitung erzeugt wird. Des weiteren umfasst das Verfahren das Bilden einer dielektrischen Schicht über der Metallleitung und dem Spalt.
  • Ein anschauliches hierin offenbartes Halbleiterbauelement umfasst mehrere Metallleitungen, die in einem dielektrischen Material mit sehr kleinem ε einer Metallisierungsschicht des Halbleiterbauelements ausgebildet sind, wobei das dielektrische Material mit sehr kleinem ε eine im Wesentlichen gleichmäßige Dielektrizitätskonstante aufweist. Ferner umfasst das Halbleiterbauelement einen Luftspalt, der lateral benachbart zu jedem der mehreren Metallleitungen ausgebildet und lateral durch das dielektrische Material mit sehr kleinem ε, das die im wesentlichen gleichmäßige Dielektrizitätskonstante besitzt, begrenzt ist.
  • Kurze Beschreibung der Zeichnungen
  • Weitere Ausführungsformen der vorliegenden Erfindung sind in den angefügten Patentansprüchen definiert und gehen deutlicher aus der folgenden detaillierten Beschreibung hervor, wenn diese mit Bezug zu den begleitenden Zeichnungen studiert wird, in denen:
  • 1a bis 1c schematisch ein komplexes Halbleiterbauelement während diverser Fertigungsphasen zeigen, wenn ein komplexes Metallisierungssystem auf der Grundlage eines dielektrischen Materials mit sehr kleinem ε gemäß konventioneller Prozessstrategien hergestellt wird;
  • 2a bis 2f schematisch Querschnittsansichten eines Halbleiterbauelements während diverser Fertigungsphasen zeigen, wenn ein Metallisierungssystem auf der Grundlage eines dielektrischen Materials mit sehr kleinem ε hergestellt wird, indem modifizierte Materialbereiche nach dem Bereitstellen der Metallleitungen gemäß anschaulicher Ausführungsformen reduziert werden;
  • 2g schematisch das Halbleiterbauelement gemäß einer weiteren anschaulichen Ausführungsform zeigt, in der Luftspalte, die durch das selektive Entfernen modifizierter Teile des dielektrischen Materials mit sehr kleinem ε geschaffen werden, auf der Grundlage eines Ätzstoppmaterials einer nachfolgenden Metallisierungsschicht geschlossen werden; und
  • 2h zeigt schematisch eine Querschnittsansicht des Halbleiterbauelements gemäß weiterer anschaulicher Ausführungsformen, wobei zumindest ein zusätzlicher Prozessschritt angewendet wird bei der Herstellung von Gräben für die Metallleitungen in dem dielektrischen Material mit sehr kleinem ε, um in geeigneter Weise eine Dicke modifizierter Oberflächenschichten darin zu steuern.
  • Detaillierte Beschreibung
  • Zu beachten ist, dass obwohl die vorliegende Erfindung mit Bezug zu den Ausführungsformen beschrieben ist, wie sie in der folgenden detaillierten Beschreibung dargestellt sind, die detaillierte Beschreibung nicht beabsichtigt, die vorliegende Erfindung auf die speziellen offenbarten Ausführungsformen einzuschränken, sondern die beschriebenen Ausführungsformen stellen lediglich beispielhaft die diversen Aspekte der vorliegenden Erfindung dar, deren Schutzbereich durch die angefügten Patentansprüche definiert ist.
  • Die vorliegende Erfindung stellt Halbleiterbauelemente und Fertigungstechniken bereit, in denen modifizierte Bereiche eines dielektrischen Materials mit sehr kleinem ε entfernt werden, ohne dass die schließlich erhaltenen kritischen Abmessungen der Metallleitungen in komplexen Metallisierungssystemen beeinflusst werden. D. h., die Metallleitung einer betrachteten Metallisierungsschicht wird auf der Grundlage von Entwurfsregeln hergestellt, die mit den Lithographie- und Strukturierungsmöglichkeiten einer entsprechenden Metallisierungsebene in Einklang sind, ohne dass das Erzeugen modifizierter Oberflächenbereiche eines empfindlichen dielektrischen Materials beim Strukturieren des dielektrischen Materials mit sehr kleinem ε zu berücksichtigen ist. Folglich werden die metallenthaltenden Materialien in die Öffnungen in Anwesenheit der modifizierten Materialschicht eingefüllt, die dann entfernt wird, beispielsweise unter Anwendung selektiver nasschemischer Ätzrezepte, wodurch entspreche Spalte lateral benachbart zu den zuvor hergestellten Metallleitungen erzeugt werden, wobei die Spalte eine Breite besitzen, die vergleichbar ist mit der Dicke der modifizierten Oberflächenschicht. Folglich können die entsprechende Spalte mit einer Breite von 50 nm und deutlich weniger erzeugt werden, wobei dies von der anfänglichen Dicke der modifizierten Oberflächenschichten abhängt, und diese werden nachfolgend wieder aufgefüllt, zumindest teilweise, mittels eines geeigneten dielektrischen Materials, etwa mittels eines dielektrischen Materials mit sehr kleinem ε. Ferner im Wesentlichen nicht gefüllte Bereiche der anfänglichen Spalte beibehalten werden, wodurch effizient Luftspalte lateral benachbart zumindest zu einem Teil der Metallleitungen bereitgestellt werden, was daher zu einer noch geringeren Dielektrizitätsgesamtkonstante der Metallleitungsschicht führt. Folglich können kritische Metallisierungsschichten, d. h. die entsprechenden Metallleitungsschichten, mit hoher Packungsdichte bereitgestellt werden, ohne dass das gesamte elektrische Leistungsvermögen negativ beeinflusst wird, während in anderen Fällen selbst eine noch geringere integrierte Dielektrizitätskonstante in der Metallleitungsschicht im Vergleich zu einer Dielektrizitätskonstante erreicht wird, die ansonsten auf der Grundlage eines gleichförmigen dielektrischen Materials mit sehr kleinem ε erreicht würde.
  • Mit Bezug zu den 2a bis 2h werden nunmehr weitere anschauliche Ausführungsformen detaillierter beschrieben, wobei auch bei Bedarf auf die 1a bis 1c verwiesen wird.
  • 2a zeigt schematisch eine Querschnittsansicht eines Halbleiterbauelements 200 in einer Fertigungsphase, in der eine Metallisierungsschicht 230 eines Metallisierungssystems 250 auf der Grundlage anspruchsvoller Bauteilgeometrien in Verbindung mit komplexen dielektrischen Materialien mit sehr kleinem ε herzustellen ist. Das Halbleiterbauelement 200 umfasst ein Substrat 201, über welchem entsprechende Bauteilebenen angeordnet sind, etwa eine Halbleiterschicht, eine Kontaktstruktur und dergleichen. Beispielsweise sind Schaltungselemente in und über einer Halbleiterschicht ausgebildet, wie dies auch zuvor mit Bezug zu dem Halbleiterbauelement 100 erläutert ist. Wie ferner zuvor erläutert ist, wird typischerweise eine Vielzahl an Metallisierungsschichten in dem Metallisierungssystem 250 vorgesehen, wobei der Einfachheit halber eine einzelne Metallisierungsschicht 230 in 2a gezeigt ist, wobei jedoch zu beachten ist, dass jede andere kritische Metallisierungsschicht des Systems 250 auf der Grundlage der gleichen Prinzipien hergestellt werden kann, wie sie mit Bezug zu der Metallisierungsschicht 230 erläutert werden.
  • Wie gezeigt, ist eine Ätzstoppschicht 233, die einen beliebigen geeigneten Aufbau besitzt, vorgesehen und trennt die Metallisierungsschicht 230 von einer darunter liegenden Metallisierungsschicht (nicht gezeigt). Ferner ist ein dielektrisches Material mit sehr kleinem ε 231 über der Ätzstoppschicht 233 ausgebildet, wobei in der gezeigten Ausführungsform ein Teil des dielektrischen Materials 231 und der Ätzstoppschicht 233 eine Kontaktdurchführungsschicht 230a bilden oder repräsentieren, während ein verbleibender Teil des dielektrischen Materials 231 eine Metallleitungsschicht 230b zusammen mit Metallleitungen 232 bildet. Es sollte jedoch beachtet werden, dass die hierin offenbarten Prinzipien auch Ausführungsformen mit einschließen, in denen eine unterschiedliche Art an dielektrischen Material in der Kontaktdurchführungsschicht 230 vorgesehen ist, beispielsweise im Hinblick auf das Verbessern der gesamten mechanischen Stabilität und dergleichen. In dieser Hinsicht ist anzumerken, dass das gesamte elektrische Leistungsvermögen der Metallisierungsschicht 230 wesentlich durch die parasitäre Zeitkonstante in der Metallleitungsschicht 230b festgelegt ist.
  • Im Hinblick auf jegliche Materialeigenschaften des Materials mit sehr kleinem ε 231 gelten die gleichen Kriterien, wie sie zuvor mit Bezug zu dem Halbleiterbauelement 100 erläutert sind. Die Metallleitungen 232 besitzen geeignete Abmessungen entsprechend anspruchsvoller Entwurfsregeln, wie dies auch zuvor mit in Bezug zu dem Halbleiterbauelement 100 erläutert ist. Beispielsweise ist eine Breite der Metallleitungen 232 100 nm oder weniger und in ähnlicher Weise ist ein Abstand zwischen den Metallleitungen 232 in der zuvor angegebenen Größenordnung. Es sollte jedoch beachtet werden, dass andere geeignete kritische Abmessungen angewendet werden können, wie dies durch die gesamten Entwurfsregeln erforderlich ist. Ferner ist eine modifizierte Materialschicht 231m typischerweise zumindest an Seitenwänden 232s der Metallleitung vorhanden, die somit eine deutliche erhöhte Dielektrizitätskonstante auf Grund des deutlichen Grades an Materialmodifizierung besitzt, wie dies auch zuvor erläutert ist. Beispielsweise besitzt die Schicht 231m eine Dicke, etwa an den Seitenwänden 232s, von mehreren Nanometern bis 10 oder mehr Nanometer, wobei in einigen anschaulichen Ausführungsformen, wie dies nachfolgend detaillierter beschrieben ist, die Dicke der Schicht 231m absichtlich vergrößert wird, indem mindestens ein zusätzlicher Modifizierungsschritt angewendet wird. Wie ferner gezeigt ist, können die Metallleitungen 232 auf der Grundlage eines oder mehrerer leitender Barrierenmaterialien 232a und eines gut leitenden Füllmaterials 232b hergestellt werden, wobei gut etablierte Materialsystem, etwa Tantalnitrid, Tantal, Titannitrid und dergleichen in Verbindung mit Kupfer, Kupferlegierungen, Silber und dergleichen verwendet werden. In der gezeigten Fertigungsphase werden die Materialien 232a, 232b mit ausreichenden Überschussbereichen vorgesehen, um in zuverlässiger Weise Öffnungen der Metallleitungen 232 zu füllen.
  • Das in 2a gezeigte Halbleiterbauelement 200 kann auf der Grundlage einer beliebigen geeigneten Prozessstrategien hergestellt werden, wie dies auch beispielsweise zuvor mit Bezug zu dem Halbleiterbauelement 100 erläutert ist. Es sollte jedoch beachtet werden, dass die Metallleitungen 232 auf der Grundlage der gewünschten kritischen Abmessungen hergestellt werden, wie dies auch zuvor mit Bezug zu 1a erläutert ist, ohne dass die Dicke der modifizierten Schicht 231m in Betracht gezogen werden muss.
  • 2b zeigt schematisch das Bauelement 200 in einer weiter fortgeschrittenen Fertigungsphase. Wie gezeigt, ist ein überschüssiger Teil der Materialien 232a, 232b (siehe 2a) entfernt, das bewerkstelligt werden kann auf der Grundlage von CMP, Elektro-CMP, Ätzen und dergleichen, wodurch ebenfalls die gesamte Oberflächentopographie eingeebnet wird, um damit eine im Wesentlichen ebene Oberfläche 231s zu schaffen.
  • Während des entsprechenden Abtragungsprozesses wird auch ein modifizierter Teil des Materials 231, der an horizontalen Bauteilbereichen ausgebildet ist, abgetragen. In anderen Fällen wird im Allgemeinen eine dünne Schicht mit erhöhtem Ätzwiderstand und mechanischer Stabilität auf der Oberseite des Materials 231 vorgesehen, die somit den Grad der Oberflächenmodifizierung reduzieren oder jegliche Oberflächenmodifizierung an der Oberfläche 231s vermeiden kann und die auch als eine Stoppschicht oder Steuerschicht beim Entfernen von überschüssigen Bereichen der Materialien 232a, 232b dienen kann. Danach werden bei Bedarf die modifizierten Bereiche 231m freigelegt, wenn eine entsprechende obere Fläche mit erhöhter Stabilität und/oder chemischer Widerstandsfähigkeit in einer früheren Fertigungsphase vorgesehen wurde. In anderen Fällen wird der Bereich 231 beim Entfernen der überschüssigen Bereiche der Materialien 232a, 232b freigelegt.
  • 2c zeigt schematisch das Bauelement 200 in einer weiter fortgeschrittenen Phase, in der ein Abtragungsprozess 203 angewendet wird, um selektiv zumindest einen Teil des modifizierten Materials 231m zu entfernen. Dazu werden in einigen anschaulichen Ausführungsformen nasschemische Ätzrezepte, beispielsweise auf der Grundlage von HCl angewendet, die eine deutlich größere Ätzrate im Vergleich zu dem nicht modifizierten Bereich des Materials 231 auch im Hinblick auf die Metallleitungen 232 besitzen. Geeignete Prozessparameter für den Prozess 203 können effizient mittels Experimenten bestimmt werden, in denen die Selektivität auf der Grundlage von Temperatur, Konzentration des HCl und dergleichen bei einer vorgegebenen Materialzusammensetzung des Materials mit sehr kleinem ε 231 und der Art der darin hervorgerufenen Modifizierung einzustellen. Wie nachfolgend detaillierter beschrieben ist, kann die Selektivität des Prozesses 203 auch eingestellt werden, indem die Art und der Grad der Modifizierung beeinflusst wird, indem ein speziell gestalteter Prozessschritt angewendet wird, um eine reaktive Prozessatmosphäre so zu schaffen, dass absichtlich ein gewisser Grad und eine gewisse Art an Modifizierung hervorgerufen wird. Folglich werden abhängig von dem Grad der Selektivität entsprechende Spalte 235 lateral benachbart zu den Metallleitungen 232 erzeugt und diese besitzen eine Breite 235w und eine Tiefe 235d, die auf der Grundlage der Prozessparameter des Prozesses 203 eingestellt werden, wobei zumindest die Breite 235w auch von einer Dicke des modifizierten Materials 231m an den Seitenwänden 232s abhängt. Es sollte beachtet werden, dass die Tiefe 235d so eingestellt wird, dass ein beträchtlicher Teil des Materials an den Seitenwänden 232s entfernt wird, wobei dieserseits ein Grad an Unterätzung der Metallleitungen 232 vermieden wird, wenn dies als ungeeignet erachtet wird, beispielsweise im Hinblick auf die gesamte mechanische Stabilität und dergleichen. Dazu wird der Prozess 203 im Hinblick auf die Ätzzeit so gesteuert, dass die Spalte 235 mit einer gewünschten Tiefe geschaffen werden.
  • 2e zeigt schematisch das Halbleiterbauelement 200 in einer weiter fortgeschrittenen Fertigungsphase. Wie gezeigt, ist ein dielektrisches Material 231a über den Metallleitungen 232 und über den Spalten 235 ausgebildet, wodurch die Spalte 235 zuverlässig verschlossen werden. In der gezeigten Ausführungsform wird das Material 231a in Form eines dielektrischen Materials mit sehr kleinem ε bereitgestellt, das grundsätzlichen den gleichen Materialaufbau wie das Material 231 besitzt, so dass eine im Wesentlichen gleichmäßige Dielektrizitätskonstante in der Metallisierungsschicht 230 lateral benachbart zu den Metallleitungen 232 erreicht wird. D. h., das Material 231a kann abgeschieden und möglicherweise so behandelt werden, dass die Materialeigenschaften und die Dielektrizitätskonstante so eingestellt werden, dass ein geringer Sollwert erreicht wird, der gleich sein kann zu der Dielektrizitätskonstante des Materials 231, wobei der Begriff „gleich” entsprechende Schwankungen in der Dielektrizitätskonstante von +/–10% im Hinblick auf den Wert dese Materials 231 mit einschließen soll. Folglich wird eine geringe Gesamtdielektrizitätskonstante in der Nähe der Metallleitungen 232 auf Grund des im Wesentlichen gleichmäßigen Verhaltens der Dielektrizitätskonstante der Materialien 231, 231a erreicht. In einigen anschaulichen Ausführungsformen, wie in 2d gezeigt ist, werden ferner die Abscheideparameter so festgelegt, dass die Spalte 235 nicht vollständig mit dem Material 210a gefüllt werden, so dass nicht gefüllte Bereiche, die durch 235a angegeben sind, innerhalb der Spalte 235 beibehalten werden, wodurch die gesamte Dielektrizitätskonstante in der Metallleitungsschicht 230b weiter verringert wird. Im Hinblick auf das dielektrische Verhalten können somit die nicht gefüllten Bereiche 235a als Luftspalte verstanden werden, deren Größe auf der Grundlage des Steueras der Abscheideparameter zum Bereitstellen des Materials 231a eingestellt wird. D. h., bei Anwendung von Prozessparametern, die zu einer ausgeprägten Erzeugung von Überhängen an der Oberseite der Spalte 235 führen, wird ein frühes Verschließen der Spalte 235 erreicht, wodurch ein größeres inneres Volumen bereitgestellt wird, das ungefüllt bleibt.
  • 2e zeigt schematisch das Halbleiterbauelement 200 nach dem Entfernen jeglicher Überschussbereiche des Materials 231a, um die ebene Oberflächentopographie 231s zu erzeugen. Dazu können CMP, Ätzprozesse und dergleichen angewendet werden, wobei vorzugsweise ein Abtragungsprozess angewendet wird, der eine unerwünschte Modifizierung der Materialien 231, 231a vermeidet. Beispielsweise können nasschemische Ätzrezepte, CMP und dergleichen effizient angewendet werden.
  • 2f zeigt schematisch das Bauelement 200 in einer weiter fortgeschrittenen Fertigungsphase. Wie gezeigt, wird eine Ätzstoppschicht 243 einer nachfolgenden Metallisierungsschicht 240 auf der Metallisierungsschicht 230 gebildet, d. h. auf den Metallleitungen 232 und dem dielektrischen Material 231 und dem Material 231a. Das Ätzstoppmaterial 243 kann in Form eines beliebigen geeigneten Materials vorgesehen werden, wie dies auch zuvor mit Bezug zu der Schicht 233 und der Schicht 133 des Halbleiterbauelements 100 erläutert ist. Daraufhin geht die weitere Bearbeitung weiter, indem ein weiteres dielektrisches Material, etwa ein dielektrisches Material mit sehr kleinem ε abgeschieden wird und dieses dann strukturiert wird, wie dies auch mit Bezug zu dem Halbleiterbauelement 100 und der Schicht 230 erläutert ist. Bei Bedarf wird ferner ein modifiziertes Material in der Metallisierungsschicht 240 ebenfalls entfernt, wie dies auch im Zusammenhang mit der Metallisierungsschicht 230 beschrieben ist.
  • 2g zeigt schematisch das Halbleiterbauelement 200 gemäß weiterer anschaulicher Ausführungsformen, in denen die Spalte 235 beim Bereitstellen von Material für die Ätzstoppschicht 243 geschlossen werden. Dafür werden Abscheideparameter so ausgelegt, dass die Spalte 235 in einer frühen Fertigungsphase verschlossen werden, um damit die gewünschte gesamte Dielektrizitätskonstante beizubehalten, da typischerweise das Ätzstoppmaterial 243 eine deutlich höhere Dielektrizitätskonstante im Vergleich zu dem dielektrischen Material mit sehr kleinem ε 232 besitzt. Abhängig von der resultierenden Oberflächentopographie beim Abscheiden des Materials der Schicht 243 kann ein weiterer Einebnungsschritt angewendet werden, beispielsweise in Form eines CMP-Prozesses, um die Oberflächentopographie zu reduzieren, die durch die Anwesenheit der Spalte 235 hervorgerufen werden kann. Daraufhin geht die Bearbeitung mittels Prozessstrategien weiter, wie sie auch zuvor beschrieben sind. Folglich können in diesen anschaulichen Ausführungsformen zusätzliche Abscheideschritte vermieden werden, da das Material für dei Ätzstoppschicht 243 gleichzeitig zum effizienten Verschließen der Spalte 235 dient.
  • 2h zeigt schematisch das Halbleiterbauelement 200 gemäß weiterer anschaulicher Ausführungsformen, in denen eine verbesserte Steuerung beim Definieren der Größe und/oder der Materialeigenschaften der modifizierten Materialschicht 231m erreicht wird.
  • Wie gezeigt, sind Gräben 234 in dem Material mit sehr kleinem ε 231 vorgesehen, wobei die Gräben 234 auf der Grundlage der kritischen Sollabmessungen hergestellt sind, wie dies auch zuvor erläutert ist. Wie ferner zuvor dargelegt ist, kann die Strukturierungssequenz zu einem gewissen Grad an Oberflächenmodifizierung führen, wie dies durch die Schicht 231m angegeben ist. In der gezeigten Ausführungsform wird ein zusätzlicher Prozessschritt 205 angewendet, in welchem der Grad der Modifizierung, beispielsweise im Hinblick auf den Grad der Änderung der Materialeigenschaften und/oder im Hinblick auf die Vergrößerung einer Breite des modifizierten Bereichs 231m gesteuert wird. Dazu wird eine geeignete reaktive Ätzatmosphäre eingerichtet, um die Dicke der Materialschicht 231m von einer Anfangsdichte 231i, wie sie während der vorhergehenden Strukturierungssequenz hervorgerufen wird, zu einer Enddicke 231f, um damit eine größere Dicke der jeweiligen Spalte zu erzeugen, die beim Entfernen des modifizierten Materials 231m erzeugt werden, wie dies auch zuvor beschrieben ist. Dazu können im Wesentlichen isotrope Plasmaatmosphären, beispielsweise auf der Grundlage eines Sauerstoffplasmas, möglicherweise in Verbindung mit weiteren reaktiven Komponenten, etwa Fluor und dergleichen, eingerichtet werden, während in anderen Fällen entsprechende nasschemische Reinigungsrezepte für eine genau definierte Prozesszeit für vorgegebene Prozessparameter angewendet werden. Zusätzlich oder alternativ zum Modifizieren der resultierenden Dicke kann der Grad der Materialmodifizierung eingestellt werden, beispielsweise durch Einbau gewisser Sorten, beispielsweise auf der Grundlage einer Plasmabehandlung und dergleichen, wodurch ein ausgeprägterer Unterschied zwischen dem modifizierten Bereich 231m und dem anfänglich aufgebrachten dielektrischen Material mit sehr kleinem ε 231 hervorgerufen wird, was zu einem gewünschten hohen Grad an Selektivität beim Entfernen des modifizierten Bereichs 231m auf der Grundlage eines selektiven Ätzprozesses führt.
  • Folglich können durch Anwenden des zusätzlichen Schrittes 205 die Materialeigenschaften und/oder die Schichtdicke des modifizierten Bereichs 231m in einer gut steuerbaren Weise eingestellt werden, wodurch ebenfalls eine bessere Prozesssteuerung beim Entfernen des modifizierten Bereichs 231m erreicht wird, beispielsweise für das Einstellen der schließlich erreichten Breite der jeweiligen Spalte und dergleichen. D. h., nach dem Ausführen des Prozesses 205 kann die weitere Bearbeitung fortgesetzt werden, indem die Gräben 234 wieder aufgefüllt werden, wie dies zuvor erläutert ist, und indem nachfolgend der modifizierte Bereich 231m entfernt wird, um damit die Spalte mit besserer Prozessgleichmäßigkeit zu schaffen.
  • Es gilt also: Die vorliegende Erfindung stellt Halbleiterbauelemente und Fertigungstechniken bereit, in denen ein modifizierter oder geschädigter Materialbereich von dielektrischen Materialien mit sehr kleinem ε effizient in Anwesenheit der Metallleitungen abgetragen wird, wodurch entsprechende Spalte lateral benachbart zu den Metallleitungen erzeugt werden. Dazu wird ein selektiver Ätzprozess angewendet. Die Spalte werden beispielsweise auf der Grundlage eines dielektrischen Materials mit sehr kleinem ε oder eines Ätzstoppmaterials einer nachfolgenden Metallisierungsschicht geschlossen. Durch Steuern des Grades des Füllens der Spalte kann die Größe von nicht gefüllten Bereichen und damit von Luftspalten eingestellt werden. Folglich können dicht gepackte Metallleitungsschichten auf der Grundlage von kritischen Sollabmessungen hergestellt werden, ohne dass die parasitären Zeitkonstanten vergrößert werden oder wobei sogar die gesamte dielektrische Konstante der Metallleitungsschicht durch Vorsehen entsprechender Luftspalte verringert wird.
  • Weitere Modifizierungen und Variationen der vorliegenden Erfindung werden für den Fachmann angesichts dieser Beschreibung offenkundig. Daher dient diese Beschreibung lediglich anschaulichen Zwecken und soll dem Fachmann die allgemeine Art und Weise des Ausführens der hierin offenbarten Prinzipien vermitteln. Selbstverständlich sind die hierin gezeigten und beschriebenen Formen als die gegenwärtig bevorzugten Ausführungsformen zu betrachten.

Claims (20)

  1. Verfahren mit: Bilden einer Öffnung in einem dielektrischen Material mit sehr kleinem ε, das in einer Metallisierungsschicht eines Halbleiterbauelements ausgebildet ist, durch Ausführen einer Prozesssequenz, die mindestens einen Ätzprozess enthält, der eine modifizierte Materialschicht auf zumindest einigen freiliegenden Oberflächenbereichen innerhalb der Öffnung erzeugt; Bilden eines leitenden metallenthaltenden Materials in der Öffnung, so dass ein Metallgebiet in der Öffnung hergestellt wird; Entfernen der modifizierten Materialschicht von zumindest einem Teil der Seitenwände der Öffnung in Anwesenheit des Metallgebiets derart, dass Spalte benachbart zu dem Metallgebiet gebildet werden; und Bilden einer dielektrischen Materialschicht über der Metallisierungsschicht derart, dass die Spalte geschlossen werden.
  2. Verfahren nach Anspruch 1, wobei Bilden der dielektrischen Materialschicht umfasst: Bilden eines dielektrischen Materials mit kleinem ε in einem Teil der Spalte.
  3. Verfahren nach Anspruch 2, das ferner umfasst: Entfernen eines überschüssigen Teils des dielektrischen Materials mit kleinem ε von oberhalb der Metallisierungsschicht und Bilden einer dielektrischen Ätzstoppschicht über der Metallisierungsschicht.
  4. Verfahren nach Anspruch 1, wobei Bilden der dielektrischen Schicht umfasst: Bilden einer dielektrischen Ätzstoppschicht über der Metallisierungsschicht.
  5. Verfahren nach Anspruch 1, wobei Entfernen der modifizierten Materialschicht umfasst: Ausführen eines selektiven Ätzprozesses, in welchem eine Abtragsrate von Material der modifizierten Materialschicht größer ist als eine Abtragsrate eines im Wesentlichen nicht modifizierten Bereichs des dielektrischen Bereichs mit sehr kleinem ε.
  6. Verfahren nach Anspruch 5, wobei der selektive Ätzprozess einen selektiven nasschemischen Ätzprozess umfasst.
  7. Verfahren nach Anspruch 1, wobei Bilden des leitenden metallenthaltenden Materials in der Öffnung umfasst: Bilden einer leitenden Barrierenschicht auf der modifizierten Materialschicht und Bilden eines Füllmetalls auf der leitenden Barrierenschicht.
  8. Verfahren nach Anspruch 1, wobei das Metallgebiet eine Metallleitung der Metallisierungsschicht ist.
  9. Verfahren nach Anspruch 8, wobei eine kritische Breite der Metallleitung ungefähr 100 nm (Nanometer) oder weniger beträgt.
  10. Verfahren zur Herstellung einer Metallleitung in einer Metallisierungsschicht eines Halbleiterbauelements, wobei das Verfahren umfasst: Bilden eines Grabens in einem dielektrischen Material der Metallisierungsschicht; Bilden einer modifizierten Materialschicht zumindest an Seitenwandbereichen des Grabens; Füllen des Grabens, der die modifizierte Materialschicht enthält, mit einem metallenthaltenden Material, so dass die Metallleitung erzeugt wird; Entfernen zumindest eines Teils der modifizierten Materialschicht in Anwesenheit der Metallleitung, so dass ein Spalt lateral benachbart zu der Metallleitung entsteht; und Bilden einer dielektrischen Schicht über der Metallleitung und dem Spalt.
  11. Verfahren nach Anspruch 10, wobei Bilden der modifizierten Materialschicht umfasst: Ausführen einer Prozesssequenz, um den Graben zu erzeugen, und Ingangsetzen einer Materialmodifizierung während der Prozesssequenz.
  12. Verfahren nach. Anspruch 10, wobei Bilden der modifizierten Materialschicht umfasst: Ausführen mindestens eines Prozessschrittes auf der Grundlage einer reaktiven Prozessatmosphäre derart, dass eine Dicke der modifizierten Materialschicht gesteuert wird.
  13. Verfahren nach Anspruch 10, wobei Bilden der dielektrischen Schicht über der Metallleitung und dem Spalt umfasst: Bilden eines dielektrischen Materials mit kleinem ε mit einer Dielektrizitätskonstante von 3,0 oder weniger.
  14. Verfahren nach Anspruch 13, wobei Bilden des dielektrischen Materials mit kleinem ε umfasst: Füllen eines Teils des Spalts derart, dass ein Luftspalt lateral benachbart zu einem Teil der Metallleitung erzeugt wird.
  15. Verfahren nach Anspruch 13, das ferner umfasst: Bilden einer dielektrischen Ätzstoppschicht einer nächsten Metallisierungsschicht über dem dielektrischen Material mit kleinem ε.
  16. Verfahren nach Anspruch 10, wobei Bilden der dielektrischen Schicht über der Metallleitung und dem Spalt umfasst: Bilden einer dielektrischen Ätzstoppschicht auf der Metallleitung und über dem Spalt, so dass der Spalt geschlossen und ein Luftspalt erzeugt wird.
  17. Verfahren nach Anspruch 10, wobei Entfernen zumindest eines Teils der modifizierten Materialschicht umfasst: Ausführen eines selektiven nasschemischen Ätzprozesses.
  18. Verfahren nach Anspruch 17, wobei der selektive nasschemische Ätzprozess unter Anwendung von HCl ausgeführt wird.
  19. Halbleiterbauelement mit: mehreren Metallleitungen, die in einem dielektrischen Material mit sehr kleinem ε einer Metallisierungsschicht des Halbleiterbauelements ausgebildet sind, wobei das dielektrische Material mit sehr kleinem ε einen im Wesentlichen gleichmäßigen verteilten Wert einer Dielektrizitätskonstante besitzt; und einem Luftspalt, der lateral benachbart zu jeder der mehreren Metallleitungen ausgebildet ist und lateral durch das dielektrische Material mit sehr kleinem ε, das die im Wesentlichen gleichmäßig verteilten Werte der Dielektrizitätskonstante besitzt, begrenzt ist.
  20. Halbleiterbauelement nach Anspruch 19, wobei bei maximale Breite des Luftspalts ungefähr 50 nm (Nanometer) oder weniger beträgt.
DE102010030757.2A 2010-06-30 2010-06-30 Verfahren zur Herstellung komplexer Metallisierungssysteme in Halbleitern durch Entfernung geschädigter dielektrischer Oberflächenschichten Expired - Fee Related DE102010030757B4 (de)

Priority Applications (2)

Application Number Priority Date Filing Date Title
DE102010030757.2A DE102010030757B4 (de) 2010-06-30 2010-06-30 Verfahren zur Herstellung komplexer Metallisierungssysteme in Halbleitern durch Entfernung geschädigter dielektrischer Oberflächenschichten
US12/970,117 US8399335B2 (en) 2010-06-30 2010-12-16 Sophisticated metallization systems in semiconductors formed by removing damaged dielectric layers after forming the metal features

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE102010030757.2A DE102010030757B4 (de) 2010-06-30 2010-06-30 Verfahren zur Herstellung komplexer Metallisierungssysteme in Halbleitern durch Entfernung geschädigter dielektrischer Oberflächenschichten

Publications (2)

Publication Number Publication Date
DE102010030757A1 true DE102010030757A1 (de) 2012-01-05
DE102010030757B4 DE102010030757B4 (de) 2019-03-28

Family

ID=45346820

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102010030757.2A Expired - Fee Related DE102010030757B4 (de) 2010-06-30 2010-06-30 Verfahren zur Herstellung komplexer Metallisierungssysteme in Halbleitern durch Entfernung geschädigter dielektrischer Oberflächenschichten

Country Status (2)

Country Link
US (1) US8399335B2 (de)
DE (1) DE102010030757B4 (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102022104908A1 (de) 2021-11-17 2023-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. Herstellen eines dielektrischen films mit hoher verkippbeständigkeit

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140085657A (ko) * 2012-12-26 2014-07-08 에스케이하이닉스 주식회사 에어갭을 구비한 반도체장치 및 그 제조 방법
KR102001493B1 (ko) * 2013-04-16 2019-07-18 에스케이하이닉스 주식회사 에어갭을 구비한 반도체장치 및 그 제조 방법
KR102014950B1 (ko) * 2013-08-26 2019-08-28 에스케이하이닉스 주식회사 에어갭을 구비한 반도체장치 및 그 제조 방법
US9583380B2 (en) 2014-07-17 2017-02-28 Globalfoundries Inc. Anisotropic material damage process for etching low-K dielectric materials
WO2016048053A1 (ko) * 2014-09-26 2016-03-31 한국기계연구원 복수의 나노갭이 형성된 기판 및 이의 제조방법
US9443956B2 (en) 2014-12-08 2016-09-13 Globalfoundries Inc. Method for forming air gap structure using carbon-containing spacer
US9768058B2 (en) 2015-08-10 2017-09-19 Globalfoundries Inc. Methods of forming air gaps in metallization layers on integrated circuit products
US20240087950A1 (en) * 2022-09-12 2024-03-14 Tokyo Electron Limited Wet etch process and methods to form air gaps between metal interconnects

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070178713A1 (en) * 2006-01-27 2007-08-02 Jeng Shin-Puu Method for forming a dielectric layer with an air gap, and a structure including the dielectric layer with the air gap
US7868455B2 (en) * 2007-11-01 2011-01-11 Taiwan Semiconductor Manufacturing Company, Ltd. Solving via-misalignment issues in interconnect structures having air-gaps

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4864307B2 (ja) 2003-09-30 2012-02-01 アイメック エアーギャップを選択的に形成する方法及び当該方法により得られる装置
US7560375B2 (en) * 2004-09-30 2009-07-14 International Business Machines Corporation Gas dielectric structure forming methods

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070178713A1 (en) * 2006-01-27 2007-08-02 Jeng Shin-Puu Method for forming a dielectric layer with an air gap, and a structure including the dielectric layer with the air gap
US7868455B2 (en) * 2007-11-01 2011-01-11 Taiwan Semiconductor Manufacturing Company, Ltd. Solving via-misalignment issues in interconnect structures having air-gaps

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102022104908A1 (de) 2021-11-17 2023-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. Herstellen eines dielektrischen films mit hoher verkippbeständigkeit

Also Published As

Publication number Publication date
DE102010030757B4 (de) 2019-03-28
US8399335B2 (en) 2013-03-19
US20120001343A1 (en) 2012-01-05

Similar Documents

Publication Publication Date Title
DE102010030757B4 (de) Verfahren zur Herstellung komplexer Metallisierungssysteme in Halbleitern durch Entfernung geschädigter dielektrischer Oberflächenschichten
DE102008016425B4 (de) Verfahren zur Strukturierung einer Metallisierungsschicht durch Verringerung der durch Lackentfernung hervorgerufenen Schäden des dielektrischen Materials
DE102008059650B4 (de) Verfahren zur Herstellung einer Mikrostruktur mit einer Metallisierungsstruktur mit selbstjustierten Luftspalten zwischen dichtliegenden Metallleitungen
DE102015109834B4 (de) Verfahren zur Ausbildung eines Fin-Feldeffekttransistor (Finfet) - Bauelementstruktur mit unebenem Gate
DE102005052000B3 (de) Halbleiterbauelement mit einer Kontaktstruktur auf der Grundlage von Kupfer und Wolfram
DE102008016424B4 (de) Verfahren mit einem Bilden einer Kontaktloshöffnung und eines Grabens in einer dielektrischen Schicht mit kleinem ε
DE102009023377B4 (de) Verfahren zur Herstellung eines Mikrostrukturbauelements mit einer Metallisierungsstruktur mit selbstjustiertem Luftspalt
DE102010028460B4 (de) Verfahren zum Herstellen eines Halbleiterbauelements mit einer reduzierten Defektrate in Kontakten, das Austauschgateelektrodenstrukturen unter Anwendung einer Zwischendeckschicht aufweist
DE102007020268B3 (de) Halbleiterbauelement und Verfahren zum Verhindern der Ausbildung von elektrischen Kurzschlüssen aufgrund von Hohlräumen in der Kontaktzwischenschicht
DE102009023251B4 (de) Verfahren zur Herstellung eines Kontaktelements mit großem Aspektverhältnis und mit einer günstigeren Form in einem Halbleiterbauelement zur Verbesserung der Abscheidung einer Beschichtung
DE102010064289B4 (de) Größenreduzierung von Kontaktelementen und Kontaktdurchführungen in einem Halbleiterbauelement durch Einbau eines zusätzlichen Abschrägungsmaterials
DE102011002769B4 (de) Halbleiterbauelement und Verfahren zur Herstellung einer Hybridkontaktstruktur mit Kontakten mit kleinem Aspektverhältnis in einem Halbleiterbauelement
DE102009006798B4 (de) Verfahren zur Herstellung eines Metallisierungssystems eines Halbleiterbauelements unter Anwendung einer Hartmaske zum Definieren der Größe der Kontaktdurchführung
DE102010063780A1 (de) Halbleiterbauelement mit einer Kontaktstruktur mit geringerer parasitärer Kapazität
DE102008063430A1 (de) Metallisierungssystem eines Halbleiterbauelements mit zusätzlich verjüngten Übergangskontakten
DE102004042169B4 (de) Technik zur Erhöhung des Füllvermögens in einem elektrochemischen Abscheideprozess durch Verrundung der Kanten und Gräben
DE102012201586B4 (de) Verfahren zur Herstellung von integrierten Schaltungen mit platzsparenden Kondensatoren
DE102010040071B4 (de) Verfahren zur Wiederherstellung von Oberflächeneigenschaften empfindlicher Dielektrika mit kleinem ε in Mikrostrukturbauelementen unter Anwendung einer in-situ-Oberflächenmodifizierung
DE102006035668A1 (de) Verfahren zum Herstellen einer Ätzindikatorschicht zur Reduzierung von Ätzungleichförmigkeiten
DE102008054068A1 (de) Veringern von Metallhohlräumen in einem metallischen Schichtstapel eines Halbleiterbauelements durch Vorsehen einer dielektrischen Barrierenschicht
DE102008044988A1 (de) Verwenden einer Deckschicht in Metallisierungssystemen von Halbleiterbauelementen als CMP- und Ätzstoppschicht
DE102008044964B4 (de) Verringerung der Leckströme und des dielektrischen Durchschlags in dielektrischen Materialien von Metallisierungssystemen von Halbleiterbauelementen durch die Herstellung von Aussparungen
DE102009055433B4 (de) Kontaktelemente von Halbleiterbauelementen, die auf der Grundlage einer teilweise aufgebrachten Aktivierungsschicht hergestellt sind, und entsprechende Herstellungsverfahren
DE102008026211B4 (de) Verfahren zur Herstellung eines Halbleiterbauelements mit Metallleitungen mit einer selektiv gebildeten dielektrischen Deckschicht
DE102007052048A1 (de) Doppelintegrationsschema für Metallschicht mit geringem Widerstand

Legal Events

Date Code Title Description
R016 Response to examination communication
R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final
R081 Change of applicant/patentee

Owner name: GLOBALFOUNDRIES DRESDEN MODULE ONE LIMITED LIA, DE

Free format text: FORMER OWNERS: GLOBALFOUNDRIES DRESDEN MODULE ONE LIMITED LIABILITY COMPANY & CO. KG, 01109 DRESDEN, DE; GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

Owner name: GLOBALFOUNDRIES U.S. INC., SANTA CLARA, US

Free format text: FORMER OWNERS: GLOBALFOUNDRIES DRESDEN MODULE ONE LIMITED LIABILITY COMPANY & CO. KG, 01109 DRESDEN, DE; GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

R082 Change of representative

Representative=s name: GRUENECKER PATENT- UND RECHTSANWAELTE PARTG MB, DE

R119 Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee