DE102009006484A1 - Device for modifying the surfaces of sheet, plate and sheet goods with a device for generating a plasma - Google Patents

Device for modifying the surfaces of sheet, plate and sheet goods with a device for generating a plasma Download PDF

Info

Publication number
DE102009006484A1
DE102009006484A1 DE102009006484A DE102009006484A DE102009006484A1 DE 102009006484 A1 DE102009006484 A1 DE 102009006484A1 DE 102009006484 A DE102009006484 A DE 102009006484A DE 102009006484 A DE102009006484 A DE 102009006484A DE 102009006484 A1 DE102009006484 A1 DE 102009006484A1
Authority
DE
Germany
Prior art keywords
electrode
goods
chamber
outlet openings
sheet
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
DE102009006484A
Other languages
German (de)
Inventor
Alexander Dr. Rau
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
AHLBRANDT SYSTEM GmbH
Original Assignee
AHLBRANDT SYSTEM GmbH
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by AHLBRANDT SYSTEM GmbH filed Critical AHLBRANDT SYSTEM GmbH
Priority to DE102009006484A priority Critical patent/DE102009006484A1/en
Priority to PCT/DE2010/000082 priority patent/WO2010085941A2/en
Priority to DE112010000200T priority patent/DE112010000200A5/en
Priority to EP10709952.5A priority patent/EP2392195B1/en
Publication of DE102009006484A1 publication Critical patent/DE102009006484A1/en
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/2406Generating plasma using dielectric barrier discharges, i.e. with a dielectric interposed between the electrodes
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/47Generating plasma using corona discharges

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Electromagnetism (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • Plasma Technology (AREA)
  • Treatment Of Fiber Materials (AREA)

Abstract

Die Erfindung beruht auf der Aufgabe, eine Vorrichtung zum Modifizieren der Oberflächen von Bahnen mit einer Einrichtung zur Erzeugung eines Plasmas zu verbessern, insbesondere dafür zu sorgen, dass ein gleichmäßiges Plasma entsteht. Die Erfindung schlägt dazu vor, die Kanten (4, 5) der Elektrode (1) zu runden, so dass die Strömung in diesem Bereich nicht turbulent wird. Außerdem wird der Medienstrom durch die hohl ausgeführte Elektrode (1) geführt, was deren Kühlung bewirkt. Die Gegenelektrode (8) ist vorzugsweise in der hohl ausgeführten Elektrode (1) angeordnet.The invention is based on the object to improve a device for modifying the surfaces of webs with a device for generating a plasma, in particular to ensure that a uniform plasma is formed. The invention proposes to round the edges (4, 5) of the electrode (1) so that the flow in this region does not become turbulent. In addition, the media flow through the hollow electrode (1) is guided, which causes their cooling. The counter electrode (8) is preferably arranged in the hollow electrode (1).

Description

Die Erfindung bezieht sich auf eine Vorrichtung zum Modifizieren der Oberflächen von Bahn-, Platten- und Bogenware mit einer Einrichtung zur Erzeugung eines Plasmas mit Hilfe einer Corona- oder Barriereentladung bei Atmosphärendruck, wobei die Plasmaerzeugungsvorrichtung mit einer Elektrode und einer Gegenelektrode versehen ist, wobei wenigstens eine der Elektroden aus einem Hohlkörper aus leitfähigem Material besteht, die wenigstens eine Kammer einschließt, die über einen Anschluss zum Einleiten eines gasförmigen Mediums in die Kammer verfügt und die an der der Ware zugewandten Seite mit wenigstens einer Reihe von nebeneinander liegenden Austrittsöffnungen für das Medium versehen ist, und mit einer Transporteinrichtung, um die Ware in einer quer zur Reihe der Austrittsöffnungen liegenden Bewegungsrichtung an der aus einem Hohlkörper bestehenden Elektrode vorbeizuführen.The The invention relates to a device for modifying the Surfaces of sheet, plate and sheet goods with a Device for generating a plasma by means of a corona or Barrier discharge at atmospheric pressure, wherein the plasma generating device is provided with an electrode and a counter electrode, wherein at least one of the electrodes from a hollow body Conductive material is made, the at least one chamber includes, via a port for initiating a gaseous medium in the chamber has and at the goods facing side with at least one row from adjacent outlet openings for the medium is provided, and with a transport device to the goods in a transverse to the row of the outlet openings lying movement direction of the from a hollow body pass by existing electrode.

Eine solche Vorrichtung ist in der WO 2007/017271 beschrieben.Such a device is in the WO 2007/017271 described.

Grundsätzlich ist es bekannt, dass in Corona- oder Barriereentladungen auch bei Atmosphärendruck Plasmen entstehen. Die in die Entladungszone eingebrachten Gase bestimmen dabei die Eigenschaften der jeweils entstehenden Plasmen. Im Allgemeinen wird die Entladung einfach an Luft durchgeführt und z. B. zur chemischen Modifizierung von Oberflächen in einem trockenen Prozess verwendet. Bei der Behandlung von Bahn-, Platten- oder Bogenware in einem kontinuierlichen Prozess in Luftatmosphäre ist da bei auch bei hohen Bahngeschwindigkeiten keine spezielle Schleusentechnik nötig.in principle It is known that in corona or barrier discharges also at Atmospheric pressure plasmas arise. The in the discharge zone introduced gases determine the properties of each resulting plasmas. In general, the discharge becomes easy carried out in air and z. B. for the chemical modification of Surfaces used in a dry process. In the Treatment of sheet, plate or sheet goods in a continuous Process in air atmosphere is there even at high web speeds no special lock technology necessary.

Wenn allerdings eine von Luft abweichende Gaszusammensetzung verwendet werden soll, muss diese durch geeignete Maßnahmen im Entladungsbereich eingestellt werden. Dazu bedarf es in kontinuierlichen Prozessen einer entsprechenden Schleusentechnologie und einer Zuführung für die erwünschten Medien in den Entladungsbereich.If however, uses an off-gas composition should be, by appropriate measures in the discharge area be set. This requires continuous processes a corresponding lock technology and a feeder for the desired media in the discharge area.

Zur Zuführung von Gasen in den Entladungsbereich sind verschiedene Konzepte möglich. In der DE 195 38 176 A1 ist eine Vorrichtung beschrieben, in der Elektroden in einem Gehäuse von Luft umströmt werden, wobei der Gasstrom in Richtung der behandelten Oberfläche geleitet wird.For the supply of gases in the discharge area various concepts are possible. In the DE 195 38 176 A1 a device is described in which electrodes are flowed around in a housing of air, wherein the gas flow is directed towards the treated surface.

In der WO 02/06503 A3 wird eine Vorrichtung beschrieben, in der Gase über eine poröse Keramik zwischen die Elektroden einer Barriereentladung geleitet werden.In the WO 02/06503 A3 describes a device in which gases are passed over a porous ceramic between the electrodes of a barrier discharge.

EP 0 914 876 B1 berichtet von einem Verfahren, bei dem ein Gasgemisch über einen Verteiler zwischen Rollenelektroden eingebracht wird, wobei die Rollen von der Bahnware bedeckt werden. EP 0 914 876 B1 describes a process in which a gas mixture is introduced via a distributor between roller electrodes, wherein the rollers are covered by the web material.

Nachteil dieser Verfahren ist die indirekte Zufuhr der Gase in den Entladungsraum, die vor allem im kontinuierlichen Betrieb einen sehr hohen Gasverbrauch erfordert, um die erwünschte Gaszusammensetzung im Entladungsbereich zu erzielen. Bereits bei der Verwendung etwas dickerer poröser Materialien wie Textilien wird mit den beschriebenen Verfahren keine ausreichende Gasdurchmischung im Material erreicht, so dass ein sehr ungleichmäßiges Plasma entsteht. Das Gleiche gilt für höhere Bahngeschwindigkeiten, bei denen ein Luftkissen über dem Mate rial entsteht, so dass auch mit sehr aufwändigen Schleusensystemen Luftanteile in den Entladungsbereich eingebracht werden.disadvantage this method is the indirect supply of the gases into the discharge space, especially in continuous operation a very high gas consumption requires the desired gas composition in the discharge area to achieve. Already when using something thicker porous Materials like textiles do not become with the procedures described sufficient gas mixing is achieved in the material, so that a very uneven plasma is formed. The same applies to higher web speeds where an air cushion over the mate rial arises, so too with very complex lock systems air components in the Discharge area are introduced.

In der oben schon erwähnten WO 2007/017271 wird daher vorgeschlagen, das Gas durch die Elektrode in den Entladungsbereich zu führen.In the already mentioned above WO 2007/017271 It is therefore proposed to guide the gas through the electrode into the discharge area.

Der Erfindung liegt die Aufgabenstellung zugrunde, mit einer möglichst einfachen und effektiven Zuführung die erwünschte Medienkonzentration im Entladungsbereich einzustellen, wobei eine zusätzliche Kühlung der Elektrode überflüssig wird.Of the Invention is based on the task, with a possible simple and effective delivery the desired Set media concentration in the discharge area, with a additional cooling of the electrode superfluous becomes.

Zur Lösung der Aufgabe ist vorgesehen, dass die quer zur Bewegungsrichtung verlaufenden Kanten der Elektrode gekrümmt ausgeführt sind.to Solution to the problem is provided that the transverse to the direction of movement running edges of the electrode curved are.

Dies bedingt auch bei einer hohen Bahngeschwindigkeit eine turbulenzfreie Strömung, so dass die Medienkonzentration in dem Spalt zwischen Elektrode und Ware sehr gleichmäßig bleibt und der Eintrag von Fremdmedium minimiert wird.This requires a turbulence-free even at a high web speed Flow, so that the media concentration in the gap between electrode and product remains very even and the entry of foreign media is minimized.

Vorzugsweise ist dazu die Elektrode flach ausgeführt, wobei die Vorder- und Rückseite der Elektrode als Kreisabschnitte ausgeführt sind.Preferably For this purpose, the electrode is made flat, with the front and back of the electrode designed as circular sections are.

Die Elektrode lässt sich leicht herstellen, wenn sie aus einem Profil mit einem geschlossenen Querschnitt gebildet ist, wobei die Stirnseiten durch Kappen verschlossen sind.The Electrode is easy to make when it comes out of one Profile is formed with a closed cross-section, the End faces are closed by caps.

Der Spalt zwischen Elektrode und Ware wird über seine gesamte Breite gleichmäßig mit einem Plasma erfüllt, wenn mehrere Reihen von Austrittsöffnungen vorgesehen sind, die in Bewegungsrichtung der Ware gesehen hintereinander angeordnet sind. Die Öffnungen sind so gestaltet, dass auch bei kleinen Durchflussmengen ein gleichmäßiger Medienaustritt über die vollständige Elektrodenbreite möglich ist.Of the Gap between electrode and product is over its entire Width evenly filled with a plasma, if several rows of outlet openings are provided, the As seen in the direction of movement of the goods arranged one behind the other are. The openings are designed so that even with small Flow rates over a uniform media outlet over the full electrode width is possible.

Um hohe Austrittsgeschwindigkeiten zu ermöglichen, ist die Elektrode auch für höhere Innendrücke (bis 6 bar) ausgelegt. Die Geometrie der Elektrode ist vorzugsweise stabförmig mit gerundeten bzw. ovalen Kanten, oder flächig mit gerundeten ovalen Kanten. Die Gegenelektrode kann von beliebigem Typ und Geometrie sein und ist jeweils gegenüber den Austrittsöffnungen zu positionieren.To allow high exit velocities, the electrode is also for higher inside (up to 6 bar) designed. The geometry of the electrode is preferably rod-shaped with rounded or oval edges, or flat with rounded oval edges. The counterelectrode can be of any type and geometry and must be positioned opposite the outlet openings.

Vorzugsweise besteht die Elektrode aus Aluminium oder Edelstahl.Preferably the electrode is made of aluminum or stainless steel.

Die Elektrode kann mit einem nicht leitenden Überzug versehen werden, um die Vorzüge einer Barriereentladung zu gewährleisten, auch wenn die Gegenelektrode blank ausgeführt ist. Dieser nicht leitende Überzug kann z. B. einfach eine Oxid-Schicht sein, wie sie beim Eloxieren von Aluminium auftritt, oder eine Keramikschicht.The Electrode can be provided with a non-conductive coating to ensure the benefits of a barrier discharge, even if the counter electrode is made bare. This non-conductive coating may, for. B. simply be an oxide layer, as occurs when anodizing aluminum, or a ceramic layer.

Wird die Elektrode in einer dielektrischen Barriereentladung (z. B. mit einer Keramik beschichteten Walze als Gegenelektrode) mit Luftzufuhr betrieben, kann ein sehr viel homogeneres Entladungsbild erzeugt werden, was optisch durch das Verschwinden von Entladungsfilamenten beobachtet werden kann, wenn die Luftzufuhr eingeschaltet wird. Bei der Behandlung poröser Materialien wie Textilien führt die Strömung der Medien aus der Elektrode zu einer gleichmäßigen Behandlung des Materials, auch in tiefer liegenden Bereichen des Gewebes.Becomes the electrode in a dielectric barrier discharge (eg with a ceramic coated roller as counter electrode) with air supply operated, a much more homogeneous discharge image can be generated which is visual due to the disappearance of discharge filaments can be observed when the air supply is turned on. at the treatment of porous materials such as textiles the flow of media from the electrode to a uniform Treatment of the material, even in low-lying areas of the Tissue.

Um verschiedene Medien in die Entladungszone einbringen zu können, ist vorgesehen, dass der Hohlkörper in mehrere quer zur Ware verlaufende Kammern unterteilt ist, die ein Kammersystem bilden, wobei jede Kammer einen Anschluss zum Einleiten eines gasförmigen Mediums und mit wenigstens einer Reihe von nebeneinander liegenden Austrittsöffnungen für das Medium versehen ist, wobei alle Austrittsöffnungen an einer Seite des Hohlkörpers angeordnet sind.Around to be able to introduce different media into the discharge zone, is provided that the hollow body in several transversely to Goods extending chambers is divided, which form a chamber system, each chamber having a port for introducing a gaseous Medium and with at least one row of juxtaposed ones Outlets for the medium is provided wherein all the outlet openings on one side of the hollow body are arranged.

Neben Medien wie reinen Gasen kann die Elektrode natürlich auch mit Gasgemischen oder mit Trägergasen betrieben werden. Den Trägergasen können flüchtige Komponenten oder schwebfähige flüssige oder feste Teilchen beigemischt werden, die mit aus der Elektrode ausgetragen werden, so dass die Elektrode auch für besonders effektive Plasmabeschichtungen verwendet werden kann.Next Of course, the electrode can also do media like pure gases be operated with gas mixtures or with carrier gases. The carrier gases may be volatile components or suspended liquid or solid particles be mixed, which are discharged with the out of the electrode, making the electrode suitable for even more effective plasma coatings can be used.

Durch die Unterteilung des Hohlkörpers mit einem Kammersystem können Gase- und Trägergase mit flüchtigen oder schwebfähigen Komponenten auch an unterschiedlichen Stellen der Gaselektrode austreten. So können z. B. reine Inertgaskomponenten über eine Kammer in den Entladungsbereich der Elektrode gelenkt werden, während ein Trägergas flüchtige Komponenten über eine zweite Kammer am Ende des Entladungsbereichs austrägt, wodurch z. B. Fragmentierungsreaktionen der flüchtigen Komponenten im Plasma vermieden oder verringert werden.By the subdivision of the hollow body with a chamber system can gas and carrier gases with volatile or floating components also at different Spaces of the gas electrode emerge. So z. B. pure Inert gas components via a chamber in the discharge area the electrode are deflected while a carrier gas volatile Components via a second chamber at the end of the discharge area discharges, whereby z. B. fragmentation reactions of the volatile Components in the plasma can be avoided or reduced.

Wird die Gegenelektrode nicht außerhalb positioniert, sondern in die Hohlkörperelektrode gegenüber den Austrittsöffnungen eingebaut, die Gegenelektrode ist somit in einer Kammer der Elektrode, wird bei Anlegung der Hochspannung das Plasma im Hohlkörper der Elektrode erzeugt und aufgrund des Überdrucks in der Elektrode durch die Öffnungen der Elektrode ausgetragen.Becomes the counter electrode is not positioned outside, but instead in the hollow body electrode opposite the outlet openings installed, the counter electrode is thus in a chamber of the electrode, When high voltage is applied, the plasma in the hollow body the electrode generated and due to the overpressure in the Electrode discharged through the openings of the electrode.

Eine andere Ausgestaltung der Vorrichtung sieht vor, dass die Gegenelektrode symmetrisch zu Elektrode aufgebaut ist und ihr gegenüberliegend angeordnet ist, so dass sich die Austrittsöffnungen von Gegenelektrode und Elektrode auf sich gegenüberliegenden Seiten von Gegenelektrode und Elektrode befinden und die Ware zwischen Elektrode und Gegenelektrode hindurchgeführt ist.A Another embodiment of the device provides that the counter electrode is constructed symmetrically to the electrode and opposite her is arranged, so that the outlet openings of counter electrode and electrode on opposite sides of counter electrode and electrode and the goods between electrode and counter electrode passed through.

Im Folgenden soll anhand von 4 Ausführungsbeispielen die Erfindung näher erläutert werden. Dazu zeigen jeweils im Querschnitt:in the The invention will be described below with reference to four exemplary embodiments be explained in more detail. To show each in the Cross-section:

1 eine erste Ausführungsform, bei der die Elektrode ein Einkammersystem ist und die Gegenelektrode unterhalb der Elektrode angeordnet ist, wobei durch den Spalt zwischen Elektrode und Gegenelektrode die zu behandelnde Ware verläuft, 1 a first embodiment in which the electrode is a Einkammersystem and the counter electrode is disposed below the electrode, wherein passes through the gap between the electrode and the counter electrode, the product to be treated,

2 eine zweite Ausführungsform, bei der die Elektrode ein Zweikammersystem hat, 2 a second embodiment in which the electrode has a two-chamber system,

3 eine dritte Ausführungsform, bei der die Elektrode und die Gegenelektrode symmetrisch zueinander aufgebaut und gegenüberliegend angeordnet sind, und 3 a third embodiment in which the electrode and the counter electrode are constructed symmetrically to each other and arranged opposite, and

4 eine vierte Ausführungsform, bei der die Gegenelektrode in der Kammer der Elektrode angeordnet ist. 4 a fourth embodiment in which the counter electrode is arranged in the chamber of the electrode.

Die 1 zeigt eine Elektrode 1, die als hohle Profilstange ausgeführt ist, die sich senkrecht zur Zeichenebene erstreckt. Der Querschnitt des Profils ist rechteckig, wobei die Fläche der langen Seiten parallel zu einer zu behandelnden Bahn 2 verläuft. Anstelle einer Bahn kann auch eine Platten- oder Bogenware behandelt werden. Die einzelnen Platten oder Bögen laufen dabei nacheinander an der Elektrode vorbei und bilden in ihrer Abfolge somit ebenfalls eine Bahn. In der Elektrode 1 ist damit eine geschlossene Kammer 3 ausgebildet, die sich über die Breite der Bahn 2 erstreckt und an den Enden des Profils mit hier nicht dargestellten Kappen verschlossen ist.The 1 shows an electrode 1 , which is designed as a hollow profile bar, which extends perpendicular to the plane of the drawing. The cross-section of the profile is rectangular, with the area of the long sides parallel to a web to be treated 2 runs. Instead of a web can also be treated a sheet or sheet goods. The individual plates or sheets pass successively past the electrode and thus also form a path in their sequence. In the electrode 1 is thus a closed chamber 3 formed across the width of the web 2 extends and is closed at the ends of the profile with caps, not shown here.

Die Kanten zwischen den kurzen und langen Seiten des Profils und insbesondere die der Bahn zugewandten Kanten 4, 5 sind gekrümmt ausgeführt.The edges between the short and long sides of the profile, and in particular the web facing edges 4 . 5 are curved.

An der von der Bahn abgewandten Oberseite der Elektrode 1 befindet sich ein Gaseinlass 6.At the top of the electrode facing away from the web 1 there is a gas inlet 6 ,

An der der Bahn zugewandten Unterseite befinden sich in der Wand der Elektrode 1 drei Reihen von Gasauslässen 7 in Form von düsenförmigen Austrittsöffnungen. Die Reihen verlaufen parallel zueinander und quer zur Bahn 2.At the web-facing bottom are located in the wall of the electrode 1 three rows of gas outlets 7 in the form of nozzle-shaped outlet openings. The rows are parallel to each other and across the track 2 ,

Wegen des Druckabfalls in den Gasauslässen 7 wird an der Kammer 3 ein Überdruck von bis zu 6 bar angelegt, der bewirkt, dass das durch den Gaseinlass 6 einströmende Medium sich gleichmäßig in der Kammer 3 verteilt.Because of the pressure drop in the gas outlets 7 gets to the chamber 3 an overpressure of up to 6 bar is applied, which causes that through the gas inlet 6 inflowing medium is even in the chamber 3 distributed.

Eine flächige und kompakt ausgeführte Gegenelektrode 8 ist unterhalb der Elektrode 1 angeordnet. Durch den sich dadurch bildenden Spalt 9 zwischen der Elektrode 1 und Gegenelektrode 8 verläuft die Bahn 2 in Richtung des Pfeiles 10.A flat and compact counter electrode 8th is below the electrode 1 arranged. By the thereby forming gap 9 between the electrode 1 and counter electrode 8th the train is running 2 in the direction of the arrow 10 ,

Gemäß der 2 besitzt die Elektrode 1 zwei Kammern 3, 3' und bildet somit ein Zweikammersystem. Die Kammern 3, 3' verlaufen parallel zueinander und quer zur Bahn 2.According to the 2 owns the electrode 1 two chambers 3 . 3 ' and thus forms a bicameral system. The chambers 3 . 3 ' run parallel to each other and across the track 2 ,

Jede Kammer 3, 3' verfügt über einen eigenen Gaseinlass 6, 6' sowie über wenigstens eine Reihe von Gasauslässen 7, 7'.Every chamber 3 . 3 ' has its own gas inlet 6 . 6 ' and at least one row of gas outlets 7 . 7 ' ,

Gemäß der 3 ist die Gegenelektrode 8 symmetrisch zur Elektrode 1 aufgebaut und besitzt damit ebenfalls eine Kammer 5a mit einem Gaseinlass 6a und Gasauslässen 7a. Die Gegenelektrode 8 ist gegenüberliegend der Elektrode 1 angeordnet. Die Gasauslässe 7, 7a von Gegenelektrode 8 und Elektrode 1 befinden sich auf gegenüberliegenden Seiten zum Spalt 9, durch den die Bahn 2 hindurchgeführt ist.According to the 3 is the counter electrode 8th symmetrical to the electrode 1 built and thus also has a chamber 5a with a gas inlet 6a and gas outlets 7a , The counter electrode 8th is opposite the electrode 1 arranged. The gas outlets 7 . 7a of counter electrode 8th and electrode 1 are on opposite sides of the gap 9 through which the railway 2 passed through.

Gemäß der 4 ist eine flächige und kompakte Gegenelektrode 8 in der Kammer 3 der Elektrode 1 angeordnet.According to the 4 is a flat and compact counter electrode 8th in the chamber 3 the electrode 1 arranged.

11
Elektrodeelectrode
22
Bahntrain
33
Kammerchamber
44
Kanteedge
55
Kanteedge
6, 6a6 6a
Gaseinlassgas inlet
7, 7a7, 7a
Gasauslassgas outlet
88th
Gegenelektrodecounter electrode
99
Spaltgap
1010
Pfeilarrow

ZITATE ENTHALTEN IN DER BESCHREIBUNGQUOTES INCLUDE IN THE DESCRIPTION

Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.This list The documents listed by the applicant have been automated generated and is solely for better information recorded by the reader. The list is not part of the German Patent or utility model application. The DPMA takes over no liability for any errors or omissions.

Zitierte PatentliteraturCited patent literature

  • - WO 2007/017271 [0002, 0009] - WO 2007/017271 [0002, 0009]
  • - DE 19538176 A1 [0005] DE 19538176 A1 [0005]
  • - WO 02/06503 A3 [0006] WO 02/06503 A3 [0006]
  • - EP 0914876 B1 [0007] - EP 0914876 B1 [0007]

Claims (8)

Vorrichtung zum Modifizieren der Oberflächen von Bahn-, Platten- und Bogenware mit einer Einrichtung zur Erzeugung eines Plasmas mit Hilfe einer Corona- oder Barriereentladung bei Atmosphärendruck, wobei die Plasmaerzeugungsvorrichtung mit einer Elektrode (1) und einer Gegenelektrode (8) versehen ist, wobei wenigstens eine der Elektroden (1) aus einem Hohlkörper aus leitfähigem Material besteht, die wenigstens eine Kammer (3) einschließt, die über einen Gaseinlass (6) zum Einleiten eines gasförmigen Mediums in die Kammer (3) verfügt und die an der der Ware (2) zugewandten Seite mit wenigstens einer Reihe von nebeneinander liegenden Austrittsöffnungen (7) für das Medium versehen ist, und mit einer Transporteinrichtung, um die Ware in einer quer zur Reihe der Austrittsöffnungen liegenden Bewegungsrichtung an der aus einem Hohlkörper bestehenden Elektrode (1) vorbeizuführen, dadurch gekennzeichnet, dass die quer zur Bewegungsrichtung verlaufenden Kanten (4, 5) der Elektrode (1) gekrümmt ausgeführt sind.Device for modifying the surfaces of sheet, plate and sheet goods with a device for generating a plasma by means of a corona or barrier discharge at atmospheric pressure, the plasma generating device having an electrode ( 1 ) and a counter electrode ( 8th ), wherein at least one of the electrodes ( 1 ) consists of a hollow body of conductive material, the at least one chamber ( 3 ), which via a gas inlet ( 6 ) for introducing a gaseous medium into the chamber ( 3 ) and the goods ( 2 ) facing side with at least one row of adjacent outlet openings ( 7 ) is provided for the medium, and with a transport device to the goods in a direction transverse to the row of the outlet openings moving direction on the hollow body consisting of an electrode ( 1 ), characterized in that the edges running transversely to the direction of movement ( 4 . 5 ) of the electrode ( 1 ) are curved. Vorrichtung nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass die Elektrode (1) flach ausgeführt ist, wobei die Vorder- und Rückseite der Elektrode als Kreisabschnitt ausgeführt sind.Device according to one of the preceding claims, characterized in that the electrode ( 1 ) is made flat, with the front and back of the electrode are designed as a circular section. Vorrichtung nach Anspruch 1 oder 2, dadurch gekennzeichnet, dass die Elektrode (1) aus einem Profil mit einem geschlossenen Querschnitt gebildet ist, wobei die Seiten durch Kappen verschlossen sind.Device according to claim 1 or 2, characterized in that the electrode ( 1 ) is formed of a profile with a closed cross section, wherein the sides are closed by caps. Vorrichtung nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass mehrere Reihen von Austrittsöffnungen (7) vorgesehen sind, die in Bewegungsrichtung der Ware gesehen hintereinander angeordnet sind.Device according to one of the preceding claims, characterized in that a plurality of rows of outlet openings ( 7 ) are provided, which are arranged one behind the other viewed in the direction of movement of the goods. Vorrichtung nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass die Elektrode (1) mit einem nicht leitenden Überzug versehen ist.Device according to one of the preceding claims, characterized in that the electrode ( 1 ) is provided with a non-conductive coating. Vorrichtung nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass der Hohlkörper in mehrere quer zur Bewegungsrichtung der Ware verlaufende Kammern (3, 3') unterteilt ist, die ein Kammersystem bilden, wobei jede Kammer (3, 3') mit einem Gaseinlass (6, 6') zum Einleiten eines gasförmigen Mediums und mit wenigstens einer Reihe von nebeneinander liegenden Austrittsöffnungen (7, 7') für das Medium versehen ist, wobei alle Austrittsöffnungen (7, 7') an einer Seite des Hohlkörpers angeordnet sind.Device according to one of the preceding claims, characterized in that the hollow body in several transverse to the direction of movement of the goods extending chambers ( 3 . 3 ' ), which form a chamber system, each chamber ( 3 . 3 ' ) with a gas inlet ( 6 . 6 ' ) for introducing a gaseous medium and having at least one row of adjacent outlet openings ( 7 . 7 ' ) is provided for the medium, wherein all outlet openings ( 7 . 7 ' ) are arranged on one side of the hollow body. Vorrichtung nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass die Gegenelektrode (8) in einer Kammer (3) der Elektrode (1) angeordnet ist.Device according to one of the preceding claims, characterized in that the counter electrode ( 8th ) in a chamber ( 3 ) of the electrode ( 1 ) is arranged. Vorrichtung nach Anspruch 1 einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass die Gegenelektrode (8) symmetrisch zur Elektrode (1) aufgebaut ist und ihr gegenüberliegend angeordnet ist, so dass sich die Austrittsöffnungen (7, 7a) von Gegenelektrode (8) und Elektrode (1) auf sich gegenüberliegenden Seiten von Gegenelektrode (8) und Elektrode (1) befinden und die Ware (2) zwischen Elek trode (1) und Gegenelektrode (8) hindurchgeführt ist.Apparatus according to claim 1 any one of the preceding claims, characterized in that the counter electrode ( 8th ) symmetrical to the electrode ( 1 ) and is arranged opposite it, so that the outlet openings ( 7 . 7a ) of counterelectrode ( 8th ) and electrode ( 1 ) on opposite sides of counter electrode ( 8th ) and electrode ( 1 ) and the goods ( 2 ) between electrode ( 1 ) and counterelectrode ( 8th ) is passed.
DE102009006484A 2009-01-28 2009-01-28 Device for modifying the surfaces of sheet, plate and sheet goods with a device for generating a plasma Withdrawn DE102009006484A1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
DE102009006484A DE102009006484A1 (en) 2009-01-28 2009-01-28 Device for modifying the surfaces of sheet, plate and sheet goods with a device for generating a plasma
PCT/DE2010/000082 WO2010085941A2 (en) 2009-01-28 2010-01-28 Apparatus for modifying the surfaces of web, plate and sheet products using a device for generating plasma
DE112010000200T DE112010000200A5 (en) 2009-01-28 2010-01-28 DEVICE FOR MODIFYING THE SURFACES OF RAIL, PANEL AND ARCHERY WITH A DEVICE FOR GENERATING A PLASMA
EP10709952.5A EP2392195B1 (en) 2009-01-28 2010-01-28 Apparatus for modifying the surfaces of web, plate and sheet products using a device for generating plasma

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE102009006484A DE102009006484A1 (en) 2009-01-28 2009-01-28 Device for modifying the surfaces of sheet, plate and sheet goods with a device for generating a plasma

Publications (1)

Publication Number Publication Date
DE102009006484A1 true DE102009006484A1 (en) 2010-07-29

Family

ID=42282684

Family Applications (2)

Application Number Title Priority Date Filing Date
DE102009006484A Withdrawn DE102009006484A1 (en) 2009-01-28 2009-01-28 Device for modifying the surfaces of sheet, plate and sheet goods with a device for generating a plasma
DE112010000200T Withdrawn DE112010000200A5 (en) 2009-01-28 2010-01-28 DEVICE FOR MODIFYING THE SURFACES OF RAIL, PANEL AND ARCHERY WITH A DEVICE FOR GENERATING A PLASMA

Family Applications After (1)

Application Number Title Priority Date Filing Date
DE112010000200T Withdrawn DE112010000200A5 (en) 2009-01-28 2010-01-28 DEVICE FOR MODIFYING THE SURFACES OF RAIL, PANEL AND ARCHERY WITH A DEVICE FOR GENERATING A PLASMA

Country Status (3)

Country Link
EP (1) EP2392195B1 (en)
DE (2) DE102009006484A1 (en)
WO (1) WO2010085941A2 (en)

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE19505268A1 (en) * 1995-02-16 1996-08-22 Fraunhofer Ges Forschung Method and device for treating substrate surfaces
DE19538176A1 (en) 1995-10-13 1997-04-17 Arcotec Oberflaechentech Gmbh Device for treating flat substrates with a corona station
EP0914876B1 (en) 1997-11-05 2001-10-10 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Process and apparatus for treating the surface of a substrate with an electrical discharge between two electrodes in a gas mixture
WO2002006503A2 (en) 2000-07-18 2002-01-24 United States Department Of Energy Process for generation of hydrogen gas from various feedstocks using thermophilic bacteria
US6489585B1 (en) * 1999-07-27 2002-12-03 Matsushita Electric Works, Ltd. Electrode for plasma generation, plasma treatment apparatus using the electrode, and plasma treatment with the apparatus
EP1521509A2 (en) * 2003-09-30 2005-04-06 Fuji Photo Film B.V. Method arrangement and electrode for generating an atmospheric pressure glow plasma
US20050106094A1 (en) * 2003-11-17 2005-05-19 Konica Minolta Holdings, Inc. Method for forming nanostructured carbons, nanostructured carbons and a substrate having nanostructured carbons formed thereby
WO2006103828A1 (en) * 2005-03-28 2006-10-05 Showa Denko K.K. Method of manufacturing magnetic recording medium, magnetic recording medium and surface treatment apparatus
WO2007017271A2 (en) 2005-08-11 2007-02-15 Fraunhofer-Gesellschaft Zur Förderung Der Angewandten Forschung E.V: Plasma generating device and plasma generating method

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1663518A2 (en) * 2003-09-09 2006-06-07 Dow Global Technologies Inc. Glow discharge-generated chemical vapor deposition
JP2008153147A (en) * 2006-12-20 2008-07-03 Seiko Epson Corp Plasma treatment device

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE19505268A1 (en) * 1995-02-16 1996-08-22 Fraunhofer Ges Forschung Method and device for treating substrate surfaces
DE19538176A1 (en) 1995-10-13 1997-04-17 Arcotec Oberflaechentech Gmbh Device for treating flat substrates with a corona station
EP0914876B1 (en) 1997-11-05 2001-10-10 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Process and apparatus for treating the surface of a substrate with an electrical discharge between two electrodes in a gas mixture
US6489585B1 (en) * 1999-07-27 2002-12-03 Matsushita Electric Works, Ltd. Electrode for plasma generation, plasma treatment apparatus using the electrode, and plasma treatment with the apparatus
WO2002006503A2 (en) 2000-07-18 2002-01-24 United States Department Of Energy Process for generation of hydrogen gas from various feedstocks using thermophilic bacteria
EP1521509A2 (en) * 2003-09-30 2005-04-06 Fuji Photo Film B.V. Method arrangement and electrode for generating an atmospheric pressure glow plasma
US20050106094A1 (en) * 2003-11-17 2005-05-19 Konica Minolta Holdings, Inc. Method for forming nanostructured carbons, nanostructured carbons and a substrate having nanostructured carbons formed thereby
WO2006103828A1 (en) * 2005-03-28 2006-10-05 Showa Denko K.K. Method of manufacturing magnetic recording medium, magnetic recording medium and surface treatment apparatus
WO2007017271A2 (en) 2005-08-11 2007-02-15 Fraunhofer-Gesellschaft Zur Förderung Der Angewandten Forschung E.V: Plasma generating device and plasma generating method

Also Published As

Publication number Publication date
DE112010000200A5 (en) 2012-06-06
WO2010085941A3 (en) 2010-11-18
EP2392195A2 (en) 2011-12-07
EP2392195B1 (en) 2014-05-07
WO2010085941A2 (en) 2010-08-05

Similar Documents

Publication Publication Date Title
DE102005029360B4 (en) Two methods for continuous atmospheric pressure Plasma treatment of workpieces, in particular material plates or sheets
EP0839928A1 (en) Remote plasma CVD method
DE2838159C2 (en) Apparatus for distributing a gas stream along an elongated inlet of a gas treatment apparatus
DE2646798A1 (en) Electric charging device for liq. or solid particles in air stream - has particles charged with one polarity by first electrode and with opposite polarity by second electrode
DE1511254A1 (en) Method and device for moistening fibrous material
WO2015071058A1 (en) Dryer and method for drying flat materials
EP2646170A1 (en) Device for applying viscous media
DE4233895C2 (en) Device for treating web-shaped materials moved by a winding mechanism by means of a reactive or non-reactive, low-pressure plasma generated by high-frequency or pulse discharge
CH618110A5 (en)
DE1920832A1 (en) High speed printer
EP0854782A1 (en) Device for treating flat substrates by a corona station
DE102009006484A1 (en) Device for modifying the surfaces of sheet, plate and sheet goods with a device for generating a plasma
CH671303A5 (en)
DE102017104550A1 (en) Apparatus and method for cooling a flat product
DE19731562B4 (en) Method and apparatus for treating the inner surface of porous moving webs by electrical discharges in the range of atmospheric pressure
DE19846749A1 (en) Aerodynamic seal used for continuous thermal treatment plants has separate slit nozzles arranged on each side of the metal strip
DE10023939A1 (en) Device for removing electrical charges from flat material
DE19633797A1 (en) Device for electroplating electronic circuit boards or the like
CH673411A5 (en)
DE102011077833A1 (en) Method for processing substrates and apparatus therefor
DE2512744C3 (en) Dust protection for the ink jet head in particular in an ink jet writing mechanism
EP0707896B1 (en) Device for applying glue and its nozzle plate
DE3129327C2 (en) Device for applying thin layers by cathode sputtering
AT395827B (en) Process for burning aerosols, especially soot, contained in waste gases
EP3706519A1 (en) Electrode and device for corona treatment of surfaces

Legal Events

Date Code Title Description
OM8 Search report available as to paragraph 43 lit. 1 sentence 1 patent law
R118 Application deemed withdrawn due to claim for domestic priority

Effective date: 20110728