CN202586998U - 一种基于fpga的加噪信号同步时钟提取装置 - Google Patents

一种基于fpga的加噪信号同步时钟提取装置 Download PDF

Info

Publication number
CN202586998U
CN202586998U CN201220117235.5U CN201220117235U CN202586998U CN 202586998 U CN202586998 U CN 202586998U CN 201220117235 U CN201220117235 U CN 201220117235U CN 202586998 U CN202586998 U CN 202586998U
Authority
CN
China
Prior art keywords
signal
module
fpga
edge
clock
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
CN201220117235.5U
Other languages
English (en)
Inventor
李晶皎
王泽坤
李欣
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Northeastern University China
Original Assignee
Northeastern University China
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Northeastern University China filed Critical Northeastern University China
Priority to CN201220117235.5U priority Critical patent/CN202586998U/zh
Application granted granted Critical
Publication of CN202586998U publication Critical patent/CN202586998U/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Landscapes

  • Synchronisation In Digital Transmission Systems (AREA)

Abstract

一种基于FPGA的加噪信号同步时钟提取装置,属于通信控制领域。加噪信号同步时钟提取装置包括AD采样电路,包括在FPGA中实现的数据采集模块、FIR低通滤波模块、电平判决模块、边缘检测模块、同频时钟生成模块和相位调整模块,本实用新型的数据采集和处理均使用硬件实现,充分发挥了硬件加速的优势;在FPGA平台上,使用verilog语言进行编程,实现系统的模块化,设计150阶FIR低通滤波器,对滤波后的信号检测上升下降沿,得到同步信号的周期,再通过同步相位,完成同步信号的提取,实现系统具有良好抗噪性、高速、高精确度的优点。

Description

一种基于FPGA的加噪信号同步时钟提取装置
技术领域
本实用新型属于通信控制技术领域,涉及一种时钟信号提取技术,特别涉及一种基于FPGA的加噪信号同步时钟提取装置。
背景技术
同步是通信系统中一个非常重要的实际问题。在通信系统中,同步具有相当重要的地位。通信系统能否有效地、可靠地工作,很大程度上依赖于有无良好的同步性。其中位同步,或称码元同步,是要在接收端确定每一个码元的起止时刻,实现对接收到的信息码元的正确判决。它是数字通信的诸多同步之中的首要问题,没有位同步信号,就在通信接收端无法正常恢复传输的数字编码信息。近年来,利用可编程逻辑器件相对于使用独立器件进行同步时钟信号的处理操作,在提高系统性能与集成度方面均有极大优势,同时,利用可编程逻辑器件能够针对应用需求进行系统设计,突破传统设计方式受器件限制较大的弊端。
目前,提取位同步信号的方法有插入导频法和自同步法两种,而自同步法可分为滤波法和锁相法。
(1)插入导频法
为了得到码元同步的定时信号,首先要确定接收到的信息数据流中是否包含有位定时的频率分量。如果存在此分量,就可以利用滤波器从信息数据流中把位定时信息提取出来。若基带信号为随机的二进制不归零码序列,这种信号本身不包含位同步信号,为了获得位同步信号需在基带信号中插入位同步的导频信号,或者对该基带信号进行某种码型变换以得到位同步信息。插入导频法是在基带信号频谱的零点插入所需的导频信号,主要用于接收信号频谱中没有离散载频分量,或即使含有一定的载频分量,也很难从接收信号中分离出来的情况。
插入导频法有单独的导频信号,一方面可以提取同步载波,另一方面可以利用它作为自动增益控制。但是,插入导频法中导频和信号间由于滤波不好而产生互相干扰,而且插入导频法要多消耗一部分不带信息的功率,降低信噪功率比。
(2) 自同步法
当系统的位同步采用自同步方法时,发送端不专门发送导频信号,而直接从数字信号中提取位同步信号,这种方法在数字通信中经常采用,而自同步法具体又可分为滤波法和锁相法。
a.滤波法
根据基带信号的谱分析可以知道,对于不归零的随机二进制序列,不能直接从其中滤出位同步信号。但是,若对该信号进行某种变换,例如,变成单极性归零脉冲后,则该序列中就有                                                
Figure 205175DEST_PATH_IMAGE001
的位同步信号分量,经一个窄带滤波器,可滤出此信号分量,再将它通过一个移相器调整相位后,就可以形成位同步脉冲。它的特点是先形成含有位同步信息的信号,再用滤波器将其滤出。而单极性归零边沿脉冲信号,由于其包含
Figure 725018DEST_PATH_IMAGE001
的位同步信号分量,一般作为提取位同步信号的中间变换过程。
b.锁相法
把采用锁相环来提取位同步信号的方法称为锁相法。在数字通信中,这种锁相电路常采用数字锁相环来实现。锁相环是一个相位误差控制系统。它比较输入信号和振荡器输出信号之间的相位差,从而产生误差控制信号来调整振荡器的频率,以达到与输入信号同频率同相位。
采用锁相法提取位同步,它由高稳定度振荡器(晶振)、分频器、相位比较器和控制电路组成。高稳定度振荡器产生的信号经整形电路变成周期性脉冲,然后经控制器再送入分频器,输出位同步边沿脉冲信号。输入相位基准与由高稳定振荡器产生的经过整形的n次分频后的相位脉冲进行比较,由两者相位的超前或滞后,来确定扣除或附加一个脉冲,以调整位同步脉冲的相位。
自同步法不需导频信号,因此信号功率可以大一些,以提高噪功率比。可以防止插入导频法中导频和信号间由于滤波不好而引起的互相干扰,也可以防止信道不理想引起导频相位的误差(在信号和导频范围引起不同的畸变)。但是,有些调制系统并不能使用自同步法,如SSB(单边带)系统。
发明内容
针对现有技术存在的不足,本实用新型提出一种基于FPGA的加噪信号同步时钟提取装置,以达到系统具有良好抗噪性、高速、高精确度的目的。
一种基于FPGA的加噪信号同步时钟提取装置,包括AD采样电路,此外,还包括FPGA,所述的FPGA中包括数据采集模块、FIR低通滤波模块、电平判决模块、边沿检测模块、同频时钟生成模块和相位调整模块,所述的边沿检测模块包括第一边沿检测模块、第二边沿检测模块,其中:
数据采集模块:用于驱动AD采样电路进行采样,并将AD采集电路采集的数据读取到FPGA内,通过数据输出端将数据提供给FIR低通滤波模块的数据输入端;
FIR低通滤波模块:用于对数字信号进行滤波,去除其中的高频噪声,通过数据输出端将信号提供给电平判决模块数据输入端;
电平判决模块:用于将滤波之后的信号判决为0或1的二值数据,产生方波信号,通过数据输出端将信号提供给边沿检测模块数据输入端;
第一边沿检测模块:用于检测方波信号的上升沿和下降沿,产生边沿脉冲信号并通过数据输出端将信号提供给同频时钟生成模块数据输入端、相位调整模块第一数据输入端;
同频时钟生成模块:用于将FPGA的系统时钟信号分频,产生与方波信号的边沿脉冲信号同频率的时钟信号,通过数据输出端将信号提供给第二边沿检测模块数据输入端;
第二边沿检测模块:用于检测同频时钟信号的上升沿,产生边沿脉冲信号并通过数据输出端将信号提供给相位调整模块第二数据输入端;
相位调整模块:用于比较方波信号的边沿脉冲信号与同频时钟信号的边沿脉冲信号之间的相位差,根据相位差调整同频时钟信号相位,产生输出与方波信号的边沿脉冲信号同步的时钟信号。
所述的电平判决模块包括判决门限生成器和信号判决器,所述的判决门限生成器包括计数器和累加器,所述的信号判决器包括比较器;所述的边沿检测模块包括D触发器;所述的同频时钟生成模块包括有限状态机;所述相位调整模块包括有限状态机。
本实用新型优点:本实用新型的数据采集和处理均使用硬件实现,充分发挥了硬件加速的优势。在FPGA平台上,使用硬件编程语言进行编程,实现系统模块化。FIR低通滤波器模块和电平判决模块能有效的从有噪声的信道中恢复码元,相位调整模块采用逐步逼近的方法调节相位,当个别码元由于噪声产生较大畸变时,系统不会因此失去稳定,在实际应用中,信道中的信噪比达到3:1。本实用新型能从其中正确提取同步时钟,并且系统具有良好抗噪性、高速、高精度的优点。
附图说明
图1本实用新型一种实施例的系统总体构成示意图;
图2本实用新型一种实施例使用的AD采样模块电路图;
图3本实用新型一种实施例使用的数据采集模块图;
图4本实用新型一种实施例使用的低通滤波模块图;
图5本实用新型一种实施例使用的电平判决模块引脚结构示意图;
图6本实用新型一种实施例使用的边沿检测模块引脚结构示意图;
图7本实用新型一种实施例使用的边沿检测模块的逻辑原理图;
图8本实用新型一种实施例使用的同频时钟生成模块引脚结构示意图;
图9本实用新型一种实施例使用的相位调整模块引脚结构示意图。
具体实施方式
下面结合附图对本实用新型的内容作进一步描述。
本实用新型给出一种基于FPGA的加噪信号同步时钟提取装置,其总体构成示意图如图1所示,其中包括AD采样电路和FPGA。本实用新型实施例采用的FPGA芯片是Altera公司的Cyclone系列的EP1C12Q240C6,所述的FPGA中包括实现的数据采集模块、FIR低通滤波模块、电平判决模块、边沿检测模块、同频时钟生成模块和相位调整模块。其连接关系为:AD采样电路的数据输出引脚与FPGA的数据输入输出端(I/O)相连, AD采样电路的输入时钟引脚与数据采集模块的时钟引脚相连,数据采集模块输出端和FIR低通滤波模块输入端连接,FIR低通滤波模块输出端和电平判决模块输入端连接,电平判决模块输出端和第一边沿检测模块输入端连接,第一边沿检测模块输出端分别和同频时钟生成模块输入端、相位调整模块第一输入端连接,同频时钟生成模块输出端和第二边沿检测模块输入端连接,第二边沿检测模块输出端和相位调整模块第二输入端连接。
本实用新型实施例采用的AD采样芯片选用了ADI公司的AD9224ARS,电路原理如图2所示。AD9224ARS带有片上高性能采样保持器和参考电压,采用多级差动流水线式结构,对输出错误进行逻辑纠正,以保证在40Msps采样速率下获得精确的12位数据,满足系统对精度和采样速率的要求。AD9224ARS芯片采用+5V单电源供电,可以处理±5V范围内的双极性输入信号输入。AD9224ARS采用单一的时钟信号来控制芯片内部所有的转换,A/D转换是在时钟上升沿完成的,采样时钟为高电平时,为保持时间,采样时钟为低电平时,输入端VINA和VINB处于采样模式。在本实用新型实施例中,AD9224ARS的时钟输入AD1_CLK为40MHz。在本实用新型的实施例中,AD9224ARS采用差分输入,即输入端VINA和输入端VINB都由模拟输入信号来驱动。
本实施例中的数据采集模块主要完成对芯片AD9224ARS的驱动,并将采样数据读到FPGA片内,提供给下一级的FIR低通滤波模块。数据采集模块的引脚结构如图3所示,AD9224ARS芯片的输入时钟引脚AD1_CLK与数据采集模块的输出时钟引脚ad_clk相连,数据采集模块的数据输出端data[11..0]与FIR低通滤波模块的数据输入端ast_sink_data[11..0]相连接。
本实施例中的数据采集模块的时钟输入端clk输入为100MHz,该模块通过配置PLL(锁相环),使之产生40MHz的时钟信号ad_clk,作为采样时钟输出给AD9224ARS的输入时钟引脚AD1_CLK。由系统时钟触发,读取与AD9224ARS数据输出引脚相连的I/O口,将读到的数据与下一级的FIR(有限冲激响应)低通滤波模块的数据输入接口相连。
本实施例中的FIR低通滤波模块用于生成一个150阶的FIR低通滤波器,用于滤除信号中的高频噪声,FIR低通滤波模块的引脚结构如图4所示。数据采集模块的数据输出端data[11..0]与FIR低通滤波模块的数据输入端ast_sink_data[11..0]相连接。
在本实施例中,FIR低通滤波器的截止频率选择在1MHz,频率高于1MHz的信号将视为高频噪声。由于AD9224ARS芯片输入的采样时钟为40MHz,数据采集模块的数据输出端data[11..0]与FIR低通滤波模块的数据输入端ast_sink_data[11..0]相连接,,所以,配置FIR低通滤波器的采样时钟为40MHz。本实施例中AD9224ARS芯片采用流水线结构,流水线的级数就是所选取的阶数,所以选取的阶数越大,所占用的FPGA的资源就越多。为了保证FIR低通滤波器滤波性能和占用FPGA资源的平衡,在本实用新型实施例中,FIR低通滤波器的阶数选取为150,转换过程有150个时钟的延时。将滤波之后的数据提供给下一级的电平判决模块,做进一步的处理,FIR低通滤波模块的数据输出ast_source_data[31..20]与电平判决模块的数据输入data[11..0]相连接。
本实施例中的电平判决模块用于将输入信号转换为规整的方波信号,即将输入信号判决为0或1的二值数据。其引脚结构如图5所示。将FIR低通滤波模块的数据输出端ast_source_data[31..20]与电平判决模块的数据输入端data[11..0]相连接。电平判决模块分为两个部分:判决门限的生成和信号的判决。
判决门限的生成部分用于产生判决输入信号的门限,定义一个17位的计数器count和一个30位的累加器accum,由系统时钟,计数器count从0开始,对系统时钟的上升沿计数。同时,累加器accum不断地对FIR滤波器模块出来的数据进行累加。本实施例中计数器的取值为65536,当计数器到达65536时,将累加器accum中的值除以计数器count的值,所得的结果即为输出的信号幅度平均值。在下个时钟周期,将计数器count和累加器accum中的值清零,重新计算输入信号幅度的平均值。所输出的信号幅度平均值即为输入信号的判决门限。
信号的判决部分用于比较输入信号与判决门限,将输入信号判决为0或1的二值数据。将输入信号和判决门限作为比较器的两个输入端。首先,需要选取比较器的滞回宽度,在本实施例中,将比较器的滞回宽度定为20。当比较器输出低电平,且输入信号幅值大于判决门限加上滞回宽度的二分之一时,比较器输出高电平;当比较器输出高电平,且输入信号幅值小于判决门限减去滞回宽度的二分之一时,比较器输出低电平。所输出的0或1的二值数据,就是所需要的规整的方波信号。最后,将比较器输出的结果输出给下一级第一边沿检测模块。
本实施例中包括两个边沿检测模块,第一边沿检测模块主要是负责检测出输入方波信号的上升沿和下降沿,输出方波信号的边沿脉冲信号,其引脚结构如图6所示,将电平判决模块的数据输出端square_wave与第一边沿检测模块的数据输入端square_wave相连接。第一边沿检测模块的逻辑原理图如图7所示。第一边沿检测模块由系统时钟触发,信号通过第一边沿检测模块内部第一D触发器D端输入,将输入信号延时一个时钟周期,再比较输入信号与延时之后的信号是否相等,若两信号不相等,则代表此时输入信号发生跳变,表明是输入信号的边沿,输出高电平给第二D触发器D端;若两信号相等,则代表此时输入信号没有发生跳变,不是输入信号的边沿,输出低电平给第二D触发器D端。第二D触发器的输出即边沿检测模块的输出就是输入方波信号的边沿脉冲信号。将输出的边沿脉冲信号,提供给下一级的同频时钟生成模块。
同频时钟生成模块用于根据所检测出的边沿脉冲信号,生成与方波信号的边沿脉冲信号频率相同的时钟信号,其引脚结构如图8所示。第一边沿检测模块的数据输出端edge_signal与同频时钟生成模块的数据输入端edge_signal相连接,同频时钟生成模块的数据输出端same_freq_clock与第二边沿检测模块的数据输入端square_wave相连接。在输入的边沿脉冲信号中,找出最小的相邻脉冲间隔,即可获得所求的同频时钟信号。但是,由于边沿脉冲信号相邻脉冲时间间隔的长短不同,并且为了防止由于噪声而产生的畸变信号的影响,所以本实施例中找出1024个较小的时间间隔,求取其平均值得到所要生成时钟的周期,进而获得所要生成时钟信号的频率。本实施例使用系统时钟的个数来表征相邻脉冲的时间间隔。
在本实施例中,使用有限状态机来实现同频时钟生成模块的功能。共有三个状态,第一个状态INIT是所有信号的初始化,第二个状态GEN_THRESHOLD是找出判决门限,第三个状态GEN_INTERVAL是累加较小时间间隔,并求其平均值。将输出的同频时钟信号输出给下一级的第二边沿检测模块,生成同频时钟信号的边沿脉冲信号。
本实施例中相位调整模块用于生成与输入信号相同相位的时钟信号,其引脚结构如图9所示。第一边沿检测模块的数据输出端edge_signal与相位调整模块的数据输入端edge_signal相连接,第二边沿检测模块的数据输出端edge_signal与相位调整模块的数据输入端same_freq_clock相连接。此模块通过比较从第二边沿检测模块输出的同频时钟信号的边沿脉冲信号与从第一边沿检测模块输出的边沿脉冲信号,根据相位差选择添加脉冲控制或扣除脉冲控制,使二者趋于同相位。
使用第二边沿检测模块检测出输入的同频时钟信号的上升沿,相位调整模块通过比较方波信号边沿产生的边沿脉冲信号与同频时钟信号上升沿产成的边沿脉冲信号的脉冲位置,比较的结果有三种:超前、同相和落后。当方波信号的边沿脉冲信号为高电平时,判断同频时钟信号的上升沿边沿脉冲信号是否为高电平,若为高电平,则说明二者的关系为同相;若为低电平,则说明二者的关系为超前或落后。本实施例例通过判断方波信号的边沿脉冲信号与同频时钟信号的边沿脉冲信号之间的距离来确定是超前还是落后,若距离大于同频时钟脉冲间隔的二分之一,则说明是超前,若小于,则说明是落后。最后,根据其相位差,扣除或添加系统时钟的脉冲控制,使同频时钟和方波信号同相位。在这里,依然使用系统时钟的个数来表征相邻脉冲的时间间隔。
本实施例中通过使用有限状态机来实现相位调整模块的功能。定义方波信号边沿产生的边沿脉冲信号为edge_signal,同频时钟信号上升沿产成的边沿脉冲信号为edge_clk,比较edge_signal与edge_clk的相位关系,共有三种状态,第一个状态S0是将所有信号初始化,第二个状态S1是比较edge_signal和edge_clk相位关系是否相等,第三个状态S2是确定两者的相位关系是超前还是落后。确定edge_signal与edge_clk的相位关系之后,根据其相位差,扣除或添加系统时钟的单位脉冲控制,使同频时钟和方波信号趋于同相位。根据同频同相位的时钟信号周期,生成所要求得的同频同相位的时钟信号。
最后,将输出的时钟信号clk_out锁在FPGA的I/O引脚上,使用示波器来验证所产生时钟信号的相位与频率,以及噪声对信号的影响。
系统测试:
本实用新型实施例中选用曼彻斯特发生器作为信号源,加入高频伪随机噪声。实验的目的是测试系统的准确性。这里主要测试了2组信号,信噪比为3:1,每组信号测试了5次,然后求取平均值作为最后的测试结果,测试结果如表1所示。
表1 同步时钟频率测试结果
数据率 10kbps 100kbps
提取同步信号频率 9.998kbps 99.84kbps
根据以上数据显示,可以证明本实用新型实施例的一种基于加噪信号同步时钟提取装置,能够有效地提取出加噪信号的同步时钟,且具有很高的精度,能够满足系统对精度要求。

Claims (5)

1.一种基于FPGA的加噪信号同步时钟提取装置,包括AD采样电路,其特征在于:还包括FPGA,所述的FPGA中包括数据采集模块、FIR低通滤波模块、电平判决模块、边沿检测模块、同频时钟生成模块和相位调整模块,所述的边沿检测模块包括第一边沿检测模块、第二边沿检测模块,其中:
数据采集模块:用于驱动AD采样电路进行采样,并将AD采集电路采集的数据读取到FPGA内,通过数据输出端将数据提供给FIR低通滤波模块的数据输入端;
FIR低通滤波模块:用于对数字信号进行滤波,去除其中的高频噪声,通过数据输出端将信号提供给电平判决模块数据输入端;
电平判决模块:用于将滤波之后的信号判决为0或1的二值数据,产生方波信号,通过数据输出端将信号提供给边沿检测模块数据输入端;
第一边沿检测模块:用于检测方波信号的上升沿和下降沿,产生边沿脉冲信号并通过数据输出端将信号提供给同频时钟生成模块数据输入端、相位调整模块第一数据输入端;
同频时钟生成模块:用于将FPGA的系统时钟信号分频,产生与方波信号的边沿脉冲信号同频率的时钟信号,通过数据输出端将信号提供给第二边沿检测模块数据输入端;
第二边沿检测模块:用于检测同频时钟信号的上升沿,产生边沿脉冲信号并通过数据输出端将信号提供给相位调整模块第二数据输入端;
相位调整模块:用于比较方波信号的边沿脉冲信号与同频时钟信号的边沿脉冲信号之间的相位差,根据相位差调整同频时钟信号相位,产生输出与方波信号的边沿脉冲信号同步的时钟信号。
2.根据权利要求1所述的基于FPGA的加噪信号同步时钟提取装置,其特征在于:所述的电平判决模块包括判决门限生成器和信号判决器,所述的判决门限生成器包括计数器和累加器,所述的信号判决器包括比较器。
3.根据权利要求1所述的基于FPGA的加噪信号同步时钟提取装置,其特征在于:所述的边沿检测模块包括D触发器。
4.根据权利要求1所述的基于FPGA的加噪信号同步时钟提取装置,其特征在于:所述的同频时钟生成模块包括有限状态机。
5.根据权利要求1所述的基于FPGA的加噪信号同步时钟提取装置,其特征在于:所述相位调整模块包括有限状态机。
CN201220117235.5U 2012-03-26 2012-03-26 一种基于fpga的加噪信号同步时钟提取装置 Expired - Lifetime CN202586998U (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201220117235.5U CN202586998U (zh) 2012-03-26 2012-03-26 一种基于fpga的加噪信号同步时钟提取装置

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201220117235.5U CN202586998U (zh) 2012-03-26 2012-03-26 一种基于fpga的加噪信号同步时钟提取装置

Publications (1)

Publication Number Publication Date
CN202586998U true CN202586998U (zh) 2012-12-05

Family

ID=47256354

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201220117235.5U Expired - Lifetime CN202586998U (zh) 2012-03-26 2012-03-26 一种基于fpga的加噪信号同步时钟提取装置

Country Status (1)

Country Link
CN (1) CN202586998U (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102611447A (zh) * 2012-03-26 2012-07-25 东北大学 一种基于fpga的加噪信号同步时钟提取装置
CN104125178A (zh) * 2013-04-24 2014-10-29 中国石油化工股份有限公司 一种抑制数字地震仪数据传输码间干扰的解码装置及方法
CN108449300A (zh) * 2018-03-16 2018-08-24 成都力合微电子有限公司 一种ofdm系统帧同步方法

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102611447A (zh) * 2012-03-26 2012-07-25 东北大学 一种基于fpga的加噪信号同步时钟提取装置
CN104125178A (zh) * 2013-04-24 2014-10-29 中国石油化工股份有限公司 一种抑制数字地震仪数据传输码间干扰的解码装置及方法
CN104125178B (zh) * 2013-04-24 2017-08-18 中国石油化工股份有限公司 一种抑制数字地震仪数据传输码间干扰的解码装置及方法
CN108449300A (zh) * 2018-03-16 2018-08-24 成都力合微电子有限公司 一种ofdm系统帧同步方法
CN108449300B (zh) * 2018-03-16 2020-12-29 成都力合微电子有限公司 一种ofdm系统帧同步方法

Similar Documents

Publication Publication Date Title
CN102611447B (zh) 一种基于fpga的加噪信号同步时钟提取装置
CN103036670B (zh) 一种时钟恢复电路及并行输出电路
CN106844253B (zh) 一种低采样率的串口通讯时钟数据恢复系统
WO2007123055A1 (ja) 試験装置、試験方法、ジッタフィルタ回路、及びジッタフィルタ方法
CN103346988A (zh) 一种fsk数字解调器
CN104852876A (zh) 一种航空无线突发通信系统
CN105245203B (zh) 高精度低速时钟占空比检测系统及方法
CN202586998U (zh) 一种基于fpga的加噪信号同步时钟提取装置
CN101300772A (zh) 用于调节同步时钟信号的方法和装置
CN101576610A (zh) 一种在示波器中提高数据采样精度的装置和方法
CN101060509B (zh) 符号定时检测器和无线终端
CN102968513B (zh) 一种基于fpga的高速数字信号采集与分析方法
CN105991131B (zh) 半速率时钟数据恢复电路及其方法
CN109861690A (zh) 输出反馈时钟占空比调节装置、方法及系统
CN201426113Y (zh) 用于智能家居电子设备的数字信号滤波整形电路
CN105306058A (zh) 一种基于时钟调相的高速数字信号采集系统
CN102075470B (zh) 一种fsk或gfsk数字解调装置及方法
CN104079265A (zh) 高速时钟占空比检测系统
Kubíček et al. Blind oversampling data recovery with low hardware complexity
CN106059975B (zh) 一种新的抑制载波同步的方法及costas环
CN102281060A (zh) 一种应用于时钟数据恢复的鉴相器电路
CN213152037U (zh) 一种高速低抖动数据同步鉴相器
CN103684473A (zh) 基于fpga的高速串并转换电路
US6052422A (en) Analog signal offset cancellation circuit and method
CN103812505A (zh) 位同步锁定检测器

Legal Events

Date Code Title Description
C14 Grant of patent or utility model
GR01 Patent grant
AV01 Patent right actively abandoned
AV01 Patent right actively abandoned
AV01 Patent right actively abandoned

Granted publication date: 20121205

Effective date of abandoning: 20140305

AV01 Patent right actively abandoned

Granted publication date: 20121205

Effective date of abandoning: 20140305