CN201741151U - 一种降低堆栈通孔的系统 - Google Patents
一种降低堆栈通孔的系统 Download PDFInfo
- Publication number
- CN201741151U CN201741151U CN200920179559XU CN200920179559U CN201741151U CN 201741151 U CN201741151 U CN 201741151U CN 200920179559X U CN200920179559X U CN 200920179559XU CN 200920179559 U CN200920179559 U CN 200920179559U CN 201741151 U CN201741151 U CN 201741151U
- Authority
- CN
- China
- Prior art keywords
- storehouse
- hole
- congested
- electric power
- power networks
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Expired - Lifetime
Links
Images
Classifications
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F30/00—Computer-aided design [CAD]
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F30/00—Computer-aided design [CAD]
- G06F30/30—Circuit design
- G06F30/39—Circuit design at the physical level
- G06F30/398—Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F30/00—Computer-aided design [CAD]
- G06F30/30—Circuit design
- G06F30/39—Circuit design at the physical level
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F2119/00—Details relating to the type or aim of the analysis or the optimisation
- G06F2119/06—Power analysis or power optimisation
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y02—TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
- Y02E—REDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
- Y02E60/00—Enabling technologies; Technologies with a potential or indirect contribution to GHG emissions mitigation
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y04—INFORMATION OR COMMUNICATION TECHNOLOGIES HAVING AN IMPACT ON OTHER TECHNOLOGY AREAS
- Y04S—SYSTEMS INTEGRATING TECHNOLOGIES RELATED TO POWER NETWORK OPERATION, COMMUNICATION OR INFORMATION TECHNOLOGIES FOR IMPROVING THE ELECTRICAL POWER GENERATION, TRANSMISSION, DISTRIBUTION, MANAGEMENT OR USAGE, i.e. SMART GRIDS
- Y04S40/00—Systems for electrical power generation, transmission, distribution or end-user application management characterised by the use of communication or information technologies, or communication or information technology specific aspects supporting them
- Y04S40/20—Information technology specific aspects, e.g. CAD, simulation, modelling, system security
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Theoretical Computer Science (AREA)
- Computer Hardware Design (AREA)
- Evolutionary Computation (AREA)
- Geometry (AREA)
- General Engineering & Computer Science (AREA)
- General Physics & Mathematics (AREA)
- Design And Manufacture Of Integrated Circuits (AREA)
Abstract
一种在集成电路(IC)的电力网络中最小化电压降的同时、自动降低堆栈通孔的系统,其中,该电力网络的任何可用堆栈通孔,也就是除了连接性必需堆栈通孔以及未拥塞堆栈通孔之外的堆栈通孔皆会被该系统虚拟地移除,其中,如果该电力网络的目标电压降被超过时,对于该IC上的至少一最大电压降的严重程度的测量就会被该系统更新,而在此更新之后,电压降改进堆栈通孔组则会虚拟地被该系统回复至该电力网络中,之后,确定该目标电压降是否被超过、更新至少一个或多个热点的电压降的严重程度、以及虚拟地回复该额外的堆栈通孔组的这些步骤会被该系统重复执行,直到不超过该目标电压降为止。
Description
技术领域
本实用新型涉及集成电路(IC)的电力网络(power network),尤其是指一种确保不会超过目标电压降、且能维持原先电力网络的连接性,通过移除电力网络降低堆栈通孔(stacked vias)从而降低IC上的拥塞(congestion)的系统。
背景技术
当工业IC设计中的电源供给电压水平持续地因为连续驱动低功率电子产品而逐步减少时,在电力网络中因电压降而导致的噪声也变得越来越严重,这样造成的结果是,为了最小化该电压降,需要有密集电力网络,而此密集电力网络包括大量由最高阶的电力网络一直至标准单元轨道(standard cell rails)所产生的堆栈通孔(stacked vias)。当在文中使用时,堆栈通孔(stacked via)被定义为,用来连接位于非相邻、且不具有居中的、连接的金属线的金属层中的两个金属线的多个通孔(vias)。举例而言,图1举例说明了示范的堆栈通孔100,其包括101,102,以及103这三个通孔,其中,堆栈通孔100用来连接(形成在不同金属层中的)金属线104以及105,且金属线间不具有居中的、连接的金属线,也就是说,在通孔101以及102之间的接口并未连接至金属线,类似地,在通孔102以及103之间的接口也并未连接至金属线。
但遗憾地是,使用堆栈通孔可能会在IC上造成拥塞(congestion)。举例而言,图2举例说明电力网络200的通孔层(via layer)的示范上视图,其包括多个通孔201(为举例说明标示了三个通孔),且其所有都表示堆栈通孔的位置。在真实的电力网络中,可以包括众多的堆栈通孔,而其与IC设计的组件(为了简化该通孔层,因而未显示)一起会很容易造成拥塞。但熟知的降低拥塞的方法有明显的缺失。
举例而言,增加IC的晶粒尺寸可以降低拥塞,但也会招致较高的成本以及较长的周转时间(turn-around time);另外,规律地每隔N列/行即移除堆栈通孔(其中,N是大于1的预设整数)也可以降低拥塞,但却可能会招致较高的电压降以及丧失电力网络连接性;另外,利用具有较少切痕(Cuts)的较小通孔取代正常的通孔也可以降低拥塞,但却可能会招致较高的电压降以及较高的电迁移(electro-migration),这里,需要注意的是,通孔中会包括一个或多个填充以金属的切痕(也就是说,洞(holes),以连接这两条金属线,其中,当这些金属线越宽时,通常,在该通孔中所具有的切痕也会越多,因而实质上就会从一个“通孔”形成通孔数组,而减少不合意的切痕的数量则可以增加这样的通孔的抗性;再者,在拥塞的热点(hot spots)中手动移除堆栈通孔也可以降低拥塞,但却可能招致较高的电压降,丧失电力网络连接性,以及较长的周转时间。
实用新型内容
因此,有需要发展一种能够在IC上降低拥塞,且同时能符合电压降需求、保证电力网络连接性不会丧失、以及可最小化周转时间的系统。
一种在集成电路(IC)的电力网络中自动降低堆栈通孔的方法加以提供,具有优势地是,此方法也会维持电力网络的连接以及最小化在该电力网络中的电压降。在此方法中,会确定IC设计、该IC设计的电力网络、该电力网络的目标电压降、以及基于该IC设计与该电力网络的拥塞信息。
拥塞可以通过在拥塞地图中为每一个网格(grid)寻找在特殊方位(也就是说,水平或垂直)中所占据的一些轨迹(每一个轨迹都表示适合于放置IC组件、或其部分的预定区域)而加以确定,其中,在网格中所允许占据的轨迹的数量取决于技术节点(technology node)以及可获得的平版印刷技术,而如果该设计的这些组件在网格中所占据的轨迹的数量以及这些堆栈通孔超过一预定临界值时,则这个网格即可被视为具有拥塞的特征。
此时,可以识别出该电力网络中的这些堆栈通孔,连接性必需堆栈通孔(connectivity-necessary stacked vias),未拥塞的堆栈通孔,以及可用的堆栈通孔,其中,连接性必需堆栈通孔是表示执行该IC设计以及维持该电力网络的连接性时所必要的任何堆栈通孔。
在一实施例中,识别该连接性必需堆栈通孔可以包括,将该电力网络视为图形模型,其中,在该图形中的边缘可以代表线段、通孔、或堆栈通孔,在该图形中的节点代表在两个、或多个边缘之间的交叉点,在该图形中的源极节点(source node)代表提供电力的电力垫(pad)、或接脚,在该图形中的超级源极节点(super source node)是连接所有源极节点的节点,以及在该图形中的流入节点(sink node)代表电流流入(current sink),例如,消耗电力的标准单元(standard cell)、或大区块(macro block)的电力接脚,并且,每一个线段、通孔、或堆栈通孔的拥塞成本的确定,可以是通过以拥塞地图(包括该IC设计的电力网络以及零件二者)作为基础而分析关联于其本身的总拥塞,接着,每一个边缘则是可以根据相对应的线、通孔、或堆栈通孔而关联于拥塞权重(congestion weight)。
在该图形中可以识别出最小边缘组合,因而使得自该超级节点至每一个流入节点都可以有至少一路径,特别地是,同时间,该最小边缘组合的这些总拥塞权重也可以被最小化,在此,此边缘组合被视为必要的边缘(essential edge),而相对应于在该结果最小边缘组合中的任一边缘的堆栈通孔则是可以被识别为该连接性必需堆栈通孔。
在一实施例中,为了识别必要的边缘,可以自该图形中撷取出最小生成树(MST,Minimum Spanning Tree),以连接该图形中的所有节点,接着,仅由MST边缘所组成的这些路径会自每一个流入节点追踪回该超级源极节点,而在这些路径中的这些边缘则可以被识别为必要的边缘。在另一实施例中,为了识别必要的边缘,可以寻找自该超级源极节点至每一个流入节点的最短路径,其中,每一个边缘的距离即为该拥塞权重。在再一实施例中,为了识别必要的边缘,则是可以探索出自该超级源极节点至每一个流入节点、以多流入图形作为基础的(multiple-sink graph-based)最小斯坦纳树(Minimum Steiner Tree)路线选择。
通常,未拥塞堆栈通孔是表示在未拥塞区域中的任何堆栈通孔。假设有一个堆栈通孔以及该以网格作为基础的拥塞地图,在此堆栈通孔的居中层处的每一个形状(矩形、或直线形)都可以被分隔为与其部分重叠的这些网格,而对每一个网格而言,在此网格中被部分该堆栈通孔(一次形状(sub-shape))所占据的轨迹的数量,则是可以在考虑到此层的方向(其中,对可以放置所设计的组件的这些轨迹而言,每一层具有预定的方位)的情形下进行计算,另外,如果这个网格具有特定溢流时,则该次形状的该拥塞成本就可以被计算为,该次形状所使用的轨迹数量以及该溢流二者中较少者,再者,该堆栈通孔的该拥塞成本包括该堆栈通孔的这些次形状的这些成本的总和,其中,如果该总和少于一预定临界值时,则这个堆栈通孔就可被识别为未拥塞堆栈通孔,在一实施例中,该预定临界值可以为0。
在此,不是连接性必需堆栈通孔、或未拥塞堆栈通孔(需要注意的是,连接性必需堆栈通孔与未拥塞堆栈通孔的组合可以、或可以不部分重叠)的任何堆栈通孔都会被视为可用堆栈通孔,而依据本方法一方面的构想,该电力网络的任何可用堆栈通孔则都可以被虚拟地移除。
在一实施例中,可以计算出所有堆栈通孔的总拥塞权重以及这些可用堆栈通孔的拥塞权重的总和,且具有优势地是,此总和与该总拥塞权重之间的比较可以指出该拥塞是否是起因于电力网络堆栈通孔,以及用于拥塞降低的堆栈通孔移除是否值得,也就是说,在不考虑维持该电力网络连接性时对电力网络电压降的冲击的情形下,可以达到最佳的结果。
然后,可以确定该电力网络中的该目标电压降是否已经超过,而当该目标电压降超过时,对该IC上的至少一最大电压降的严重程度的测量则是会被更新,在此,具有最大电压降的节点可被指定为最糟热点(worst-casehot spot)。在一实施例中,于该电力网络中具有大于该目标电压降的电压降的这些节点可以被指定为电压降热点,并且,可以分析相对于这些电压降热点的每一个已移除可用堆栈通孔的电压敏感度,也就是说,相对于这个已移除堆栈通孔若被虚拟地回复至该电力网络时,对这些电压降热点所造成的冲击。因此,配合上已知的合并毗邻网络分析方法(merged adjointnetwork analysis method),在仅有一个电力网络电压降仿真的情形下,每一个堆栈通孔相对于已确定的电压降热点组的该电压降敏感度可以有效地被分析。
在此更新之后,电压降改进堆栈通孔组(也就是说,确定对这些电压降热点的严重程度具有至少一预定改进的可用堆栈通孔)可虚拟地被回复至该电力网络中,然后,确定该目标电压降是否超过、在一个或多个热点处更新该电压降的严重程度、以及虚拟地回复该电压降改进堆栈通孔组的多个步骤会被重复,直到该目标电压降没有被超过为止。
此时,该电力网络中任何剩余的可用堆栈通孔(也就是说,这些连接性必需堆栈通孔、这些未拥塞堆栈通孔、以及这些对压降改进堆栈通孔以外者)都可以被实体地移除,之后,就可以输出具有已降低的堆栈通孔的电力网络。在实体移除这些指定的堆栈通孔之后所执行的额外步骤包括,举例而言,执行用于拥塞验证的整体路线选择,以及执行电力网络分析,以验证电力网络连接性以及电压降。
在一实施例中,该虚拟地回复该电压降改进堆栈通孔组的步骤可以包括,以电压敏感度以及拥塞成本作为基础而分类这些可用堆栈通孔,其中,这些可用堆栈通孔的分类则是可以包括,确定该电压敏感度除以该拥塞成本的比值。在一实施例中,此分类也可以包括,将已分类的可用堆栈通孔分为数个群组,并在回到该确定目标电压降是否超过的步骤前,仅将一个群组(也就是说,具有最高比值的群组)加回。在一实施例中,每一个群组都具有大致上相同的结合拥塞成本(combined congestion cost),在此方法中,当对于拥塞的冲击被最小化的同时,对于电压降热点的电位改进会被最大化,因而加速了收敛以及达成了最佳质量结果。
在一系统实施例中,一种在集成电路(IC)的电力网络中自动降低堆栈通孔的系统,包括下列装置:
第一确定装置确定IC设计、该IC设计的电力网络、该电力网络的目标电压降、以及基于该IC设计与该电力网络的拥塞信息;
识别装置,以该拥塞信息为基础而识别该电力网络中的任何连接性必需堆栈通孔以及未拥塞堆栈通孔,其中,这些连接性必需堆栈通孔为电力连接所必要的堆栈通孔,以及这些未拥塞堆栈通孔为位于该IC的未拥塞区域中的堆栈通孔;
第一计算装置计算所有堆栈通孔的总拥塞权重;
第二计算装置计算可用堆栈通孔拥塞权重的总和,其中可用堆栈通孔是指连接性必需堆栈通孔以及未拥塞堆栈通孔以外的其它堆栈通孔;
比较装置比较该总和以及该总拥塞权重;
第二确定装置确定在该集成电路上的拥塞是否由于堆栈通孔,以及堆栈通孔移除是否值得;
第一移除装置,当该第二确定装置确定该堆栈通孔移除是值得的时后,虚拟地移除该电力网络的任何可用堆栈通孔;
第三确定装置确定该电力网络中的该目标电压降是否被超过;
更新装置,当该第三装置确定该目标电压降被超过时,更新对于该IC上的至少一最大电压降的严重程度的测量;
回复装置,在该更新装置已更新该测量之后,虚拟地将一组电压降改进堆栈通孔回复至该电力网络,其中,该组电压降改进堆栈通孔是这些可用堆栈通孔的子组合;
重复装置,使该第三确定装置、该更新装置及该回复装置重复工作,直到该目标电压降不被超过为止;
第二移除装置,实体地移除该电力网络中除了连接性必需堆栈通孔、未拥塞堆栈通孔、以及电压降改进堆栈通孔以外的任何堆栈通孔;以及
输出装置输出具有已降低堆栈通孔的该电力网络。
前述系统可还包括路线选择装置,在该第二移除装置实体移除该任何堆栈通孔后,执行用于拥塞验证的整体路线选择。
前述系统可还包括分析装置,在该第二移除装置实体移除该任何堆栈通孔后,执行用于电压降以及连接性验证的电力网络分析。
前述系统中,该回复装置可包括分类装置,以电压敏感度以及拥塞成本作为基础而对这些可用堆栈通孔分类。
前述系统中,该分类装置可包括第四确定装置以确定该电压敏感度除以该拥塞成本的比值。
前述系统中,每一个可用堆栈通孔的该电压敏感度通过将这个可用堆栈通孔回复至该电力网络时,分析对于该电力网络的至少一电压降热点的冲击而加以确定。
前述系统中,每一个可用堆栈通孔的该拥塞成本通过分析关联于这个可用堆栈通孔的溢流而加以确定。
前述系统可,还包括分隔装置,将已分类的可用堆栈通孔分群,以及相加装置,在该第三确定装置再次确定该目标电压是否被超过前,仅将一个群组加回。而这个群组是可具有最高比值的剩余群组,且每一个群组可皆具有大致上相同的结合拥塞成本。
前述系统可还包括第五确定装置以确定该IC设计的拥塞问题是否起因于堆栈通孔。
附图说明
图1举例说明示范的堆栈通孔;
图2举例说明包括多个通孔的电力网络的通孔层的示范上视图;
图3举例说明示范的电力网络堆栈通孔降低技术;
图4举例说明具有网格图案的示范性拥塞地图;
图5A举例说明示范性电力网络,其包括将在第一金属层中的金属线连接至在第二金属层中的金属线的堆栈通孔;
图5B举例说明在这些可用堆栈通孔被虚拟地移除后的该电力网络,因而留下连接性必需堆栈通孔;
图5C举例说明在电压降改进堆栈通孔被增加至连接性必需堆栈通孔后的该电力网络;
图6举例说明具有多个节点的IC的示范性电压降地图;以及
图7显示包括该电力网络堆栈通孔降低技术的示范性数字ASIC设计流程的简化代表图。
具体实施方式
熟知的降低IC上拥塞的方法会不合意地造成较高的成本、较长的周转时间、丧失电力网络连接性、及/或较高的电压降。而接下来所揭示的则是一种在IC上自动降低拥塞的技术,其可同时具有优势地确保,该电力网络连接性可以被维持,以及目标电压降不会被超过。
图3举例说明示范的电力网络堆栈通孔降低技术300,如图3所示,该技术包括以下步骤:
在步骤301中,IC设计、初始的电力网络、基于该IC设计与该初始电力网络的拥塞信息、以及目标电压降将会被确定。
在此,需要注意的是,堆栈通孔的拥塞信息参考与位于居中IC层的堆栈通孔所占据的资源产生关联的总拥塞。举例而言,请回头参考用来举例说明的图1,其中,堆栈通孔100的拥塞信息可以参考形成在通孔101以及102的接口处、以及在通孔102以及103的接口处的这些IC层,而需要注意的是,即使该堆栈通孔被移除了,线104以及105仍然会留下,因此,关联于通孔101的顶部矩形以及通孔103的底部矩形的拥塞成本(congestion costs)仍可以被忽略。在一实施例中,该目标电压降,也就是说,在区分于该IC上的可接受电压降以及该IC上的不可接收电压降之间的临界电压降可以通过使用者提供。举例而言,该使用者可以执行电力网络分析,如在美国专利第7,346,869号,案名“用于集成电路的电力网络分析器(Power Network Analyzer for An Integrated Circuit)”中所叙述者,此也并入文中作为参考,这可由编译程序工具(compiler tool)而执行的电力网络分析可以输出该目标电压降,而在另一实施例中,该目标电压降则是可以被提供为系统输入,例如,利用该使用者的另一电力网络的该目标电压降。
拥塞可以通过在拥塞地图中为每一个网格(grid)寻找在特殊方位(也就是说,水平或垂直)中所占据的一些轨迹(tracks)(每一个轨迹都是适合于放置单一IC组件的预定区域)而加以确定(对熟习IC设计者而言此为已知),其中,在网格中所允许占据的轨迹的数量取决于技术节点(technology node)以及可获得的平版印刷技术,如果设计的这些组件在网格中所占据的轨迹的数量以及这些堆栈通孔超过一预定临界值时,则这个网格即可被视为具有拥塞的特征。举例而言,如果该网格的轨迹数量为8、且目前在这个网格中已设计的组件占用了11个轨迹时,则这个网格视为拥塞、且具有溢流3(也就是说,11-8=3),而对某个网格而言,若供给大于需求时,则最小溢流会为0,因此,较大的溢流会对应于具有较严重拥塞的网格。
需要注意的是,因为当个别的通孔未完美地堆栈时,堆栈通孔可以是直线形的,因此,在确定拥塞时可以考虑两个通孔的结合周围。举例而言,图4举例说明了具有网格图案401的示范拥塞地图400,如图4所示,形成堆栈通孔的两个通孔402以及403具有结合直线形的、而非矩形的周围404,且具有优势地,此直线形周围404可以被用来为周围404所部分重叠的每一个网格提供拥塞的精准确定,需要注意地是,为了简化,在图4中并未显示其它堆栈通孔以及IC设计的组件。
请回头参阅图3,步骤302可以识别出连接性必需(connectivity-necessary)堆栈通孔,未拥塞的堆栈通孔,以及可用的堆栈通孔。
其中,连接性必需堆栈通孔是表示执行该IC设计时所必要的任何堆栈通孔。在一实施例中,识别该连接性必需堆栈通孔可以包括,将该电力网络作为图形模型,其中,在该图形中的边缘可以代表线段、通孔、或堆栈通孔,在该图形中的节点代表在两个或多个边缘之间的交叉点,在该图形中的源极节点(source node)代表提供电力的电力垫(pad)或接脚,在该图形中的超级源极节点(super source node)是连接所有源极节点的节点,以及在该图形中的流入节点(sink node)代表电流流入(current sink),例如,消耗电力的标准单元(standard cell)、或大区块(macro block)的电力接脚,并且,每一个线段、通孔、或堆栈通孔的拥塞成本的确定,可以是通过以拥塞地图(包括该IC设计的电力网络以及零件二者)作为基础而分析关联于其本身的总拥塞,接着,每一个边缘则是可以根据相对应的线、通孔、或堆栈通孔而关联于拥塞权重(congestion weight)。
在该图形中可以识别出最小边缘组合,因而使得自该超级节点至每一个流入节点都可以有至少一路径,特别地是,同时间,该最小边缘组合的这些总拥塞权重也可以被最小化,在此,此边缘组合被视为必要的边缘(essential edge),而相对应于在该结果最小边缘组合中的任一边缘的堆栈通孔则是可以被识别为该连接性必需堆栈通孔。
在一实施例中,为了识别必要的边缘,可以自该图形中撷取出最小生成树(MST,Minimum Spanning Tree),以连接该图形中的所有节点,接着,仅由MST边缘所组成的这些路径会自每一个流入节点追踪回该超级源极节点,而在这些路径中的这些边缘则可以被识别为必要的边缘。在另一实施例中,为了识别必要的边缘,可以寻找自该超级源极节点至每一个流入节点的最短路径,其中,每一个边缘的距离即为该拥塞权重。在再一实施例中,为了识别必要的边缘,则是可以探索出自该超级源极节点至每一个流入节点、以多流入图形作为基础的(multiple-sink graph-based)最小斯坦纳树(Minimum Steiner Tree)路线选择。
通常,未拥塞堆栈通孔是表示在未拥塞区域中的任何堆栈通孔。假设有一个堆栈通孔以及该以网格作为基础的拥塞地图,在此堆栈通孔的居中层处的每一个形状(矩形、或直线形)都可以被分隔为与其部分重叠的这些网格,而对每一个网格而言,在此网格中被部分该堆栈通孔(一次形状(sub-shape))所占据的轨迹的数量,则是可以在考虑到此层的方向(其中,对可以放置所设计的组件的这些轨迹而言,每一层具有预定的方位)的情形下进行计算,另外,如果这个网格具有特定溢流时,则该次形状的该拥塞成本就可以被计算为,该次形状所使用的轨迹数量以及该溢流二者中较少者,再者,该堆栈通孔的该拥塞成本包括该堆栈通孔的这些次形状的这些成本的总和,其中,如果该总和少于一预定临界值时,则这个堆栈通孔就可被视为具有未拥塞堆栈通孔的特征,在一实施例中,该预定临界值可以为0。
不是连接性必需堆栈通孔、或未拥塞堆栈通孔(需要注意的是,连接性必需堆栈通孔与未拥塞堆栈通孔的组合可以部分重叠、或可以不部分重叠)的任何堆栈通孔都可以被识别为可用堆栈通孔。步骤303可以虚拟地自该电力网络中移除这些可用堆栈通孔。
举例而言,图5A举例说明了示范电力网络500,其中包括可以连接金属线502(在第一金属层中)以及金属线503(在第二金属层中)的堆栈通孔501,另外,图5B举例说明了在这些可用堆栈通孔511(以虚线表示周围)被虚拟移除之后的电力网络500,因此留下了连接性必需的与未拥塞的堆栈通孔510(以实粗线表示周围)。
在一实施例中,可以计算出所有堆栈通孔的总拥塞权重以及这些可用堆栈通孔的拥塞权重的总和,且具有优势地是,此总和与该总拥塞权重之间的比较可以指出该拥塞是否是起因于电力网络堆栈通孔,以及用于拥塞降低的堆栈通孔移除是否值得,也就是说,在不考虑维持该电力网络连接性时对电力网络电压降的冲击的情形下,可以达到最佳的结果。
举例而言,在具有电力网络的IC设计中,若该总拥塞(以溢流测量者)是1000,起因于电力网络堆栈通孔的该总拥塞是600、或60%,以及起因于所有可用堆栈通孔的该总壅会是500、或50%,则换言之,既然降低50%拥塞的保守上限可以通过施加此降低技术而加以达成,这就表示执行电力网络堆栈通孔移除是值得的。
在另一实施例中,在具有电力网络的IC设计中,若该总拥塞(以溢流测量者)是1000,起因于电力网络堆栈通孔的该总拥塞是50、或5%,以及起因于所有可用堆栈通孔的该总拥塞是10、或1%,则换言之,既然拥塞不是起因于电力堆栈通孔,且可通过施加此降低技术而达成的拥塞降低的该保守上限仅1%,这就表示执行电力网络堆栈通孔移除是不值得的。
在此时,步骤304可以确定该电力网络的该目标电压降是否已经超过。举例而言,虽然为了连接性而将堆栈通孔的数量降低至最小必须量可以具有优势地在拥塞区域中最小化拥塞,但此数量却不足以确保有符合需求的电力分布跨越该电力网络。
当该目标电压降被超过时,在步骤305中,会更新对该IC上的至少最大电压降的严重程度的测量。具有最大电压降的节点可被指定为最糟热点,在一实施例中,于该电力网络中具有大于该目标电压降的电压降的这些节点可以被指定为电压降热点,且它们的电压降的严重程度会被更新。
每一个已移除的可用堆栈通孔相对于这些电压降热点的电压敏感度也可以在步骤305中进行分析。在一实施例中,如果特定可用堆栈通孔会虚拟地回复至该电力网络,则该电压敏感度就可以是,测量这个可用堆栈通孔对于已识别的电压降热点的冲击,并且,为了最有效地在该电力网络中最小化电压降,具有较高电压敏感度的可用堆栈通孔,会虚拟地比具有较低电压敏感度的可用堆栈通孔早进行回复,在此,需要注意的是,该电压降热点组可以包括一个或多个电压降位置(其可以基于该目标电压降而加以改变)。在一实施例中,该电压敏感度分析可以与对至少一电压降点(voltage drop spot),例如,最糟的电压降点,的比较一起执行,且利用已知的合并毗邻网络分析方法(merged adjoint network analysis method),在仅有一个电力网络电压降仿真的情形下,也可有效地分析每一个堆栈通孔相对于已确定的电压降热点组的该电压降敏感度。
在此更新之后,确定对这些电压降热点的严重程度具有至少一预定改进的可用堆栈通孔组(文中所谓的电压降改进堆栈通孔)会虚拟地在步骤306中被回复至该电力网络中。在参考图6的更进一步详细叙述的实施例中,包括拥塞成本以及电压敏感度的演算式会在步骤306中被用来确定该额外的堆栈通孔组,正如先前所提及的,该拥塞成本相关于网格中的占据轨迹的溢流,例如,溢流越大,该拥塞成本越高。
该新的电压降改进堆栈通孔组、连接性必需堆栈通孔、与未拥塞堆栈通孔,以及其它的电力网络组件,例如,形成当前电力网络的线段,会在步骤304中被用来重新计算于该IC上的最大电压降,以及确定这个最大电压降是否超过该目标电压降。若有需要的话,步骤304-306可以被重复,直到不超过该目标电压降为止,也就是说,不再侦测到电压降热点。图5C举例说明了电压降改进堆栈通孔512被增加至连接性必需的与未拥塞的堆栈通孔511之后的电力网络500,在此,需要注意的是,因为这些电压降改进堆栈通孔改进了该IC的电压降,因此,这些电压降热点的严重程度就会在步骤306中获得降低,并接着在步骤305中被更新,特别地是,在这些步骤中不会产生新的电压降热点,更确切地是,当重复步骤304、305、以及306时,这些电压降热点在该IC上的位置会被消除。
当在步骤304中的确定显示没有超过该目标电压降(也就是说,没有出现电压降热点)时,则接着步骤307会判断该拥塞的降低。在一实施例中,该拥塞降低可以被判断为所有已降低堆栈通孔的拥塞成本的总和,此判断以既存的路线选择以及保守的趋势作为基础,因为在一个网格中所释放的轨迹有可能会被用于邻接网格依据新的路线选择时的绕道需求。之后,步骤308会实体地移除除了这些连接性必需堆栈通孔、这些未拥塞堆栈通孔、以及这些电压降改进堆栈通孔以外的堆栈通孔。
此时,在步骤309中,可以接着执行整体路线选择,以进行IC拥塞确认,在此,需要注意的是,整体路线选择的基础为,特殊的IC设计以及可得的资源。而随着各式的可用堆栈通孔被移除,有更多的可得资源会被产生,因此就可以再次地执行整体路线选择,以确认拥塞,然后,在步骤310中,可以执行电力网络分析,以确认该电压降以及该新的最佳化电力网络的连接性,之后,在步骤311中,可以输出具有已降低的堆栈通孔的新的最佳化电力网络。
再者,为了确定该电压敏感度,会产生电压降地图(voltage drop map)。图6举例说明了具有多个节点601(为了简化,仅标示601A、601B、601C)的IC的示范电压降地图600。在一实施例中,每一个边缘610(为了简化,仅标示610A、610B、610C)对应于该电力网络的堆栈通孔,需要注意的是,边缘610通常代表电力网络组件,例如,线段、通孔、以及堆栈通孔,因此,每一个边缘610皆可以被视为具有电阻的特征,相反地,每一个节点601则是被用来代表两个或多个边缘之间的交叉点、或连接点。在此电压降地图600中,电力源(在此例子中,1.5V)会被导入一个或多个节点601(为了简化,在图6中仅显示一个),而电压降地图的每一个节点601则都会具有关联电压,其取决于此设计中的这些组件602以及其配置与电力消耗,以及取决于关联于自该电力源至这个特殊节点的路径的等效电阻,其中,组件602被视为在该电力网络中的电流流入(current sink)。
遗憾地是,消除一个堆栈通孔会影响到在电力网络中其它节点的电压,举例而言,消除关联于边缘610A的堆栈通孔可能会影响到任何节点的电压,例如,节点601A,所以,依据电力网络堆栈通孔降低技术的一个特性,每一个边缘610都会被评估其对于这些电压降热点的冲击,举例而言,假设节点601C是电压1.2V(目标电压降1.35V)下唯一被识别的电压降热点,则如果关联于边缘610A的堆栈通孔被回复至该电力网格时,边缘610A就会接着被评估其对于该节点610C的电压的冲击。在一实施例中,于电压降热点处改进的电压越多,则该堆栈通孔的电压敏感度(以及其相对应节点)就会越常被评估,而正如前述,这些堆栈通孔的电压敏感度可以被用来确定哪些堆栈通孔会在步骤306(图3)中被回复至该电力网络中。
在一实施例中,包括电压敏感度除以拥塞成本的演算式(也就是说,敏感度/拥塞成本)可以被用来确定可用堆栈通孔511中的哪一个(图5B)应该被回复至该电力网络,而正如先前所指明,该拥塞成本的确定可以有许多方式,例如,溢流,在此,需要注意的是,可用堆栈通孔仅会位在该IC的拥塞区域之内,因此,该拥塞成本必须为正(并且要避免分母为“0”)。
在一实施例中,所有已经被移除的可用堆栈通孔能够以该电压敏感度/拥塞成本比值作为基础而进行分类,例如,从高至低,并且,相较于具有较低比值的可用堆栈通孔,乃会优先选择具有较高比值的可用堆栈通孔,而通过此比值以及选择程序,一般来说,具有较少拥塞的可用堆栈通孔将可以在具有较多拥塞的可用堆栈通孔之前被选择回复至该电力网络,类似地,一般来说,具有较佳电压敏感度的可用堆栈通孔将可以在具有较低电压敏感度的可用堆栈通孔之前被选择回复至该电力网络,因此,上述的电压敏感度/拥塞成本比值、分类这些比值、以及基于此分类而选择电压降改进堆栈通孔,有助于最小化拥塞,并且最大化对于IC上最糟电压位置的改进。
在一实施例中,为了更进一步地最佳化该选择程序,可以根据要被增加至该电力网络上的电压降改进堆栈通孔的所需重复数量,而形成已分类堆栈通孔的群组,举例而言,假设有100个可用堆栈通孔基于该电压敏感度/拥塞成本比值而进行分类,且需要最多5个重复,则就可以形成5个已分类堆栈通孔群组。在一实施例中,落入此五个群组的每一个中的堆栈通孔的结合溢流大致上相等,而在此状况下,其有可能在每一个群组中的堆栈通孔的数量会有所变化,举例而言,其可以是,在第一个群组(具有最高比值)中有40个堆栈通孔,在第二个群组中(具有次高比值)有20个堆栈通孔,在第三个群组中有15个堆栈通孔,在第四个群组中有20个堆栈通孔,以及在第五个群组中有5个堆栈通孔。在此方法中,对于电压降的冲击会被最大化,而同时间对于拥塞的冲击则是会被最小化,因此加速了收敛(convergence)。
图7显示包括电力网络堆栈通孔降低技术的示范数字ASIC设计流程的简化代表图。在高阶层次中,程序开始于产品构想(步骤700),并在EDA软件设计程序中实现(步骤710),接着,当设计完成后,其就可以进行下线(tape out)(事件740),而在下线完成后,即开始制造程序(步骤750),以及封装与组装程序(步骤760),最终完成芯片成品(结果770)。
该EDA软件设计程序(步骤710)实际上是由数个步骤712-730所构成,为了简化,此以线性的方式显示。在实际ASIC设计程序中,特殊的设计可能需要不断地重复同样的步骤,直到某些测试通过为止,而类似地,在任何实际设计程序中,这些步骤也有可能为不同的顺序及组合,因此,此叙述以前后关联且一般的方式进行解释,而非特殊ASIC的特殊或特别提出的设计流程。
接下来即为EDA软件设计程序(步骤710)的构成步骤的简短叙述:
系统设计(步骤712):设计者叙述其所需要执行的功能,并且可以通过执行假设规划(what-if planning)而精进功能、检查成本等,在此阶段,硬件软件架构(hardware-software architecture)可以分开。作为举例,可以用于此步骤的来自Synopsys,Inc.的EDA软件产品就包括Model Architect,Saber,System Studio,以及Design等产品。
逻辑设计与功能验证(步骤714):在此阶段,会撰写系统中模型的VHDL或Verilog程序代码(Verilog code),并且,会检查此设计的功能正确性,更特别地是,所检查的设计是否确定会产生正确的输出。作为举例,可以用于此步骤的来自Synopsys,Inc.的EDA软件产品就包括VCS,VERA,DesignMagellan,Formality,ESP,以及LEDA等产品。
测试的合成与设计(步骤716):在此,该VHDL/Verilog会被转译为净列表(netlist),且该净列表可依目标技术而进行最佳化,此外,也会进行用来检查最终芯片的测试设计与实行。作为举例,可以用于此步骤的来自Synopsys,Inc.的EDA软件产品就包括DesignPowerCompiler,Tetramax,以及Design等产品。
净列表检验(步骤718):在此步骤,会检查该净列表的时序约束(timingconstraint)的符合度,以及与VHDL/Verilog来源码的对应性。作为举例,可以用于此步骤的来自Synopsys,Inc.的EDA软件产品就包括Formality,PrimeTime,以及VCS等产品。
设计规划(步骤720):在此,会建立芯片的整体平面图,并分析时序与顶层路线(top-level routing)。作为举例,可以用于此步骤的来自Synopsys,Inc.的EDA软件产品就包括Astro,以及IC Compiler等产品。在一实施例中,以图3作为参考所叙述的该电力网络堆栈通孔降低技术300可以在设计规划期间,在IC编译程序工具中实行。
实体实行(步骤722):配置(电路组件的定位)以及路线分配(电路组件的连接)都会在此步骤中执行。作为举例,可以用于此步骤的来自Synopsys,Inc.的EDA软件产品就包括Astro,以及IC Compiler等产品。在一实施例中,该电力网络堆栈通孔降低技术300也可以在实际执行期间,在IC编译程序工具中实行。
分析与撷取(步骤724):在此步骤,电路功能会在晶体管层次进行验证,而此则是依序地实现了假设精进(what-ifrefinement)。作为举例,可以用于此步骤的来自Synopsys,Inc.的EDA软件产品就包括AstroRail,PrimeRail,PrimeTime,以及Star RC/XT等产品。
实体验证(步骤726):在此步骤,会执行各种的检查功能,以确保:制造、电性任务、平版印刷任务、以及电路系统的正确性。作为举例,可以用于此步骤的来自Synopsys,Inc.的EDA软件产品包括Hercules这项产品。
分辨率强化(步骤728):此步骤牵涉到布局的几何操作,以改进该设计的可制造性。作为举例,可以用于此步骤的来自Synopsys,Inc.的EDA软件产品就包括Proteus,ProteusAF,以及PSMGen等产品。
屏蔽资料准备(步骤730):此步骤提供用于生产最终芯片时,产生平版印刷所使用的屏蔽(mask)的下线(tape-out)资料。作为举例,可以用于此步骤的来自Synopsys,Inc.的EDA软件产品包括CATS(R)家族的产品。
较佳地是,本实用新型可以在一个或多个计算机程序中实行,而此程序则是在包括至少一可程序化处理器的可程序化系统上执行,以自至少一输入装置接收数据以及指示,以及将数据以及指示传输到至少一输出装置,其中,每一个计算机程序都可以实施为高阶程序(high-levelprocedural)、或对象导向(object-oriented)程序设计语言,或者,有需要的话,实施为组合(assembly)、或机械(machine)语言,并且,在任何状况下,该语言都可以是编译(compiled)、或解释(interpreted)语言。另外,适合的处理器包括,举例而言,一般与特殊用途的微处理器,以及其它型态的微处理器,一般而言,处理器将会接收来自只读存储器、及/或随机存取内存的指令,并且,通常,计算机会包括一个或多个大量储存装置,以储存数据文件,其中,如此的装置包括磁盘,例如,内部硬盘以及卸除式磁盘,磁光(magneto-optical)磁盘,以及光盘(optical disks),适合于明确地具体化计算机程序指令与数据的储存装置包括,所有形式的非挥发性内存,包括,举例而言,半导体内存装置(例如,EPROM,EEPROM,以及闪存装置),磁盘(例如,内部硬盘以及卸除式磁盘),磁光磁盘,以及CDROM磁盘。前述任者都可作为补充而用于集成集成电路(ASICs)中、或并入其中。
相应于上述降低堆栈通孔进而降低IC拥塞的方法,本实用新型的一种降低堆栈通孔的系统,能够在集成电路IC的电力网络中自动降低堆栈通孔,该系统包括下列装置:
第一确定装置,其设置成确定IC设计、所述IC设计的电力网络、所述电力网络的目标电压降、以及基于所述IC设计与所述电力网络的拥塞信息;
识别装置,其设置成以所述拥塞信息为基础而识别所述电力网络中的任何连接性必需堆栈通孔以及未拥塞堆栈通孔,其中,任何所述连接性必需堆栈通孔为电力连接所必要的堆栈通孔,以及任何所述未拥塞堆栈通孔为位于所述IC的未拥塞区域中的堆栈通孔;
第一计算装置,其设置成计算所有堆栈通孔的总拥塞权重;
第二计算装置,其设置成计算可用堆栈通孔拥塞权重的总和,其中可用堆栈通孔是指除连接性必需堆栈通孔以及未拥塞堆栈通孔以外的其它堆栈通孔;
比较装置,其设置成比较所述总和以及所述总拥塞权重;
第二确定装置,其设置成确定在所述集成电路上的拥塞是否是起因于堆栈通孔,以及堆栈通孔移除是否值得;
第一移除装置,其设置成当所述第二确定装置确定所述堆栈通孔移除是值得的后,虚拟地移除所述电力网络的任何可用堆栈通孔;
第三确定装置,其设置成确定所述电力网络中的所述目标电压降是否被超过;
更新装置,其设置成当所述第三装置确定所述目标电压降被超过时,更新对于所述IC上的至少一最大电压降的严重程度的测量;
回复装置,其设置成在所述更新装置已更新所述测量之后,虚拟地将一组电压降改进堆栈通孔回复至所述电力网络,其中,一组所述电压降改进堆栈通孔是所述可用堆栈通孔的子组合;
重复装置,其设置成使所述第三确定装置、所述更新装置及所述回复装置重复工作,直到所述目标电压降不被超过为止;
第二移除装置,其设置成实体地移除所述电力网络中除了连接性必需堆栈通孔、未拥塞堆栈通孔、以及电压降改进堆栈通孔以外的任何堆栈通孔;以及
输出装置,其设置成输出具有已降低堆栈通孔的所述电力网络。
上述系统还可以包括:路线选择装置,其设置成在所述第二移除装置实体移除所述任何堆栈通孔后,执行用于拥塞验证的整体路线选择。
上述系统还可以包括:分析装置,其设置成在所述第二移除装置实体移除所述任何堆栈通孔后,执行用于电压降以及连接性验证的电力网络分析。
其中,所述回复装置还包括:分类装置,其设置成以电压敏感度以及拥塞成本作为基础而对所述可用堆栈通孔进行分类。
其中,所述分类装置包括:第四确定装置,其设置成确定所述电压敏感度除以所述拥塞成本的比值。
其中,每一个可用堆栈通孔的所述电压敏感度通过将这个可用堆栈通孔回复至所述电力网络时,分析对于所述电力网络的至少一电压降热点的冲击而加以确定。
其中,每一个可用堆栈通孔的所述拥塞成本通过分析关联于这个可用堆栈通孔的溢流而加以确定。
上述系统还可以包括:分隔装置,其设置成将已分类的可用堆栈通孔分群;及相加装置,其设置成在所述第三确定装置再次确定所述目标电压是否被超过前,仅将一个群组加回。
其中,所述群组是具有最高比值的剩余群组。
其中,每一个群组皆具有大致上相同的结合拥塞成本。
上述系统还可以包括:第五确定装置,其设置成确定所述IC设计的拥塞问题是否起因于堆栈通孔。
虽然本实用新型用来举例说明的实施例已经以所附图式做为参考而进行详细的叙述,但需要了解的是,本实用新型并不受限于该些特定的实施例,并且,这些实施例的目的并不在于对本实用新型进行详尽的叙述、或限制本实用新型为所揭示的特定形式,此外,就其本身而言,许多修饰以及变化都将是显而易见。
举例而言,虽然所讨论的是在网格图案中的电力网络,其它的IC设计实施例却可能在如此的网格图案中包括单端浮动电线(one-terminalfloating wires),而这些被包刮的单端浮动电线则可被用来连接位置尚未确定的大区块(macro),在此情况下,连接至这个大区块的堆栈通孔就可被指定为连接性必需堆栈通孔。在一实施例中,在实体移除这些指定的堆栈通孔(步骤308,图3)之前,使用者会预先检视该IC的电磁(EM)地图,此时,使用者就可以确定更进一步地手动加入堆栈通孔,以确保EM符合度。
在一实施例中,可以更进一步地自该电力网络中撷取中线电容与垫电感,以及线(或通孔)电阻,以在执行堆栈通孔降低时,进行更准确的时间变化(time-varying)电力网络电压降分析。在另一实施例中,堆栈通孔可能不会被移除,而是被较小的堆栈通孔(例如,具有小量切痕的通孔)所取代,因为其具有较大的阻抗,且占据较少量的资源。在又一实施例中,其它的电力网络组件,例如,金属线,可以更进一步地重新规划尺寸、或被移除,以在维持电力网络连接性且最小化电压降的同时,也降低拥塞。
以上所述仅为本实用新型的较佳实施例而已,并非用于限制本实用新型。本领域技术人员根据本实用新型所作的任何修饰和变更,均不脱离本实用新型所附带的权利要求的保护范围。据此,本实用新型的范围通过接下来的权利要求以及与其等义的内容而加以定义。
Claims (11)
1.一种降低堆栈通孔的系统,该系统能够在集成电路IC的电力网络中自动降低堆栈通孔,该系统包括下列装置:
第一确定装置,其设置成确定IC设计、所述IC设计的电力网络、所述电力网络的目标电压降、以及基于所述IC设计与所述电力网络的拥塞信息;
识别装置,其设置成以所述拥塞信息为基础而识别所述电力网络中的任何连接性必需堆栈通孔以及未拥塞堆栈通孔,其中,任何所述连接性必需堆栈通孔为电力连接所必要的堆栈通孔,以及任何所述未拥塞堆栈通孔为位于所述IC的未拥塞区域中的堆栈通孔;
第一计算装置,其设置成计算所有堆栈通孔的总拥塞权重;
第二计算装置,其设置成计算可用堆栈通孔拥塞权重的总和,其中可用堆栈通孔是指除连接性必需堆栈通孔以及未拥塞堆栈通孔以外的其它堆栈通孔;
比较装置,其设置成比较所述总和以及所述总拥塞权重;
第二确定装置,其设置成确定在所述集成电路上的拥塞是否是起因于堆栈通孔,以及堆栈通孔移除是否值得;
第一移除装置,其设置成当所述第二确定装置确定所述堆栈通孔移除是值得的后,虚拟地移除所述电力网络的任何可用堆栈通孔;
第三确定装置,其设置成确定所述电力网络中的所述目标电压降是否被超过;
更新装置,其设置成当所述第三装置确定所述目标电压降被超过时,更新对于所述IC上的至少一最大电压降的严重程度的测量;
回复装置,其设置成在所述更新装置已更新所述测量之后,虚拟地将一组电压降改进堆栈通孔回复至所述电力网络,其中,一组所述电压降改进堆栈通孔是所述可用堆栈通孔的子组合;
重复装置,其设置成使所述第三确定装置、所述更新装置及所述回复装置重复工作,直到所述目标电压降不被超过为止;
第二移除装置,其设置成实体地移除所述电力网络中除了连接性必需堆栈通孔、未拥塞堆栈通孔、以及电压降改进堆栈通孔以外的任何堆栈通孔;以及
输出装置,其设置成输出具有已降低堆栈通孔的所述电力网络。
2.根据权利要求1所述的系统,还包括:
路线选择装置,其设置成在所述第二移除装置实体移除所述任何堆栈通孔后,执行用于拥塞验证的整体路线选择。
3.根据权利要求1所述的系统,还包括:
分析装置,其设置成在所述第二移除装置实体移除所述任何堆栈通孔后,执行用于电压降以及连接性验证的电力网络分析。
4.根据权利要求1所述的系统,其中,所述回复装置还包括:
分类装置,其设置成以电压敏感度以及拥塞成本作为基础而对所述可用堆栈通孔进行分类。
5.根据权利要求4所述的系统,其中,所述分类装置包括:
第四确定装置,其设置成确定所述电压敏感度除以所述拥塞成本的比值。
6.根据权利要求5所述的系统,其中,每一个可用堆栈通孔的所述电压敏感度通过将这个可用堆栈通孔回复至所述电力网络时,分析对于所述电力网络的至少一电压降热点的冲击而加以确定。
7.根据权利要求6所述的系统,其中,每一个可用堆栈通孔的所述拥塞成本通过分析关联于这个可用堆栈通孔的溢流而加以确定。
8.根据权利要求7所述的系统,还包括:
分隔装置,其设置成将已分类的可用堆栈通孔分群;及
相加装置,其设置成在所述第三确定装置再次确定所述目标电压是否被超过前,仅将一个群组加回。
9.根据权利要求8所述的系统,其中,所述群组是具有最高比值的剩余群组。
10.根据权利要求9所述的系统,其中,每一个群组皆具有大致上相同的结合拥塞成本。
11.根据权利要求1所述的系统,还包括:
第五确定装置,其设置成确定所述IC设计的拥塞问题是否起因于堆栈通孔。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US12/359,091 US7984397B2 (en) | 2009-01-23 | 2009-01-23 | Power network stacked via removal for congestion reduction |
US12/359,091 | 2009-01-23 |
Publications (1)
Publication Number | Publication Date |
---|---|
CN201741151U true CN201741151U (zh) | 2011-02-09 |
Family
ID=42354475
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN2009800002625A Active CN101971179B (zh) | 2009-01-23 | 2009-09-01 | 通过移除电力网络堆栈通孔降低拥塞 |
CN200920179559XU Expired - Lifetime CN201741151U (zh) | 2009-01-23 | 2009-10-10 | 一种降低堆栈通孔的系统 |
Family Applications Before (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN2009800002625A Active CN101971179B (zh) | 2009-01-23 | 2009-09-01 | 通过移除电力网络堆栈通孔降低拥塞 |
Country Status (7)
Country | Link |
---|---|
US (1) | US7984397B2 (zh) |
EP (1) | EP2382567A4 (zh) |
JP (1) | JP5044703B2 (zh) |
KR (1) | KR101602505B1 (zh) |
CN (2) | CN101971179B (zh) |
TW (1) | TWI472938B (zh) |
WO (1) | WO2010085284A1 (zh) |
Families Citing this family (13)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN102034823B (zh) * | 2009-09-30 | 2013-01-02 | 意法半导体研发(深圳)有限公司 | 用于spu和stog良好性能的功率晶体管的布局和焊盘布图规划 |
US8732629B2 (en) | 2009-10-30 | 2014-05-20 | Synopsys, Inc. | Method and system for lithography hotspot correction of a post-route layout |
US8239807B2 (en) * | 2010-06-01 | 2012-08-07 | Freescale Semiconductor, Inc | Method of making routable layout pattern using congestion table |
JP5471872B2 (ja) * | 2010-06-18 | 2014-04-16 | 富士通株式会社 | 電源回路解析装置,電源回路解析プログラムおよび電源回路解析方法 |
US8656329B1 (en) * | 2010-12-27 | 2014-02-18 | Cadence Design Systems, Inc. | System and method for implementing power integrity topology adapted for parametrically integrated environment |
US8701066B1 (en) * | 2012-06-28 | 2014-04-15 | Cadence Design Systens, Inc. | Extracting capacitance and resistance from FinFET devices |
KR20150000951A (ko) | 2013-06-25 | 2015-01-06 | 삼성전자주식회사 | 전원 공급 네트워크 설계 방법 |
US10521097B1 (en) | 2017-09-29 | 2019-12-31 | Cadence Design Systems, Inc. | User interface to implement topology integrity throughout routing implementations |
US10817641B1 (en) | 2017-09-29 | 2020-10-27 | Cadence Design Systems, Inc. | Method and system to implement topology integrity throughout routing implementations |
US10551431B1 (en) * | 2017-12-22 | 2020-02-04 | Cadence Design Systems, Inc. | EM-compliance topology in a tree router |
US10489549B1 (en) | 2017-12-22 | 2019-11-26 | Cadence Design Systems, Inc. | Tree-routing for specific areas of an electronic design |
US10747935B2 (en) * | 2019-01-04 | 2020-08-18 | International Business Machines Corporation | Identification of hotspots in congestion analysis during physical design of integrated circuit |
US10885257B1 (en) * | 2019-04-15 | 2021-01-05 | Cadence Design Systems, Inc. | Routing congestion based on via spacing and pin density |
Family Cites Families (9)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7016794B2 (en) | 1999-03-16 | 2006-03-21 | Lsi Logic Corporation | Floor plan development electromigration and voltage drop analysis tool |
JP2004139181A (ja) | 2002-10-15 | 2004-05-13 | Renesas Technology Corp | レイアウト装置及びプログラム |
JP4287294B2 (ja) * | 2004-01-21 | 2009-07-01 | 株式会社東芝 | 自動設計方法、自動設計装置、及び半導体集積回路 |
US7099204B1 (en) * | 2005-03-23 | 2006-08-29 | Spansion Llc | Current sensing circuit with a current-compensated drain voltage regulation |
US7290226B2 (en) * | 2005-04-04 | 2007-10-30 | International Business Machines Corporation | Via redundancy based on subnet timing information, target via distant along path from source and/or target via net/subnet characteristic |
US7398489B2 (en) * | 2005-04-06 | 2008-07-08 | Lsi Corporation | Advanced standard cell power connection |
JP4986114B2 (ja) * | 2006-04-17 | 2012-07-25 | ルネサスエレクトロニクス株式会社 | 半導体集積回路及び半導体集積回路の設計方法 |
US20080127020A1 (en) | 2006-10-25 | 2008-05-29 | Dan Rittman | System and method for automatic elimination of voltage drop, also known as IR drop, violations of a mask layout block, maintaining the process design rules correctness |
US8324019B2 (en) * | 2008-05-23 | 2012-12-04 | Lsi Corporation | Solution for package crosstalk minimization |
-
2009
- 2009-01-23 US US12/359,091 patent/US7984397B2/en not_active Expired - Fee Related
- 2009-09-01 EP EP09736556.3A patent/EP2382567A4/en not_active Withdrawn
- 2009-09-01 CN CN2009800002625A patent/CN101971179B/zh active Active
- 2009-09-01 KR KR1020097022584A patent/KR101602505B1/ko active IP Right Grant
- 2009-09-01 WO PCT/US2009/055627 patent/WO2010085284A1/en active Application Filing
- 2009-09-01 JP JP2010547881A patent/JP5044703B2/ja active Active
- 2009-09-10 TW TW98130528A patent/TWI472938B/zh active
- 2009-10-10 CN CN200920179559XU patent/CN201741151U/zh not_active Expired - Lifetime
Also Published As
Publication number | Publication date |
---|---|
KR20110107413A (ko) | 2011-10-04 |
CN101971179A (zh) | 2011-02-09 |
JP2011511390A (ja) | 2011-04-07 |
TWI472938B (zh) | 2015-02-11 |
CN101971179B (zh) | 2013-07-03 |
KR101602505B1 (ko) | 2016-03-11 |
TW201028876A (en) | 2010-08-01 |
EP2382567A4 (en) | 2014-06-04 |
JP5044703B2 (ja) | 2012-10-10 |
US20100190277A1 (en) | 2010-07-29 |
US7984397B2 (en) | 2011-07-19 |
WO2010085284A1 (en) | 2010-07-29 |
EP2382567A1 (en) | 2011-11-02 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN201741151U (zh) | 一种降低堆栈通孔的系统 | |
US7793241B2 (en) | Power network analyzer for an integrated circuit design | |
US7484194B2 (en) | Automation method and system for assessing timing based on Gaussian slack | |
US7617467B2 (en) | Electrostatic discharge device verification in an integrated circuit | |
US7552409B2 (en) | Engineering change order process optimization | |
US8423940B2 (en) | Early noise detection and noise aware routing in circuit design | |
CN102317908B (zh) | 使用统计时序分析识别及改进鲁棒设计 | |
KR101776385B1 (ko) | 집적 회로 레이아웃 생성을 위한 방법, 소자 및 컴퓨터 프로그램 제품 | |
TW201001216A (en) | Interconnect-driven physical synthesis using persistent virtual routing | |
JP4368641B2 (ja) | 電源パッドの数及び位置見積もり方法、チップサイズ見積もり方法及び設計装置 | |
CN102741848A (zh) | 用所定义模式改善预布线和后布线网相关性 | |
Samal et al. | Tier partitioning strategy to mitigate BEOL degradation and cost issues in monolithic 3D ICs | |
Choi et al. | Probe3. 0: A systematic framework for design-technology pathfinding with improved design enablement | |
Dalal et al. | Design of an efficient power distribution network for the UltraSPARC-I microprocessor | |
Saeedi et al. | Prediction and reduction of routing congestion | |
Hyun et al. | Integrated approach of airgap insertion for circuit timing optimization | |
Li et al. | Constraining the Synopsys pin access checker utility for improved standard cells library verification flow | |
Chang et al. | Power distribution network generation for optimizing IR-drop aware timing | |
Huang et al. | Routability-driven Power/Ground Network Optimization Based on Machine Learning | |
Chiluvuri et al. | The effect of wire length minimization on yield | |
Sun | Physics-Based Electromigration Modeling and Analysis and Optimization | |
Xiong et al. | Pre-ATPG path selection for near optimal post-ATPG process space coverage | |
Jiang et al. | An optimal simultaneous diode/jumper insertion algorithm for antenna fixing | |
Subramaniyan et al. | Manufacturable nanometer designs using standard cells with regular layout | |
Khare et al. | Defect-based testing for fabless companies |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C14 | Grant of patent or utility model | ||
GR01 | Patent grant | ||
CX01 | Expiry of patent term |
Granted publication date: 20110209 |
|
CX01 | Expiry of patent term |