CN1822905A - Method and apparatus to process substrates with megasonic energy - Google Patents

Method and apparatus to process substrates with megasonic energy Download PDF

Info

Publication number
CN1822905A
CN1822905A CN 200480020178 CN200480020178A CN1822905A CN 1822905 A CN1822905 A CN 1822905A CN 200480020178 CN200480020178 CN 200480020178 CN 200480020178 A CN200480020178 A CN 200480020178A CN 1822905 A CN1822905 A CN 1822905A
Authority
CN
China
Prior art keywords
substrate
acoustic wave
energy
million
fluid
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN 200480020178
Other languages
Chinese (zh)
Inventor
加里·L·蒙蒂耶思
亨利·R·米兰达
谢里尔·L·马拉维奥维
艾哈迈德·A·布思奈纳
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
P C T 系统公司
PCT Systems Inc
Original Assignee
PCT Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by PCT Systems Inc filed Critical PCT Systems Inc
Publication of CN1822905A publication Critical patent/CN1822905A/en
Pending legal-status Critical Current

Links

Images

Landscapes

  • Cleaning Or Drying Semiconductors (AREA)

Abstract

A variety of techniques may be employed, alone or in combination, to enhance contact between a processed substrate and applied megasonic energy. In accordance with one embodiment of the new invention, the vibration plate is brought into intimate contact with one surface of the substrate, while cleaning or processing fluid contacts the other. In accordance with an alternative embodiment of the present invention, a reflecting surface may be provided to cause emanated energy to be reflected back into the near field and make it more uniform. In accordance with another alternative embodiment of the present invention, energy may be transferred across a substrate bounded on both sides by liquid with incidence of megasonic energy that is either normal to the substrate surface or within a critical range of incident angles. In yet another embodiment, generated dilatational waves may be converted to surface waves prior to contacting the substrate.

Description

Method and apparatus with million frequency acoustic wave energy treatment substrates
The cross reference of related application
[1]This non-divisional patent application requires the priority from following divisional patent application, and each of these applications is included in here by reference for all purposes: be filed in 60/476,845 of on June 6th, 2003; Be filed in 60/476,527 of on June 6th, 2003; Be filed in 60/530,194 of on December 16th, 2003; Be filed in 60/510,054 of on October 8th, 2003; Be filed in 60/546,383 of on February 20th, 2004; Be filed in 60/517,255 of on November 3rd, 2003; Be filed in 60/528,941 of on December 10th, 2003; And be filed in 60/525,435 of on November 26th, 2003.
Background technology
[2]Million frequency acoustic wave energies are extensively received the application of substrate wet processed, particularly in semiconductor construction.Along with device/characteristic size is dwindled, and along with the substrate structure more sensitive for damages that becomes, million frequently the frequency of acoustic systems increase, and trend towards the megahertz scope.
[3]Have near and the application of acoustic wave energy that surpasses the frequency of a megahertz be often referred to as million sonicated frequently.These upper frequencies are attempted to be used for removing less contaminant particle and are formed with bubble/the local energy release (air pocket and possible microscopic cavities) of vanishing relevant with reducing, and this bubble that some have theorized forms/vanishes and can cause for the observed damaged substrate of low-frequency ultrasonic waves cleaning machine.
[4]In history, in batches-treatment system has been designed to be parallel to substrate surface and introduced million sound waves frequently.When seeking to handle faster, particularly for advancing single-wafer processing, million sound wave designs have frequently trended towards by means of the high energy system of introducing energy perpendicular to substrate surface.This has caused about million worries damaged of sound waves frequently to sensitive structure.
[5]Therefore wish to find the milder mode of using million frequency acoustic wave energies, this mode will be removed littler pollutant fast.
Summary of the invention
[6]Can adopt various technology alone or in combination, to strengthen contacting between treatment substrate and the million frequency acoustic wave energies that apply.According to a neoteric embodiment, oscillating plate is closely contacted with a surface of substrate, clean or handle fluid simultaneously and contact another surface.According to the embodiment that selects of the present invention, can the cremasteric reflex surface, so that in the energy reflected back near field of sending and make it more even.Can select embodiment according to of the present invention another, for substrate surface quadrature or million incidents of acoustic wave energies frequently in the critical range of incidence angle, energy can be passed in the substrate transmission of both sides by the liquid constraint.According to another embodiment of the present invention, the dilatational wave of generation can convert surface wave to before the contact substrate.According to another embodiment of the present invention, the dilatational wave of generation is after the contact substrate or can convert surface wave in substrate itself.
[7]A kind of according to the embodiment of the invention, be configured to comprise with million equipment of acoustic wave energy treatment substrates frequently: treatment region is configured to receive and handles fluid; Million frequency acoustic wave energy sources; And vibrating mass, with million frequently the acoustic wave energy sources with contact with at least a portion physics near the element of treatment region.The combination thickness of element and vibrating mass is the million quarter-wave pact of odd number+/-30% of acoustic wave energies frequently that applied by the source, so that pass element transmission million acoustic wave energies frequently.
[8]Embodiment according to a kind of method of treatment substrate of the present invention comprises: at least one substrate is put in the container handling; Carry out contacting between at least a portion of at least a portion of substrate and vibrating mass; And at least a processing fluid is incorporated in the container handling.At least a portion of substrate contacts with handling at least a of fluid, and applies million acoustic wave energies frequently, wherein introduce handle the fluid step before, during and after at least one of them, the step of million frequency acoustic wave energies appears applying.
[9]Comprise with the embodiment of a kind of equipment of million frequency acoustic wave energy treatment substrates according to the present invention: treatment region is configured to receive the processing fluid; Million frequency acoustic wave energy sources; And vibrating mass, contact with million frequency acoustic wave energy sources, and with respect to the critical angle scope interior orientation of the element of in treatment region, locating between about 18-58 °, so that pass element transmission million acoustic wave energies frequently.
[10]Being used for a kind of embodiment of method of treatment substrate according to the present invention comprises: at least one substrate is put in the container handling; At least a processing fluid is incorporated in the container handling, with at least a portion of contact substrate; And with respect to the surface of substrate between about 18-58 °, applying million acoustic wave energies frequently, thereby million frequently the major part of acoustic wave energies pass substrate and transmit.Apply million frequently before the step of acoustic wave energies, during and after, can occur introducing and handle the fluid step.
[11]According to the present invention be configured to million frequently the selected embodiment of a kind of equipment of acoustic wave energy treatment substrates comprise: treatment region is configured to receive and handles fluid; Mobile parts is configured to be controlled at the path of the processing fluid in the groove; Reach million frequency acoustic wave energy sources, be configured to apply million frequency acoustic wave energies, thereby the direction of million frequency acoustic wave energies is consistent with the path to groove.
[12]According to the present invention be used for million frequently the selected embodiment of a kind of method of acoustic wave energy treatment substrates comprise: make and handle fluid and in comprising the groove of substrate, flow; With apply million acoustic wave energies frequently for groove, thereby million the direction of acoustic wave energies is consistent with the path of processing fluid frequently.
[13]According to the present invention be configured to million frequently another selected embodiment of a kind of equipment of acoustic wave energy treatment substrates comprise: treatment region is configured to receive and handles fluid; Million frequency acoustic wave energy sources; And the wedge-like vibrating mass, have with million frequently the acoustic wave energy sources contact and be configured to first front from its received energy.The wedge-like vibrating mass has second front with respect to the first positive angled orientation, and is configured to the energy from million acoustic wave energy source receptions frequently is transmitted on the substrate that is positioned in the treatment region.
[14]Be used for a kind of the selected embodiment of method of treatment substrate according to the present invention and comprise step: substrate is placed in the treatment region; At least a processing fluid is incorporated into substrate; And at least a portion that makes the vibrating mass that comprises plate contacts at least a portion in first front of wedge shape vibrating mass.Million frequently acoustic wave energies be applied on the substrate from second front of wedge shape vibrating mass, wherein introduce handle the fluid step before, during and after at least one of them, the step of million frequency acoustic wave energies appears applying.
[15]According to the present invention be configured to million frequently another selected embodiment of a kind of equipment of acoustic wave energy treatment substrates comprise: treatment region is configured to receive and handles fluid; Vibrating mass, with million frequently acoustic wave energy source physics contact, and be configured on first direction supporting substrate the treatment region in the near field of million frequency acoustic wave energies of vibrating mass incident; And element, be configured to million frequency acoustic wave energies are directed to the near field from the second direction different with first direction.
[16]According to the present invention be configured to million frequently another embodiment of a kind of equipment of acoustic wave energy treatment substrates comprise: treatment region is configured to receive and handles fluid; With million acoustic wave energy sources frequently, be configured to have the user control with variable frequency, power, and pulse width at least one million frequently acoustic wave energies output on the substrate that in treatment region, exists.
[17]Being used for a kind of embodiment of method of treatment substrate according to the present invention comprises: change frequency, power, and at least one of pulse width of being applied to and handling the contacted on-chip ultrasonic energy of fluid from first energy source, thus the uniformity of the energy of at least one improvement in the near field region by mobile high energy node and low energy zero point; Make the difference between the amplitude of high and low-yield point minimum, and postpone the formation of high and low-yield point.
[18]According to the present invention be used for million frequently the embodiment of a kind of method of acoustic wave energy treatment substrates comprise: substrate is arranged to and handles fluid and contact; Million frequency acoustic wave energies are applied on the substrate, to set up point near the constructive and destruction interference of substrate surface; And change is constructive and the position of the point of destruction interference, so that strengthen the uniformity that substrate is exposed to acoustic wave energy.
[19]Be used for a kind of embodiment of method of treatment substrate according to the present invention and comprise step: substrate support in support; Be adjacent to positioning substrate with the part of processing unit; And before the substrate treatment step, during or afterwards at least one of them, cause relatively moving between substrate and processing unit.Substrate bring into contact with processing unit surface with closely separate at least one in.Solid, fluid, and mixture is a kind of between substrate and processing unit, and energy is transferred to the interface between substrate and processing unit, to revise the processing of substrate surface.
[20]Embodiment according to a kind of treatment facility of the present invention comprises: container is configured to comprise the electrochemistry fluid; Voltage source; And the support portion, be configured to location and the contacted substrate of electrochemistry fluid, the first terminal electrical communication of one of support portion and substrate and voltage source.Electrode and electrochemistry bath and with the second terminal electrical communication of voltage source, and the acoustic wave energy source is passed electrode, is passed substrate, and one of along the front of substrate with the substrate electrical communication.
[21]Be used for a kind of embodiment of method of treatment substrate according to the present invention and comprise step: the electrode with electrochemistry bath electrical communication is provided; Substrate is arranged in the electrochemistry bath; Pass the electrochemistry bath and between substrate and electrode, apply potential difference; And pass one of electrode and substrate acoustic wave energy is applied on the surface of substrate.
[22]Be used for comprising with the embodiment of a kind of liquid of acoustic wave energy treatment substrate: be dissolved in the gas in the liquid component, the solubility that this gas presents in liquid component is the same big with the solubility of this gas in deionized water under equal temperature and pressure condition at least.
[23]Be used for comprising with the selected embodiment of a kind of liquid of acoustic wave energy treatment substrate: be dissolved in the gas in the liquid component, the solubility that this gas presents in liquid component is the same big with the solubility of air in deionized water under equal temperature and pressure condition at least.
[24]Be used for comprising according to the present invention: gas is dissolved in the liquid component with the embodiment of a kind of method of acoustic wave energy treatment substrate, this composition presents Gas Solubility, and this solubility is the same big with the solubility of this gas in deionized water under equal temperature and pressure condition at least.Substrate is exposed to liquid component, and acoustic wave energy is applied on the substrate in liquid component.
[25]Embodiment according to a kind of treatment facility of the present invention comprises: container is configured to supporting substrate; The source is configured to the surface that comprises the jet alignment substrate of energy or material; And the vibrational energy source, be communicated with substrate.
[26]Being used for a kind of embodiment of method of treatment substrate according to the present invention comprises: substrate is arranged in the container handling; The surface of the jet alignment substrate that comprises energy or material; And before the applying of jet, during or afterwards at least one of them, acoustic wave energy is applied on the substrate.
[27]Be used for utilizing the embodiment of a kind of equipment of ultrasonic energy treatment substrate to comprise according to the present invention: groove, have wall, be configured to comprise the liquid bath; Energy source is communicated with liquid bath sound wave; And base sheet rack, being configured to be supported on the substrate in the liquid bath, at least one of the parts of base sheet rack and the feature of groove is between the part of energy source and substrate.Reflecting surface contacts with the liquid bath, and is configured to receive from the source with the ultrasonic energy greater than 26 ° angle incident, and ultrasonic energy is reflexed to substrate sections.
[28]Be used for utilizing the embodiment of a kind of method of ultrasonic energy treatment substrate to comprise according to the present invention: the liquid bath is provided in having the groove of wall; The substrate support in the liquid bath on support; And ultrasonic energy is directed to substrate, thereby at least one of the part of the part of groove and base sheet rack is between the part of energy source and substrate.Be reflected with the contact substrate sections to incide lip-deep ultrasonic energy greater than 26 ° angle.
[29]Being used for a kind of embodiment of method of dry substrate according to the present invention comprises: substrate is positioned in the treatment chamber; By being incorporated into the gas in the chamber and at least a pressurized treatments chamber of steam and treat liquid; And at least a portion on the surface of moistening substrate, comprise submergence, liquid is sprayed and be agglomerated to on-chip a kind of.Surface tension is lowered into branch and concentrates on the gas-liquid interface place, with respect to mobile substrate of substrate and gas-liquid interface and of treat liquid liquid level, thereby produce surface tension gradient between the remainder of liquid that is included in the meniscus on the substrate surface and treat liquid, wherein surface tension gradient is drawn onto liquid a large amount of treat liquid from substrate surface.Radiation be applied to substrate a part at least one and at least one of treat liquid on the substrate surface.Pressurization steps can appear at radiation apply before the step, afterwards or during, and apply irradiation step can appear at before the mobile step, afterwards or during.
[30]The further understanding that remainder and accompanying drawing by the reference specification can be implemented in character of the present invention disclosed herein and advantage.
Description of drawings
[31]Figure 1A represents the cross-sectional view according to the embodiment of a kind of equipment of the present invention, and wherein million frequency acoustic wave energies directly are transferred to another lip-deep cleaning or treat liquid through substrate from oscillating component.
[32]Figure 1B represents the simplification cross-sectional view according to the embodiment of a kind of equipment of the present invention, wherein can paste the vibrating mass that contacts with crystal by means of vacuum by the serial aperture in vibrating mass and closely keep substrate.
[33]Figure 1B A represents the zoomed-in view of the embodiment of Figure 1B.
[34]Fig. 2 A represents according to the present invention the simplification cross-sectional view of air-liquid surface as the embodiment of a kind of equipment of reflecting surface.
[35]Fig. 2 B represents according to the present invention the simplification cross-sectional view of the embodiment of a kind of equipment that is used as reflecting surface in the face of the indenture at random of substrate is surperficial.
[36]Fig. 2 C represents according to the present invention the simplification cross-sectional view of oscillating plate as the embodiment of a kind of equipment of reflecting surface.
[37]Fig. 2 D represents according to the present invention the simplification cross-sectional view of rotor plate as the embodiment of a kind of equipment of reflecting surface.
[38]Fig. 3 A-B represents the simplification cross-sectional view according to the embodiment of the equipment of the reflection of utilization of the present invention and million frequency acoustic wave energies fluid introducing linked together.
[39]Fig. 3 C-D represents according to the utilization of the present invention and million simplification cross-sectional view of the embodiment of the reflection fluid linked together of the acoustic wave energies equipment introducing and remove frequently.
[40]Fig. 4 A represents to be used for carrying out according to the present invention the simplification cross-sectional view of the embodiment of a kind of equipment that brush scrubs.
[41]Fig. 4 B represents to be used for carrying out according to the present invention the simplification cross-sectional view of embodiment of a kind of equipment of chemically mechanical polishing.
[42]Fig. 4 C represents to be used for carrying out and the million simplification cross-sectional views of the embodiment of a kind of equipment of scrubbing of the dual brush that combines of sound wave nozzles frequently according to the present invention.
[43]Fig. 4 D represent according to the present invention be similar to Fig. 4 C's but have during handling simplification cross-sectional view through the embodiment of a kind of equipment of the ability of suction removal of fluids.
[44]Fig. 4 E represents the simplification cross-sectional view according to the embodiment of a kind of equipment of the present invention, and expression is based on the wide regional million frequency sound wave nozzles of plate vibration parts design.
[45]Fig. 4 F represents the simplification cross-sectional view according to the embodiment of a kind of equipment of the present invention, and expression is based on the wide regional million frequency sound wave nozzles of the wedge-like element design with multiple piezo-electric crystal or vibrating elements.
[46]Fig. 4 G represents the simplification cross-sectional view according to the embodiment of a kind of equipment of the present invention, and expression is based on the narrow regional million frequency sound wave nozzles with the positive little wedge-like element of crooked fluid contact.
[47]Fig. 5 A represents the simplification cross-sectional view according to the vertical embodiment of a kind of equipment of the present invention, wherein handles fluid and introduces through injection nozzle.
[48]Fig. 5 B represents the simplification cross-sectional view according to the horizontal embodiment of a kind of equipment of the present invention, wherein handles fluid and introduces through injection nozzle.
[49]Fig. 6 A represents the simplification cross-sectional view according to the embodiment of a kind of equipment of the present invention, wherein perpendicular to the million frequency acoustic wave energies that applied by the substrate incident of liquid constraint in both sides.
[50]Fig. 6 B represents the simplification cross-sectional view according to the selected embodiment of a kind of equipment of the present invention, wherein for incident million frequently sound waves with suitable angle orientation substrate, so that it is maximum to pass the energy transmission of substrate.
[51]Fig. 6 C represents the simplification cross-sectional view according to the selected embodiment of a kind of equipment of the present invention, and one of them substrate has the surface that contacts with vibrating mass, and another substrate does not have.
[52]Fig. 6 D represents the simplification cross-sectional view according to the selected embodiment of a kind of equipment of the present invention, and wherein first substrate is changed or " waving " with respect to the angle of second substrate for same vibrating mass with respect to the angle of vibrating mass.
[53]Fig. 7 A represents the simplification cross-sectional view according to the selected embodiment of a kind of equipment of the present invention, and wherein energy is transferred to the thin flat plate through solid wedge from piezo-electric crystal.
[54]Fig. 7 B represents the simplification cross-sectional view according to the selected embodiment of a kind of equipment of the present invention, and wherein substrate can be rotated.
[55]Fig. 7 C represents the simplification cross-sectional view according to the selected embodiment of a kind of equipment of the present invention, and wherein wedge has hollowed out passage and washed with cooling fluid.
[56]Fig. 7 D represents the simplification cross-sectional view according to the selected embodiment of a kind of equipment of the present invention, and wherein energy is from being transferred to the thin flat plate through solid wedge at a plurality of piezo-electric crystals that exist more than a front.
[57]Fig. 7 E represents the simplification cross-sectional view according to the selected embodiment of a kind of equipment of the present invention, and wherein energy is transferred to the thin flat plate through a plurality of solid wedge devices from piezo-electric crystal.
[58]Fig. 7 F represents the simplification cross-sectional view according to the selected embodiment of a kind of equipment of the present invention, and wherein energy transmits through a plurality of solid wedge devices of the opposed end that is placed on thin flat plate from piezo-electric crystal.
[59]Fig. 7 G represents the simplification cross-sectional view according to the selected embodiment of a kind of equipment of the present invention, and wherein energy is transferred to the flat substrate that passes the gap that is filled with liquid through solid wedge device from piezo-electric crystal.
[60]Fig. 7 HA-C represents simplification solid, end, and the side view according to the selected embodiment of a kind of equipment of the present invention, wherein energy is transferred to the transmission part that has in angular cross section through solid wedge device from piezo-electric crystal, is transferred in the substrate that passes the gap that is filled with liquid.
[61]Fig. 7 I represents the simplification cross-sectional view according to the selected embodiment of a kind of equipment of the present invention, wherein energy from piezo-electric crystal through a plurality of edges of the solid wedge device of triangle, pass fluid and fill the gap and enter into substrate and transmit.
[62]Fig. 7 JA-D represents the simplification cross-sectional view according to the selected embodiment of a kind of equipment of the present invention, wherein energy from elongated member with different shape, pass fluid and fill the gap transmission and enter into substrate and transmit.
[63]Fig. 7 KA represents the simplification stereogram according to an embodiment of wedge structure of the present invention, is characterised in that the hollow wedge that is filled with liquid, and liquid is gone out through a plurality of holes or nozzle.
[64]The cross-sectional view of the million frequency sound wave nozzle arrangements of Fig. 7 KB presentation graphs 7KA, wherein energy is transferred to substrate from piezo-electric crystal through wedge.
[65]Fig. 8 A represents the simplification cross-sectional view according to the selected embodiment of a kind of equipment of the present invention, wherein wedge or device be used for by means of the substrate that directly contacts with a front of wedge million frequently sonic pressure waves convert the surface wave that is used to handle to, and liquid sprays.
[66]Fig. 8 B represents the simplification cross-sectional view according to the selected embodiment of a kind of equipment of the present invention, and wherein special cooling-part is adjacent with wedge.
[67]Fig. 8 C represents the simplification cross-sectional view according to the selected embodiment of a kind of equipment of the present invention, and wherein wedge is designed to comprise the hollow or fluid filling channel that is used to cool off.
[68]Fig. 8 D represents the simplification cross-sectional view according to the selected embodiment of a kind of equipment of the present invention, and wherein piezo-electric crystal is arranged on two fronts of wedge structure, and substrate contacts the 3rd front.
[69]Fig. 8 E represents the simplification cross-sectional view according to the selected embodiment of a kind of equipment of the present invention, and wherein piezo-electric crystal is arranged on two fronts of wedge structure, and wall of a container is handled in the 3rd positive contact.
[70]Fig. 8 F represents the simplification cross-sectional view according to the selected embodiment of a kind of equipment of the present invention, and wherein piezo-electric crystal is arranged on two fronts of wedge structure, and container handling is contacted with the top side of wedge.
[71]Fig. 8 G represents the simplification cross-sectional view according to the selected embodiment of a kind of equipment of the present invention, wherein piezo-electric crystal is arranged on a plurality of fronts of polygonized structure, and this polygonized structure has with the top side forms the right angle side and container handling contact with the part of this top side.
[72]Fig. 8 H represents the simplification cross-sectional view according to the selected embodiment of a kind of equipment of the present invention, wherein piezo-electric crystal is arranged on a plurality of fronts of polygonized structure, the side of this polygonized structure and top side form the right angle, and the part of top side contacts with container handling.
[73]Fig. 8 I represents the simplification stereogram of wedge structure, makes piezo-electric crystal by the bottom side on two fronts by thin liquid level or meniscus, and these two fronts meet at right angles with the top and bottom side and contact with substrate.
[74]Fig. 8 J represents the simplification stereogram according to the selected embodiment of a kind of treatment facility of the present invention, is similar to represent in Fig. 8 I, and difference is, the top and bottom side angulation of the side of wedge and wedge.
[75]Fig. 8 KA-C represents the simplification stereogram according to the embodiment of wedge structure of the present invention.
[76]Fig. 8 LA-B represents simplification solid and the edge graph according to another embodiment of wedge structure of the present invention respectively.
[77]Fig. 9 A-B represents the simplification cross-sectional view according to the selected embodiment of equipment of the present invention, the direct cooling of this equipment utilization transducer.
[78]Figure 10 represents the simplification cross-sectional view according to an embodiment of a kind of ultrasonic processing equipment of utilizing the processing that acoustic wave energy carries out the obstacle back of the present invention.
[79]Figure 11 describes the simplification cross-sectional view according to another embodiment of a kind of ultrasonic processing equipment of the present invention, and wherein Wan Qu cell wall is provided for the reflecting surface of incident ultrasonic energy.
[80]Figure 12 represents the simplification cross-sectional view according to the selected embodiment of a kind of equipment of the present invention, wherein the curved wall place that reflects now the groove that is surrounded by gas of incident acoustic wave energy.
[81]Figure 13 represent to comprise easily can the reflective sound wave energy hollow, gas fill the simplification cross-sectional view of the parts of pipe or plate.
[82]Figure 14 represents to comprise the simplification cross-sectional view that presents semi-circular cross-section, wherein acoustic wave energy incident in the preferred range of angles that is used for the energy transmission.
[83]Figure 15 represents to present the simplification cross-sectional view of the parts of non-circular cross-section, and wherein acoustic wave energy is in the outer incident of the preferred range of angles that is used for the energy transmission, and reflects and leave this parts.
[84]Figure 16 represents the simplification stereogram of conventional box design.
[85]Figure 17 represents the simplification stereogram of another kind of conventional box design.
[86]Figure 18 A represents the simplification end-view according to an embodiment of a kind of box of the present invention.
[87]Figure 18 B is illustrated in the simplification normal axomometric drawing of the box of representing among Figure 18 A.
[88]Figure 18 C represents the simplification enlarged side view of rectangular panel members support component, and this support component has periodic grooves to comprise the edge of a plurality of substrates.
[89]Figure 19 represents the simplification end-view according to the selected embodiment of a kind of box of the present invention.
[90]Figure 20 A represents the simplification cross-sectional view of three support arrangement.
[91]Cross-sectional view is amplified in the simplification of the V-arrangement support portion of the box of Figure 20 B presentation graphs 20A.
[92]Figure 21 represents the simplification amplification cross-sectional view of non-rectangle support component.
[93]Figure 22 represents a kind of simplification amplification cross-sectional view of typical rectangular support component, and this support component comprises the compound of first internal material and second exterior material.
[94]Figure 23 A and 23B represent the simplification solid and the cross-sectional view of inverted V-shaped support component respectively, and this support component comprises panel element, and this panel element has and is used for the groove at edge of supporting wafers, and allows fluid to flow.
[95]Figure 24 represents to be used for the simplification cross-sectional view of the wide rectangular support part part of flat-bottom slot, and this flat-bottom slot has the transducer that install the bottom.
[96]Figure 25 shows that according to the embodiment of selection of the present invention wherein box comprises the gripper shoe element of a plurality of vertical orientations.
[97]Figure 26 represent to adopt a kind of like this fluid dynamic support component to move the simplification cross-sectional view of the embodiment of selection of the present invention that sound wave moves around these parts.
[98]Figure 27 represents the simplification cross-sectional view according to the selected embodiment of a kind of equipment of the present invention, wherein handle the mobile of fluid and get around pending substrate, and acoustic wave energy is followed fluid path.
[99]Figure 28 represents simplification cross-sectional view pending, that be immersed in the parts in the surrounding liquid in the conventional process groove.
[100]Figure 29 A-B represents cross-sectional view and schematic diagram respectively, shows the inhomogeneities in the generation energy field near field.
[101]Figure 30 represents to comprise the million simplification cross-sectional views in acoustic wave energy sources frequently of transducer, and this transducer comprises a plurality of independent piezo-electric crystals.
[102]Figure 31 represents the simplification cross-sectional view of million frequency sonic generators, and this million frequencies sonic generator is characterised in that the transducer of modification is connected with the sequence starting generator, this each piezo-electric crystal of sequence starting generator sequence starting.
[103]Figure 32 represents the tradition million simplification cross-sectional view of sound wave purging systems frequently, this million frequently sound wave purging system be configured to energy is applied on the parts in groove, make the energy of emission be parallel to the surface of substrate.
[104]Figure 33 represents the simplification cross-sectional view according to the embodiment of a kind of equipment of the present invention, and this equipment is characterised in that, second element of transducer with respect to first element of transducer on the bottom that is arranged in groove with 90 ° or be included on the vertical wall near 90 °.
[105]Figure 34 represents the simplification cross-sectional view according to another embodiment of a kind of equipment of the present invention, and this equipment is characterised in that on inclined floor of first element of transducer, and second element of transducer is arranged on another wall.
[106]Figure 35 represents the simplification cross-sectional view according to another embodiment of a kind of equipment of the present invention.
[107]Figure 36 represents the simplification cross-sectional view according to another embodiment of a kind of equipment of the present invention, and this equipment is characterised in that auxiliary element adds on the protrusion above the V-arrangement, passes the positive surface launching of inclined side of the wall of groove to allow energy.
[108]Figure 37 represents the simplification cross-sectional view according to another embodiment of a kind of equipment of the present invention, and this equipment is characterised in that, vibrating mass and substrate separation one segment distance.
[109]Figure 38 represents the simplification cross-sectional view according to an alternative embodiment of the invention, comprises aligning parallel to each other and two vibrating mass that separate by means of the substrate that is inserted between them.
[110]Figure 39 represents to be used for adopting the simplification cross-sectional view of vibrational energy with an embodiment of a kind of equipment of the processing of enhancing substrate.
[111]Figure 40 represents the simplification cross-sectional view that is used for adopting vibrational energy with the selected embodiment of a kind of equipment of the processing that strengthens substrate according to of the present invention.
[112]Figure 41 represents according to the simplification cross-sectional view of employing vibrational energy of the present invention with another selected embodiment of a kind of equipment of the processing of enhancing substrate.
[113]Figure 42 represents the simplification cross-sectional view with another selected embodiment of a kind of equipment of the processing that strengthens substrate of applying that is used for vibrational energy according to of the present invention.
[114]Figure 43 represent according to of the present invention, comprise and be used for a kind of simplification cross-sectional view of another selected embodiment of treatment facility of vibrative frame for movement.
[115]Figure 44 represents the simplification cross-sectional view according to another selected embodiment of a kind of treatment facility of the present invention.
[116]Figure 45 represent according to of the present invention, comprise and be configured in correct angular range the simplification cross-sectional view of operation with another selected embodiment of a kind of treatment facility of producing vibration sound wave nozzle.
[117]Figure 46 represents to be used for according to the present invention the simplification cross-sectional view of an embodiment of a kind of treatment facility of electrochemical treatments.
[118]Figure 47 represents the simplification cross-sectional view according to another selected embodiment of a kind of treatment facility of the present invention.
[119]Figure 48 represents to be used for carrying out according to the present invention the simplification cross-sectional view of another embodiment of a kind of equipment of electrochemical treatments.
[120]Figure 49 represents the simplification cross-sectional view according to the selected embodiment of a kind of equipment of the present invention, and wherein electrode is arranged with the angle between the critical angle scope with respect to the direction from the acoustic wave energy of vibrating mass incident.
[121]Figure 50 represents the simplification cross-sectional view according to another embodiment of a kind of electrochemical processing apparatus of the present invention.
[122]Figure 51 represents the simplification cross-sectional view according to the embodiment of a kind of electrochemical processing apparatus of the present invention, wherein rotates base sheet rack, this support supporting substrate in chemical bath.
[123]Figure 52 represents the simplification stereogram according to the embodiment of a kind of electrochemical processing apparatus that utilizes the opening electrode structure of the present invention.
[124]Figure 53 represents the simplification cross-sectional view of a kind of selected embodiment of treatment facility, and this treatment facility is similar to the equipment of representing in Figure 48, but it is characterized in that substrate is supported in bath above the electrode, and electrode flows for fluid and opens wide.
[125]Figure 54 represents the simplification cross-sectional view according to another selected embodiment of a kind of treatment facility of the present invention, and this equipment is reversed with respect to the equipment of representing in Figure 53, and its feature also is mechanical vibrator rather than piezo-electric crystal.
[126]Figure 55 represents the simplification cross-sectional view according to another selected embodiment of a kind of treatment facility of the present invention.
[127]Figure 56 represents the simplification cross-sectional view according to the selected embodiment of a kind of structure of the present invention, and wherein shaped electrode presents tear or wing profile, so that promote the steady or stable streamline characteristic circulation of bath fluid with laminar flow rather than Turbulence Flow.
[128]Figure 57 represents the simplification cross-sectional view according to an embodiment of a kind of equipment of utilization generation pressure drop of the present invention.
[129]Figure 58 represents the simplification cross-sectional view according to another selected embodiment of a kind of treatment facility of the present invention.
[130]Figure 59 describes the simplification cross-sectional view of embodiment, and wherein substrate is separated by thin fluid layer with electrode, and not exclusively is immersed in the liquid bath.
[131]Figure 60 represents the simplification cross-sectional view according to another embodiment of a kind of equipment that utilizes microwave energy of the present invention.
[132]Figure 61 A-B represents that respectively wherein ultrasonic energy directly is transferred to another lip-deep residual liquid through substrate from vibrating mass according to the simplification cross-sectional view of the vertical and horizontal orientation embodiment of a kind of equipment of the present invention.
[133]Figure 62 represents the simplification cross-sectional view according to the embodiment of a kind of equipment of use comparison incompressible fluid of the present invention, and its intermediate gap becomes very greatly and still has significant energy transmission and takes place.
[134]Figure 63 represents the simplification cross-sectional view according to another embodiment of a kind of treatment facility of the present invention, and wherein energy is passed in the substrate transmission of both sides by the liquid constraint, thereby the thickness of substrate should mate the even-multiple quarter-wave.
[135]Figure 64 represents to be used for a kind of simplification cross-sectional view of an embodiment of equipment that jet with dry ice shape thing (dry ice) and/or low temperature argon gas colloidal sol carries out the cleaning of substrate.
[136]Figure 65 represents the simplification cross-sectional view according to the embodiment of a kind of equipment of the present invention, and this equipment is used for carrying out laser-impact and cleans.
[137]Figure 66 represents the simplification cross-sectional view according to the selected embodiment of a kind of treatment facility of the present invention, and wherein substrate is clamped on the base sheet rack by vacuum draw.
[138]Figure 67 represents the simplification cross-sectional view according to another embodiment of a kind of equipment of the present invention, and wherein substrate is positioned in the base sheet rack that utilizes mechanical oscillation.
[139]Figure 68 represents the simplification cross-sectional view according to another embodiment of a kind of equipment of the present invention, and wherein liquid level is formed on the surface of substrate, and this substrate is fixed on combination base sheet rack/vibrating mass.
[140]Figure 69 represents the simplification cross-sectional view according to another embodiment of a kind of equipment of the present invention, this equipment is characterised in that the ultrasonic nozzle that is used for gas/liquid/solid jet is used for forming pressure pulse at gas/liquid/solid jet before the surface of impinging jet substrate.
[141]Figure 70 represents the simplification cross-sectional view according to another embodiment of a kind of equipment of the present invention, and this equipment is characterised in that, uses million frequency sound wave nozzles with vibrating mass.
[142]Figure 71 represents the simplification cross-sectional view according to the embodiment of a kind of treatment facility that comprises microwave energy of the present invention.
[143]Figure 72 represents the simplification cross-sectional view according to an embodiment of a kind of treatment facility that comprises base sheet rack cooler or heater of the present invention.
[144]Figure 73 represents the simplification cross-sectional view according to the selected embodiment of a kind of treatment facility of the present invention, and wherein substrate is included in the outer cover that is pressurized to more than the atmospheric pressure during handling.
[145]Figure 74 represents the simplification cross-sectional view according to the embodiment of a kind of treatment facility of the present invention, this equipment is characterised in that, piezo-electric crystal appends on the outside of at least one wall of treatment chamber, make the thickness of this wall be chosen to be approximately equal to the odd-multiple quarter-wave of the acoustic wave energy that applies, so that the energy coupling is maximum.
[146]Figure 75 represents the simplification cross-sectional view according to the embodiment of a kind of treatment facility of the present invention, and this equipment is characterised in that crystal is tied on the oscillating plate, and this oscillating plate appends on the chamber wall rigidly.
[147]Figure 76 represents the simplification cross-sectional view according to a kind of design of a kind of treatment facility of the present invention, and wherein the reflection from mobile reflector impinges upon on the static substrate.
[148]Figure 77 represents the simplification cross-sectional view according to a kind of design of a kind of treatment facility of the present invention, wherein the acoustic wave energy bullet from substrate and bullet from wall of a container.
[149]Figure 78 represents the simplification cross-sectional view according to a kind of treatment facility of the present invention, and wherein substrate is in moving, and simultaneously from the reception of the piezo-electric crystal container outside acoustic wave energy.
[150]Figure 79 represents the simplification cross-sectional view according to the selected embodiment of a kind of treatment facility of the present invention, this equipment has been characterised in that jet, and this equipment comprises the unique physical and the chemical property of supercritical fluid and has the benefit of acoustic wave energy of the fluid dynamic character of fluid jet.
[151]Figure 80 represents the simplification cross-sectional view according to the selected embodiment of a kind of treatment facility of the present invention, wherein even the overflow front of substrate of the part condensable gas of low velocity.
[152]Figure 81 represent according to of the present invention, have a simplification cross-sectional view for the selected embodiment of a kind of substrate processing equipment of passing the directed vibrating mass of substrate energy transmission.
[153]Figure 82 represent according to of the present invention, have for passing the directed vibrating mass of substrate energy transmission the simplification cross-sectional view of the selected embodiment of a kind of substrate processing equipment that substrate rotates be provided simultaneously.
[154]Figure 83 represents the simplification cross-sectional view according to the selected embodiment of a kind of substrate processing equipment of a plurality of vibrating mass of the present invention, as to have the transducer form that the height at groove separates.
[155]Figure 84 represents the simplification cross-sectional view according to the selected embodiment of a kind of substrate processing equipment of the present invention, and this equipment is characterised in that transducer is to a plurality of vibrating mass and the physics contact mutually of the wedge with triangular cross section of form.
[156]Figure 85 represents the simplification cross-sectional view according to the selected embodiment of a kind of substrate processing equipment of the present invention, and this equipment is characterised in that, a plurality of vibrating mass of a plurality of transducer forms and the physics contact mutually of the wedge with polygon cross section.
[157]Figure 86 represents the simplification cross-sectional view according to the selected embodiment of a kind of substrate processing equipment of the present invention, and wherein a plurality of vibrating mass are configured to carry out the processing of the substrate of horizontal support.
[158]Figure 87 represents the simplification cross-sectional view according to the selected embodiment of a kind of substrate processing equipment of the present invention, and the single treat liquid jet of this equipment utilization sprays and from a plurality of vibration sources of the dull and stereotyped wide regional sound wave designs of nozzles with a plurality of sound wave nozzle members.
[159]Figure 88 A represents the simplification cross-sectional view according to the embodiment of a kind of equipment of the present invention, and this equipment is characterised in that the diffraction grid is arranged between acoustic wave energy source and substrate.
[160]Figure 88 B represents the plane according to an example of the diffraction grid of embodiments of the invention.
[161]Figure 88 C represents the plane according to another example of the diffraction grid of the use of embodiments of the invention.
[162]The enlarged drawing of the part of Figure 88 D presentation graphs 88C.
[163]Figure 88 E represents the simplification cross-sectional view according to the selected embodiment of a kind of equipment that utilizes the diffraction grid of the present invention.
The specific embodiment
[164]A kind of million pressurized treatments systems of acoustic wave energies frequently that comprise are disclosed in the non-divisional patent application No.10/150 of the U.S. that comprises thus for all purposes, in 748.A kind of technology that is used in the heating of the high efficiency substrate of fast, effectively reaching of compression system, be disclosed in also for all purposes by with reference to the non-divisional patent application No.10/456 of the U.S. that is included in here, in 995.Also for all purposes by with reference to be included in here be the U.S. Patent application no.2001/0013355A1 that publishes.
[165]Equipment according to the embodiment of the invention relates generally to applying to strengthen the processing by means of the substrate of fluid of million frequency acoustic wave energies.Substrate is arranged in and is configured to receive in the treatment region of handling fluid, and is exposed to million frequency acoustic wave energies.
[166]Substrate needn't be immersed in to be handled in the fluid, and this fluid can the form with droplet or film (meniscus) exist on substrate surface.In addition, treatment region can, but needn't, by wall or slot part ground or sealing fully, so that comprise fluid.In addition, the treatment region energy, but needn't, be enclosed in the container handling, handle under the pressure that raises or reduce with permission.
[167]Traditionally, term " million sound wave " frequently is used for describing the ultrasonic wave acoustic energy with about 700-1800kHz frequency, and the term ultrasonic ripple has been used for describing the lower frequency ranges of about 20-200kHz when being applied to the semiconductor chip processing.For the application's purpose, term " million frequency sound waves " is used for describing and can be applied to handle the about 10-10 of having of various substrates, the acoustic energy of 000kHz frequency.Therefore, term " million frequency sound waves " uses interchangeably with " ultrasonic wave " in present patent application.
[168]In general, in order to realize effective and the high efficiency washing and the processing of substrate, importantly, apply million frequency acoustic wave energies equably.In history, piezo-electric crystal appends on the oscillating plate, or directly appends on the cell wall.Oscillating plate or active cell wall be acoustics ground or sound wave ground and processing or cleaning solution coupling.These vibrating mass generally are arranged in the bottom of treatment trough or many recently on sidewall.When with RF frequency excitation piezo-electric crystal, they make vibration plate vibrates, and this makes a series of pressure or dilatational wave propagate through solution again.Substrate pending or that clean is suspended in the solution.For semiconductor chip, pressure wave in both sides, be parallel to wafer surface and upwards flow, make and clean or reaction takes place.
[169]In order to transmit energy from vibrating mass efficiently to substrate, the effective sound wave coupling between vibrating mass and substrate should take place.This generally realizes by energy is transferred to solution and is transferred to substrate from solution then from oscillating plate.For better coupling, the more energy that is input in the piezo-electric crystal is transferred to container handling, and finally is transferred to substrate.For relatively poor energy coupling, more energy is wasted when its reflected back generator or changes into heat, makes the cooling of crystal and firmer generator be designed to necessity.As the result of relatively poor coupling, less actual energy may be applied on the given substrate, and this causes longer cleaning and processing time.
[170]According to embodiments of the invention provide with the mode that alleviates the worry mentioned for traditional design and restriction million frequently acoustic wave energies guide to the design of substrate surface.A plurality of embodiment of the present invention is provided at very uniform energy field is transported to the very big flexibility of the aspect of various substrate surfaces, and selectable energy waves pattern is provided, particularly on the surface of substrate.For example, great majority million sound wave design frequently is based on the dilatational wave (be also referred to as vertically or pressure wave) of warp for the fluid boundary generation and the emission of substrate surface.Some of neoteric embodiment disclosed herein are also based on producing and use shearing and surface wave.The wave mode that in substrate, produces by these different ripple types, can with in the past for the tradition million of wherein only sending longitudinal wave from vibrating mass frequently acoustic systems see differently, impact the particle on substrate surface.
[171]In a neoteric embodiment, oscillating plate is closely contacted with a surface of substrate, clean or handle fluid simultaneously and contact another surface.This embodiment is illustrated among Figure 1A, and wherein million frequency acoustic wave energies directly are transferred to another lip-deep cleaning or treat liquid 110 through substrate 102 from vibrating mass 104.
[172]Guarantee that be possible at substrate 102 with the suitable several different methods that contacts between the vibrating mass that the transmission of reasonable energy is provided.For example, for smooth substrate surface and smooth vibrating mass surface, substrate 102 can closely keep pasting the vibrating mass 105 that contacts with crystal 106 by a series of apertures in vibrating mass with vacuum tube 114 by means of vacuum, as representing among the embodiment of Figure 1B-1BA.In addition, as shown in Figure 1B A, pit 116 can be added in the vibrating mass, is complementary with the cross section with pending substrate.
[173]Good physics contact itself can not guarantee the good energy coupling between substrate and vibrating mass.Being a kind of effective means that obtains optimum capacity coupling when substrate closely contacts with vibrating mass, is to make thickness sum vibrating mass and substrate equal the million odd-multiple quarter-wave of acoustic wave energy ripples (n λ/4, n=1 wherein, 3,7 frequently ...).The necessary speed of consideration sound in every kind of material is to determine the corresponding thickness for this material.Big more with the deviation of this optimum thickness, the energy poor more and transmission that is coupled is few more, also is like this even contact for significant physics.In a plurality of embodiment, have this wish substrate thickness+million transmission of acoustic wave energies frequently of wavelength in/-30% may be enough to suitable energy is transferred to substrate.
[174]In theory, when piezo-electric crystal has correct thickness and operates under its natural resonance frequency, the thickness of vibrating mass or vibrating mass and the combination that contacts substrate, should equal incident radiation wavelength 1/4th.Yet in practice, the piezo crystals physical efficiency partly is similar to the vibration producing component and partly is similar to vibrating mass and works.
[175]This result changes the preferred thickness of vibrating mass and leaves theoretical value a little, particularly when crystal is not operated under its natural resonance frequency.For the purposes of the present invention, the JND between theory and actual (real) thickness may not be quantized by embodiment for each.Yet these thickness difference are usually less, and generally drop in the prescribed limit listed above.
[176]For the purpose of present patent application, " vibrating mass " is defined as the parts to its additional vibrating elements such as piezo-electric crystal or mechanical actuator, as cell wall, flat board or wedge front; Or the combination of these parts and vibrating elements.Thereby the thickness of vibrating mass may only refer to carry the thickness of the parts of vibrating elements, can refer to that perhaps these parts add the combination thickness of vibrating elements.
[177]Substrate is moistening by handling fluid by all or part of submergence, and fluid is directly injected on the substrate, and escaping gas or steam are condensed along with the variations in temperature in container handling.Particularly for the submergence means, when million frequency acoustic wave energies are issued to the liquid from vibrating mass, perhaps when closely the combination of the substrate of contact and vibrating mass is issued to the liquid each other, enter the distance the liquid on surface from substrate, energy field can not be evenly.Because the part of energy waves strengthens and offsets, and can set up standing wave at this apart from interior.These standing waves can cause the spot of inhomogeneities.The district of this non-uniform field intensity is often referred to as the near field, and according to the frequency and the intensity of projectile energy, generally extends to away from the distance of vibration surface on the magnitude of ten wavelength.For water, ten wavelength under the 800KHz frequency convert the distance of approximate 1.85cm to.Multiple conventional batch processing system is designed to keep substrate to leave vibrating mass (or cell wall) greater than this amount, so that avoid the inhomogeneous essence near field.
[178]Therein among substrate and another embodiment that vibrating mass closely contacts, the cremasteric reflex surface, so that in the energy reflected back near field of sending, and make it more even.The various examples of reflection unit are illustrated among Fig. 2 A, 2B, 2C and the 2D.Some of device are static, as air-liquid surface 202 of Fig. 2 A or in the face of the surface of indenture at random 204 of the substrate of Fig. 2 B.
[179]As used herein, term " static state " needn't refer to static.Its meaning is meant that parts wherein are without undergoing active mechanical disturbance or the situation that repeats to move.
[180]Under the situation of air-liquid surface 202 of Fig. 2 A, when liquid surperficial mobile, energy is compared reflected back randomly at the interface at this during operation.This interface may appear at the place, top of the fluid level in the container handling, perhaps may occur when bubble is produced or be incorporated in the processing fluid in energy path.
[181]In other cases, reflection unit is dynamic or mobile, as the vibration reflecting plate 206 of Fig. 2 C or the rotation reflecting plate 208 of Fig. 2 D.Dynamic apparatus is the energy reflected back, to offset the spot of inhomogeneities inside.When repeating, these move the point of constructive and destruction interference of the acoustic wave energy on the often inswept surface of passing substrate of passing the near field of reflection unit.Although be not real random reflected, they often make energy pattern in the near field with to contact those energy pattern of substrate more even on the whole time.
[182]No matter mobile or static, reflection part all should be configured to reflection rather than launch million frequency sound waves.When separating with vibrating mass, effectively reflection part can be by the quarter-wave thickness of the odd-multiple with the energy waves of being approximately equal to, supply with the like combinations thickness of very strong energy coupling if reflection part closely contacts with vibrating mass, the solid material structure.No matter the thickness of reflection part is characterised in that the embodiment that gas or vacuum are arranged will produce usable reflection on the opposite side of reflection part, the spitting image of about situation at the gas-liquid interface place.
[183]Fig. 3 A and 3B represent that according to embodiments of the invention wherein fluid is introduced with million reflections of acoustic wave energy frequently and is coupled.Combining that reflection and fluid are introduced can not only make energy field more even, and can increase the uniformity of processing chemistry on the surface of close substrate.When the controlled chemical reaction of hope occurred in the substrate surface place, the even degree of depth and temperature at the substrate surface place became more important.
[184]Fig. 3 C and 3D represent that according to embodiments of the invention wherein fluid is introduced not only to remove with the reflection of million frequency acoustic wave energies but also with fluid and is coupled.The embodiment of image pattern 3A-B, fluid is introduced through conduit 302.Yet, in the embodiment of Fig. 3 C-D, fluid simultaneously or with after local conduit 304 remove.Thereby can realize handling, wherein fluid is all introduced partly and is removed.
[185]So far, the use that focuses on the reflective sound wave energy is described more than, to strengthen the quality of the processing in the near field region.Yet, also can utilize the reflected sound wave energy realizing the processing of substrate area according to embodiments of the invention, these substrate areas otherwise be blocked and avoid receiving this energy are for example blocked by the substrate support parts of getting involved.
[186]The discussion of such the selected embodiment that utilizes the reflective sound wave energy is provided in chapters and sections A below.The discussion of other means that the sound wave that is used for avoiding substrate area blocks is provided in chapters and sections B below.
[187]Although and the use that above description focuses on the reflective sound wave energy can utilize other technology to strengthen the processing in the near field region according to the embodiment of selection of the present invention.But a kind of such selection approach is the characteristic that changes the acoustic wave energy that applies, and followingly goes through in chapters and sections C.
[188]Interested especially two kinds of application according to the embodiment of the invention are that brush is scrubbed and chemically mechanical polishing (CMP) is handled.Fig. 4 A and 4B represent to be used for brush respectively and scrub embodiment with CMP, wherein million frequently acoustic wave energies pass the substrate emission, and directly enter brush 402 or fill up in 404.By this way, mechanical energy and million frequency acoustic wave energies focus on the single spot or the place, district of substrate simultaneously.
[189]A benefit of the means of representing in Fig. 4 A and 4B may be, when brush 402 when smooth substrate surface is partly removed macroscopic particles, million frequently acoustic wave energies simultaneously particle is raised to outside the pit such as groove and path.On the contrary, conventional system be limited to million frequently acoustic wave energies be applied on the side or periphery of the mechanical part such as brush or CMP pad because these mechanical parts generally are unfavorable for the transmission of energy.Thereby these conventional systems can only sequentially rather than side by side be applied to machinery and million frequency acoustic wave energies on the substrate.
[190]Can comprise the localized liquid feeding according to an other embodiment of the present invention, liquid is removed through suction.Such an embodiment helps to remove deleterious material from the substrate negative area such as groove and path.The further discussion of adding/removing when narrating treat liquid below.
[191]Do not require that according to embodiments of the invention substrate is immersed in the liquid fully.Among another embodiment that in Fig. 5 A, represents, in the places that substrate 500 and vibrating mass 502 closely contact, because the injection of liquid 504, the surface that the processing fluid can moistening exposure.
[192]Although Fig. 5 A describes the substrate and the vibrating mass of perpendicular positioning, they are horizontal location selectively, as shown in Fig. 5 B.Continuous injection 504 replenishes the film of the lip-deep processing fluid of substrate 500, thereby energy can chemical interaction at the substrate surface place.This embodiment can use when design single-wafer processing equipment.
[193]Can select among the embodiment, energy can be passed in substrate 600 transmission of both sides by liquid 602 constraints, makes the incident and the substrate surface quadrature of million frequency acoustic wave energies 604.Fig. 6 A represents such an embodiment, and wherein substrate thickness should be mated the million quarter-wave even-multiple of acoustic wave energies (n λ/4, n=2 wherein, 4,6,8 frequently that apply ...).Conventional million frequently the sound wave purging systems be not very successful passing or pass aspect the silicon wafer transmission energy because their instructions for uses are than million frequency frequency of sound wave/wavelength of actual much thick wafer.
[194]In another embodiment, energy can pass the relatively thinner substrate transmission that is immersed in the liquid effectively, even substrate thickness is kept off the quarter-wave even-multiple of describing just now.As shown in Fig. 6 B, when sound waves were with suitable angle orientation substrate 600 frequently for incident million, this can realize.Arrange for fluid/substrate/fluid, this incident angle should be between first and second critical angle.
[195]Be used for the sound wave theory of nondestructive testing, term first and second critical angles can have the meaning of good qualification.For example, when the incident dilatational wave of advancing in a kind of medium bump had second material surperficial of high impedance more, the incident wave energy formed dilatational wave and shear wave in second material.
[196]When the angle for the incidence wave on the surface of second material increased, the refraction expansion of generation and the angle of shear wave also increased.Angle when dilatational wave is refracted to 90 ° (paralleling) called after first critical angle with the surface of second material.When the refraction shear wave became 90 ° for the surface, second critical angle occurred.
[197]Yet for the purpose of present patent application, first critical angle is defined as incidence angle, when substrate is immersed in the liquid and be not orthogonal to energy incident location, passes the projectile energy of substrate transmission signal portion under this incidence angle.Second critical angle is defined as the incidence angle that stops of the remarkable energy transmission of sign.
[198]The critical angle of incidence optimum range may be different under different condition.Be applied to by the occasion on the parts of liquid encirclement at acoustic wave energy, critical angle will be in the scope between about 18-58 °, more preferably between about 25-50 °, and most preferably between about 30-45 °.Under such incidence angle, the energy of significant quantity passes substrate transmission, and if million frequently sound waves then expect less energy transmission to impinge upon on the substrate in these extraneous angles.
[199]For example, when angle becomes greater or lesser, transmit less energy and reflect more energy, particularly for angle near 90 ° (departing from 0 °) with normal to substrate surface, for the substrate of the thickness that does not conform to the quarter-wave above narration rule of even-multiple, seldom coupling appears wherein.
[200]Be by means of the other advantage of passing wafer transmission of energy according to the present invention, can handle a plurality of substrates simultaneously.This embodiment for Fig. 6 B is real, wherein two surfaces of liquid contact substrate.This also is real for the embodiment that represents in Fig. 6 C, and one of them substrate 600a has one and the contacted surface of vibrating mass, and another substrate 600b does not have.
[201]The quantity of the substrate that single wave energy penetrates is not unconfined.Because certain decay of signal appears in the thickness of each of substrate and the liquid condition between the substrate.
[202]Be according to another potential advantage of the present invention, in the wave mechanics of surface with interact to be different from and occur for traditional design.These are new can be in the different power of generation on the contaminant particle at substrate surface with different interactions.
[203]Do not want that passing the transmission of substrate energy can be by following imagination by any particular theory constraint.When the propagation in liquid is expanded million sound waves is run into substrate surface with suitable angle frequently, it in solid substrate/on convert shear wave to.Shear wave in substrate when it when the opposition side of substrate sends, change back then and expand or pressure wave.Be present in occasion on the substrate opposite side in the gas except that liquid or vacuum, the shearing wave energy continues as shearing or surface wave along substrate, is perhaps partly or entirely reflected.
[204]The wave mechanical notion that comprises incident, reflection, refraction and pattern conversion is known in classic acoustics and elastic theory.These conceptual descriptions arrive, and the particle that dilatational wave relates on the equidirectional of propagating with ripple moves.For the shear wave that only occurs in solid, the direction that particle moves and propagates meets at right angles.For at the solid Free Surface on the crack in midair, it is complicated that particle moves, and decays rapidly away from the surface.Surface wave also can be formed in the thin substrate, usually is formed in the substrate that comprises a plurality of thin layers.
[205]Pass its thickness in expression and be not similar among million another embodiment that the energy of the quarter-wave substrate of even-multiple of acoustic wave energy transmits frequently that apply, million frequency acoustic wave energies can be orientated to the surface perpendicular to substrate.Simultaneously, a large amount of fluids move and can produce the fluid velocity parallel with substrate surface.Fluid velocity is conditioned, thereby is helping passing in the angular range of substrate energy transmission in the synthetic angle of million frequency acoustic wave energies bump substrate surfaces under it.For example, in the occasion of million frequency acoustic wave energies under the frequency of an approximate megahertz, estimate to select the aqueous fluid speed of six meters of approximate per seconds, to produce receivable synthetic angle.Because the scope of synthetic angle is receivable for passing the transmission of substrate energy, so can utilize the fluid velocity scope under each frequency.As following discussed in detail, it is preferred not having the steady flow of the processing fluid that significantly mixes, to keep the integrality of the transmission of acoustic wave energy in liquid.
[206]A large amount of fluids move and can produce in every way, include but not limited to pumping, gravity feeding, reach the 2,000,000 introducing of sound wave frequently under suitable angle.Wish to make synthetic angle, but pass the transmission of substrate energy and drop in the receiving angle scope for receiving by the energy pattern of the crossing generation of two individual waves.When the 1,000,000 sound wave and the 2,000,000 when the frequency of sound wave is similar frequently frequently, the crossing of two ripples can be near the right angle.Because acoustic streaming speed is the function of frequency and intensity, so dissimilar frequency and intensity can generate with other angle.
[207]Selectively, can be based upon relatively moving between substrate and the vibrating mass, with the relative velocity on the magnitude that is created in above-mentioned fluid velocity.Relatively move can be straight line or the angle under rotation situation.The energy transmission of passing substrate that any of these means can cause strengthening, wherein the thickness of substrate not with above-mentioned apply million frequently the approximate even-multiple of 1/4 λ of acoustic wave energies do not conform to.
[208]In another embodiment, can move or vibrate for from million incidents of acoustic wave energies frequently of vibrating mass with first substrate of angle orientation.This mobile can the appearance along x and y axle.This mobile can being illustrated among Fig. 6 D, wherein the first substrate 600a is changed or " waving " with respect to the angle of second substrate for same vibrating mass 606 with respect to the vibrating mass angle.As the result of this " waving ", pass this first substrate transmission and change with the synthetic energy field that contacts second substrate.
[209]This variation of field can comprise the variation in intensity and orientation.When first substrate had the thickness of the quarter-wave even-multiple that is similar to million frequency acoustic wave energies, the synthetic variation of field can be obvious especially.The field that changes causes energy inswept continuously on the surface of passing second substrate basically.Second substrate can be any thickness, perhaps even be parallel to vibrating mass location.Energy field inhomogeneities thereby can be on the whole time move around makes the time average field of generation more even basically, and this may cause more uniform processing again under certain conditions.
[210]Although the vibration substrate is expressed as the tabular object of uniform thickness in Fig. 6 D, the vibration substrate can have the thickness of variation.It can be shaped as recessed or the lens-shaped structure.In addition, be parallel to the vibrating mass maintenance although be expressed as, first substrate can perhaps allow to swing on a certain angular range with the angle orientation of a certain selection.
[211]Another benefit that directly contacts between substrate and vibrating mass is, the thickness sum of only requiring substrate and vibrating mass is approximately equal to the million odd-multiple quarter-waves of acoustic wave energies frequently.Thereby when substrate thickness changed, the thickness of vibrating mass can easily be conditioned so that compensate.This is avoided the generation frequency that will look for novelty, new generation frequency may be difficult to now to realize that maybe cost is effective.
[212]Among another embodiment that represents in Fig. 7 A, energy is transferred to the thin flat plate 704 through solid wedge 702 from piezo-electric crystal 700.With suitable angle, the expansion or the Longitudinal Pressure Wave that are produced by the piezo-electric crystal that is installed on the vibrating mass transmit through solid wedge, and convert shearing or surface wave in thin solid slab.When the thickness of contact plate was on a wavelength or littler magnitude, surface/shear wave was called the Lamb ripple sometimes.For thicker plate, these surface waves can be called the Rayleigh ripple.For having one deck multi-layer sheet thinner than one deck, the synthetic surface ripple can be called the Love ripple.Wave mechanics can be different under every kind of situation.
[213]Have in addition along the distortion and the flexural wave of the length transmission of plate.Every type ripple has its oneself particular surface to move, and this surface is moved and can be converted the different power that is applied on the surface particle to.Although other length and thickness may be effectively for handling, in order to make for different purposes along dull and stereotyped energy transmission maximization, its thickness and length generally should control to the quarter-wave long value of certain multiple.
[214]For the wedge of describing in Fig. 7 A/dull and stereotyped embodiment, substrate 708 to be cleaned or that handle is held in the oscillating plate parts and parallels, and remains on the separating distance place that forms the gap.Gap between substrate and vibrating mass is filled with treat liquid.Energy is transferred to the substrate through liquid 706 from vibrating mass.Ideally, the gap should apply million frequently on the magnitude of even-multiple 1/4 λ of acoustic wave energies.In practice, because the gap is filled with more incompressible liquid, its thickness can change widely.Selectively, substrate can directly contact with the panel vibration parts.
[215]When wedge has hollowed out passage 710 and washed with cooling fluid, the other advantage that a kind of like this wedge is arranged appears, as representing among the embodiment of Fig. 7 C.Piezo-electric crystal is heating during operation often.The efficient of energy coupling is low more, and operating temperature is high more.And the higher power density that applies often produces higher crystal operation temperature.Because making, the different expansions between various adhesive materials and piezo-electric crystal and vibrating mass, higher operating temperature more are difficult to keep appending to piezo-electric crystal on the vibrating mass.Under excessive temperature, crystal even may discharge the ability that it works.Therefore the efficient way of cooling off them during operation is important.
[216]As the selection example for the cooling duct in wedge, the cooling structure of another kind of type can append on one of surface, and a kind of so selectable cooling structure can comprise Peltier (heat-) cooler or certain other no liquid device.
[217]
[218]Be illustrated in according to other embodiment of the present invention in the simplification cross-sectional view of Fig. 7 D, wherein piezo-electric crystal 700 is placed on the many sides 702a-b that wedges meter 702.Crystal can be with identical or with different frequencies operations.The variation of the operating frequency of these crystal can cause strengthening the energy field uniformity on the whole time.
[219]Although the embodiment of Fig. 7 A to 7D represents single wedge device, the present invention does not require this point.Second device 703 may exist, as showing in the simplification cross-sectional view of Fig. 7 E-F.In Fig. 7 E, directly add the second wedge device 703 704 times at the first wedge device 702 and transmission board.In Fig. 7 F, the second wedge device 703 can be placed on the opposed end of transmission board 704, makes substrate between them.
[220]Selectively, people can be combined wedge device and plate vibration parts.When being used for the substrate processing, a kind of like this combination of wedge device and plate vibration parts can produce unconventional acoustic wave energy pattern.These patterns can comprise and cause complicated wave form and complicated interactional surface and pressure wave between waveform and contaminant particle simultaneously.
[221]Although the shape of cross section of the wedge device of the embodiment of Fig. 7 A-F is expressed as right angled triangle, this neither be by of the presently claimed invention.For example, can select embodiment according to one, the cross-sectional profiles of wedge device can present another of two angles of 30 ° and 120 °.
[222]Moreover although the cross-sectional profiles of the wedge device of the embodiment of Fig. 7 A-F is expressed as triangle, this neither be by of the presently claimed invention.For leave crystal, through the surface with the energy waves of a certain non-zero angle effect, other shape may be favourable.Can not only use less than 30 ° or greater than 60 ° angle, and as shown in Fig. 8 F-H, even can use 90 ° angle under certain condition.
[223]Such wedge arrangements can present by the irrealizable several useful properties of conventional plate vibration parts.At first, the energy intensity of each crystal is singlely exported than macro-energy being used for being combined on the front of energy transmission.
[224]The second, can on the target front, scatter more equably from the energy of each crystal.Although generally greater than the area of each crystal, it also can be less.Thereby can differ widely with the energy density on each crystal at the energy intensity on the active front (energy density).This is with wherein frequently the acoustic wave energy under the frequency of sound wave is opposite by well collimated and the conventional plate vibration parts that reduce rapidly outside the edge (habit) of energy emission by plate million.
[225]The 3rd, might under similar or different frequency, operate each crystal, cause being used for the combined waveform that substrate is handled.Conventional piezo-electric crystal causes the various wave interferences near and far field to interact in a large amount of separation of excitation time image and unique vibration point source effect.When the output wave from each or a plurality of crystal passes suitable angle when changing by pattern, as in the wedge-like structure, think and perhaps in fact can have a few more as the uniform point source collection that under condition, acts on by the combined waveform domination from the generation actuation surfaces of its emission acoustic wave energy.
[226]And bar only is provided for and the contacted very little cross-sectional area of vibrating elements, so that along its length transmission energy.The requirement of a kind of so very little energy transmission cross section, vibrating elements are with high-energy-density or intensity operation, so that transmit enough energy to finish processing along bar.
[227]The meter that wedges of the present invention is opposite with United States Patent (USP) 6,463,938 (" ' 938 patent "), and wherein the dilatational wave from the piezo-electric crystal on the end that appends to elongate rod transmits along the length of bar.Just bar rather than plate only can appear at the very little interaction area between bar and the substrate at any time.In ' 938 patents,, must rotate substrate in order to handle or cover whole substrate surface.
[228]Yet,, do not need substrate to rotate for the embodiment of present invention.Fig. 7 B represents such simplification cross-sectional view of selecting the embodiment that rotates.
[229]For the meter that wedges that produces shearing or surface wave, not only different waveforms is used for transmitting energy, and the very large contact surface between substrate and vibrating mass is long-pending also can exist.Although the rotation of substrate or move or the rotation of vibrating mass or move and might strengthen processing yet, it is not requirement.
[230]According to the embodiment of selection of the present invention can utilize be used for contacting with vibrating elements than high surface area, much bigger vibrating elements or even with than the several vibrating elements of low energy intensity or density operation or the combination of these aspects.Vibrating elements than low energy densities operation generally convert to require less cooling than low operating temperature.
[231]' 938 patents have been described and have been utilized the bar of different shape that energy is transferred to substrate surface from piezo-electric crystal.Yet, according to embodiments of the invention, can use various shapes, comprise various elongated transmission parts.
[232]For example, Fig. 7 G, 7H, 7I, and 7K in, the cross section of transmission part is leg-of-mutton.In Fig. 7 G, each of two inclined sides (tilting with respect to substrate surface) applies the angle orientation of transmission that acoustic wave energy passes the thickness of substrate 708 may actually strengthening.Under certain treatment conditions, the oblique incidence of energy may cause the damage of better cleaning or reducing.
[233]In the embodiment of Fig. 7 H, not as in the embodiment of Fig. 7 G, crystal directly to be appended on the leg-of-mutton top, but wedge device 702 is contacted with the top surface of delta-shaped members 720.The transmission part 722 that shows in Fig. 7 I has and the similar shape of cross section of representing in Fig. 7 G, it is lopsided on one or two that difference is that crystal 700 appends to, and the 3rd limit is rotated 180 ° and maintenance and parallels with substrate surface 708.In the embodiment of Fig. 7 G parallel with substrate surface but with the flat limit that it separates, replace near substrate surface location.
[234]In the embodiment of Fig. 7 J, use the transmission part 72 that presents " w " shape.According to this embodiment, crystal 700 can or directly append on the parts 724, perhaps appends on the wedge, and this wedge appends on these parts.
[235]Although the embodiment of Fig. 7 G to 7J has represented to present the energy transmission member of triangular cross section, this is not by of the presently claimed invention.Other shape also may be useful.Other shape like this can comprise triangular shaped (Fig. 7 JC) that makes a bight rounding or flatten, and perhaps can comprise the cross section that has as the recessed and/or epirelief part that shows among Fig. 7 JB and the 7JD.
[236]Most conventional batch-type million acoustic systems is frequently utilized the plate vibration parts, and these plate vibration parts have the piezo-electric crystal on the cell wall on the flat board that appends to suitable thickness or that append to suitable thickness.Traditionally, these vibrating mass surfaces that fluid is handled in contact are smooth peace.When the energy transmission of substrate is passed in hope, may wish for some purposes non-tablet design.Under these circumstances, the surface of the vibrating mass of contact processing fluid (dull and stereotyped or inboard cell wall) can have into the cross section of profile.
[237]For example, the cross section of representing in Fig. 7 JB and 7JD can extend to much extensive structure.Multiple other profile is receivable.
[238]Under these circumstances, generate the oscillating plate surface and may seem to have sawtooth or washing board (ripple) cross section." fluctuation " surface of repeating can make fluid be pushed away the oscillating plate surface with various angles.Such one-tenth profile cross section also can be applied to the wedge type device, is not only the plate vibration parts.
[239]At first glance, structure have a mind to the vibrating mass of produce power and fluid field of flow interference figure may be seemingly and counter-intuitive.In particular, prior art generally emphasizes to make energy to interfere minimum design.In according to certain embodiment of the present invention, the design of having a mind to produce interference image is receivable, and or even preferred.Yet, owing to set up so various fluids and sound wave interference pattern, so apply the frequency of acoustic wave energy and the variation of intensity can be used for making " effectively " energy field more even for the substrate processing.Apply and in chapters and sections C, discuss below the use of this variation of acoustic wave energy.
[240]According to other embodiment of the present invention, transmission part can be constructed porose or passage, to allow and the discharge that applies processing fluid simultaneously from the acoustic wave energy of single or multiple nozzles or jet.Such an embodiment shows in simplifying cross-sectional view the structure 754 as Fig. 7 K.The fluid that leaves hole 750 as these jets 752 is activated by ultrasonic wave.Go through the design that is used for the sound wave nozzle below, comprise the sound wave nozzle that utilizes the wedge structure.
[241]Various other shapes that are used for the energy transmission are possible, as long as energy can easily be transferred to parts, and do not force energy only to transmit through the cross section of bar along the length of bar uniquely, as for ' 938 patents.
[242]In another embodiment, handle fluid and be applied on the substrate through million frequency sound wave nozzles, this million frequencies sound wave nozzle is arranged to selected angle million frequency acoustic wave energies are transported to substrate surface.When suitable angular range is selected, when substrate is partially immersed in when handling in the fluid at least, the remarkable energy that can pass substrate transmits.Selectively, when not submergence of substrate, when perhaps contacting with support component, with suitable angle from million frequently the sound wave nozzles million frequently applying of acoustic wave energies can cause the wave mode conversion.The expansion or the pressure wave energy of sound wave nozzle convert surface wave in substrate frequently to leave million.According to frequency and substrate and/or support thickness, these surperficial wave energy have the form of Rayleigh, Lamb or Love ripple, and contact Fig. 8 A describes below the last type of waveform.
[243]A kind of purposes that can be benefited from the million this pattern conversions of acoustic wave energies frequently be that two brushes are scrubbed, and wherein two brushes 450 and 452 stride across substrate directly toward each other, as representing in the simplification cross-sectional view of Fig. 4 C.In the purposes of previously mentioning for the single brush embodiment that in Fig. 4 A, represents, million frequently acoustic wave energies pass substrate and directly be transferred in brush/substrate contact area and be transmitted in simultaneously on these regional both sides.For two brush examples, the substrate energy transmission of passing of same way as may not take place.
[244]Therefore in order to be transported to million frequency acoustic wave energies of brush/substrate/brush contact area simultaneously, utilize million frequency sound wave nozzles 456.Nozzle 456 is directed to the surface of substrate 400 with the angle between first and second critical angle, and wherein the pressure wave in leaving the liquid stream of nozzle is converted into shearing or surface wave in substrate.These shearings or surface wave import in brush/substrate/brush contact area then.This scrubbing action that allows acoustic wave energy and two brushes on single substrate or substrate region time local the introducing.
[245]In order to cover whole contact area, one of adjacent brush of nozzle energy moves forward and backward, and perhaps can use a plurality of nozzles.Nozzle can be in the face of the top or the lower surface of substrate, and perhaps a plurality of nozzles can be directed to simultaneously two surfaces.Fig. 4 D represents the simplification cross-sectional view of a kind of selected embodiment of treatment facility, and wherein the liquid that is applied by nozzle 456 is by removing by exporting 458 suction.
[246]A kind of selected embodiment of ultrasonic nozzle is illustrated among Fig. 4 B, and Fig. 4 B describes to make liquid 401 to leave porous or liquid can be through the broad active region of transducer plate 460.In this embodiment, liquid pumps in the hollow section 462 of oscillating plate 460, and forces and go out through a plurality of apertures or opening 464 simultaneously.The thickness of the outer surface of plate 460 is chosen to the quarter-wave even-multiple of acoustic wave energy, to guarantee the remarkable transmission from the energy on the surface that is immersed in the vibrating mass in the liquid.When incomplete submergence, perhaps when vibrating mass surperficial left in the high-speed jet of fluid, fluid when it leaves cavity by the local excitation in sound wave ground.Fluid can leave perpendicularly or at an angle with the vibrating mass surface, passes the transmission of substrate energy or realizes more effective processing with enhancing, as shown in Figure 87.
[247]In according to embodiments of the invention, needn't be chosen to the even-multiple quarter-wave to the fluid gap thickness in vibrating mass.Yet, when gap narrow (being several wavelength thicknesses), can strengthen the energy transmission of passing the internal liquid layer with a kind of like this design of the use of plate vibration parts.
[248]Hole in vibrating mass can have Any shape, and it usually is preferred causing leaving the shape that the maximum stable of fluid flows.Shaped hole flows with air force ground enhance fluid and makes the mixing in fluid flows and the generation minimum of turbulent flow or eddy current, also may be preferred.In addition, also can adopt and during handling, be used for liquid is inhaled various port from substrate surface.
[249]Although so far vibrating mass has been indicated as slab construction, this is not by of the presently claimed invention.Also can utilize wedge, as showing among the selected embodiment of Fig. 4 F with triangle or polygon edge polygon cross-sectional profiles.
[250]Although and the cavity in vibrating mass generally depicted rectangular shape as, this neither be by of the presently claimed invention.Can utilize the shape of other various sizes.
[251]Moreover, for as energy waves wherein with angle rather than the non-flat tabular vibrating mass structure that vertically intersects with the surface, as the wedge device, the thickness at the top of fluid cavity needn't be as having even-multiple quarter-wave thickness for the suggestion of plate vibration parts.
[252]Fig. 4 G shows the simplification cross-sectional view based on the narrow regional ultrasonic nozzle 480 of wedge arrangements.Fluid remains under the stable flow regime when its direction is changed in nozzle, and the sound wave that causes leaving injection activates.Wide regional arrangement of nozzles also can be shaped on similar design.
[253]Although and by million frequently the front left of the energy that applies of acoustic wave sources or side (" activating positive ") generally depicted as flatly, this is not requirement.Various profiles are possible.For example, in big or zonule sound wave nozzle, the 3rd front of wedge device can be crooked rather than flat (straight).A kind of so crooked front may help lend some impetus to stable flowing.Thereby to activate the front can be all or part of flat, recessed or epirelief with constant or variable radius of curvature serves.
[254]Utilize the notion of wedge or device be adjusted to million on the plate frequently another embodiment of the incidence angle of acoustic wave energy show in Fig. 8 A.Substrate 802 and oscillating plate 804 are in tight contact and under suitable angle, to obtain from vertically to the pattern conversion of shear wave.When shear wave was formed in the multilayer vibrating mass that comprises thin and thick section, it concentrated in the thin layer, and called after Love ripple.Treat liquid is ejected on the substrate surface, and permission forms unique surface energy pattern and interacts with contamination particle.Can select embodiment to comprise the discrete cooling-part 806 (Fig. 8 B) adjacent, and wedge is designed to comprise the hollow or fluid filling channel 810 (Fig. 8 C) that is used to cool off with wedge.Selectively, can construct vacuum cup arranges and (hole compound) to contact with the good mechanical of vibrating mass to keep substrate.
[255]Utilize another embodiment of wedge device notion to show in Fig. 8 D, wherein piezo-electric crystal 812 append to simultaneously wedge 8xx more than on the side.Substrate 802 is contacted closely with the 3rd front (hypotenuse) 804a of triangle wedge device 804.The dilatational wave that is produced by crystal converts the surface wave that carries out the substrate processing equally to.Can produce composite wave-shape by a plurality of crystal on each of adjacent side with the different frequency operation.Handling fluid can be applied on the processing front by injection, mist or immersion at least.
[256]Utilize the other embodiment of wedge device to show in Fig. 8 E, make at two surperficial 804b-c directly to contact with groove 814 with crystal on the 3rd front (hypotenuse) 804.Acoustic wave energy is transferred to the treatment trough from the wedge device easily.As shown in Fig. 8 E,, can use any amount of crystal by making wedge " thicker " or darker.Tool is with almost the crystal of any aspect ratio can be directed on any direction on any wedge front.
[257]As former narration, make cell wall or even one of the advantage that directly contacts of substrate and vibration be, the thickness of compensates for substrate easily, with generation pass substrate or enter container handling or groove in the ceiling capacity transmission.
[258]According to an alternative embodiment of the invention of representing in the simplification cross-sectional view in Fig. 8 F, groove or container can contact the side (top or bottom) of wedge device, rather than contact the 3rd front as in the embodiment of Fig. 8 E.In such an embodiment, crystal 812 can be arranged on a plurality of fronts or side of wedge 804.In the embodiment of Fig. 8 F, energy unexpectedly flows into the wedge perpendicular to the plane of expansion of sending from crystal or pressure wave, and in the groove of putting on flowing into then 814.For processing, can utilize injection, immerse or with the contacting of groove.
[259]Although the embodiment of Fig. 8 F represents crystal on two sides, this is not by of the presently claimed invention.Selecting among the embodiment according to of the present invention, crystal can be only on a side, perhaps on demand on three or more side.
[260]According to an alternative embodiment of the invention, the lower surface 804d of the modification wedge device 804 that shows in Fig. 8 I places abreast with the top or the basal surface that rotate substrate 802.Side and the lower surface that the wedge of crystal wherein is installed meet at right angles (90 °).Make lower surface 804d and substrate 802 more close to each other, make the gap be filled with the film 880 of treat liquid.
[261]According to another embodiment of the present invention that in Fig. 8 J, represents, make crystal append to lower surface with the substrate structure on the angle angled side walls outside in the embodiment of Fig. 8 I, represent 90 °, be positioned at the substrate top.The acoustic wave energy that sends from crystal clashes into wedge with angle bottom.Oblique incidence converts the dilatational wave from crystal on wedge bottom surface wave equally.Surface wave passes thin liquid level or meniscus and substrate then and interacts.
[262]According to another embodiment of the present invention, in the transmitting device design, can use the shape except that the wedge of triangular cross section.Fig. 8 G shows the polygonal shape 882 that is equipped with a plurality of crystal 812.Energy can directly be transferred to the groove from the side of installing as expressed.
[263]Although the embodiment of Fig. 8 G represents crystal and is installed in and applies on the surperficial rectangular edge of sound wave that this is not by of the presently claimed invention.Replace, the edge can be constructed with the side angledly, as the expression among the embodiment of Fig. 8 H, may allow the transmission of the top side 882b of more energy auto levelizer 882 thus.
[264]Fig. 8 LA-B represents respectively according to the solid of the selected embodiment of a kind of vibrating mass of the present invention and edge view.Fig. 8 LA-B represents the side of energy transfer surface energy extend through polygonized structure, extraneous vibration parts on this side.And it is regular that polygonized structure needs not to be.Each side can have different length.
[265]Thin therein liquid film or change liquid level are formed on lip-deep disclosed this of substrate and implement in further the improvement with other, and gas atmosphere can be filled with various materials with the enhancing processing.For example, in order to remove organic granular, the ozone gas physical efficiency is incorporated in the gas compartment.Ozone molecule can easily be passed in the lip-deep liquid level diffusion of substrate then, and with surface or pollutant reaction from the teeth outwards.
[266]In order to strengthen the speed of ozone delivery, the gas phase of pressurizeing.A kind of like this means are opposite with the conventional industrial process of operating under atmospheric pressure.
[267]Replace ozone, the peroxidating Hydrogen Energy is added in the treat liquid, perhaps is ejected on the thin liquid film on the substrate surface.Also can select other processing chemicals, as organic acid, inorganic acid, alkali, oxidant, reducing agent.They can be in the form of solid, liquid or gas.
[268]When the introducing of acoustic wave energy combined frequently with million, the liquid rete can be broken and promptly mix, and increases the transmission on the surface of gaseous matter from the atmosphere to the substrate, no matter still operate under balancing Atmospheric pressure at rising pressure.Million frequency acoustic wave energies also can help to remove from substrate surface the material of release.A kind of like this processor is helpful in the time of should being applied to a plurality of treatment step, but convenient especially when the process that is used for such as photoresist is peeled off.In addition, million frequently acoustic wave energies apply the formation that causes the hope chemical substance in handling fluid or on substrate surface.
[269]The thickness of vibrating mass and substrate can or be provided with by other constraints domination.If the combination of their each thickness is not added to the hope thickness that is used for the good energy coupling under given frequency, then may wish regulating frequency.Routinely, realize on these can only be around the various harmonic frequencies of piezo-electric crystal than close limit, to produce the million hope odd-multiple quarter-waves of acoustic wave energies frequently.For by Fremont, million sonic generators frequently that the PCT Systems Inc. of California makes, however according to embodiments of the invention, the frequency adjustment to a certain degree that adapts to each crystal of small thickness difference or variation is possible.For the multiple conventional fixed frequency system of the generator that uses the RF output that can not change for each crystal, such adjusting may be impossible.
[270]In some purposes, it may be receivable lacking than the optimum capacity transmission, and has only the portion of energy transmission may be suitable for handling.For example, under the situation of optimum capacity transmission, very close to each other or space existence between vibrating mass and substrate.Yet in fact, because the Machinery Design that machine tolerance or requirement separate, some gap or space may exist.In such purposes, may be particularly importantly, gap or space should not be filled with compressible fluid, as gas.
[271]When the inevitable gap between vibrating mass and substrate or space are filled with comparison incompressible fluid such as water, receivable energy can take place usually transmit.In using the purposes of incompressible fluid relatively, that the gap can become is very big (>1m), and still show significant energy transmission, particularly for the fluid that comprises than the dissolved gas of low value.Fig. 6 A is the representative of a kind of like this embodiment.
[272]In practice, the gap between vibrating mass and substrate can be filled with the solution of the dissolved gas that comprises significant quantity.When applying million frequently during acoustic wave energies, because reduce the pressure area on the pressure wave trailing edge, some of this gas are disengaged with the form of bubble.
[273]These disengage bubble and may disturb the optimum capacity through solution to transmit.Yet for the high strength energy hunting that the crystal operation by means of multiplication occurs, bubble may be " pushed " out sound wave path effectively, thereby runs into seldom problem for such solution.
[274]In history, high fluid velocity has been used for attempting bubble is scanned out the activity energy field, so that strengthen the energy transmission.Yet, only obtain limited success based on such design of forcing high fluid velocity.Very large fluid velocity can be used for removing some bubble from excitation/vibration surface.If it is too big that fluid velocity becomes,, then may influence energy transmission unfriendly through fluid if perhaps in fluid, produce big turbulent flow or eddy current.
[275]Thereby in another embodiment, system operates to overcome these restrictions under increase pressure.The operating pressure that increases works, with the volume of any bubble of reducing to form with increase to the concentration that may be dissolved in the gas in the liquid under the fixed temperature.Therefore, often less by any bubble that million frequency acoustic wave energies form, and more promptly force and get back in the solution.This causes the better energy transmission, and also can cause more uniform processing, particularly when the active gases substance dissolves is in liquid.
[276]As a result of, can effectively utilize solution now with high dissolved gas content.These high gas concentrations can strengthen chemism.In addition, they can promote to have the increase microscopic cavities that helps the substrate cleaning.And, increase pressure and can force solution to enter than in the independent littler slit that allows of the surface tension of fluid under atmospheric pressure.
[277]In another embodiment, in the occasion that substrate and vibrating mass closely contact, electrochemical reaction can with million the applying simultaneously or carry out discontinuously of acoustic wave energies frequently.And separate with vibrating mass and be immersed at substrate and have million occasions of sound wave incident angles frequently that allow to pass substrate or pass electrode energy transmission in the fluid, electrochemical reaction can million frequently during the applying of acoustic wave energies simultaneously or carry out discontinuously.Under any situation, the energy transmission of passing substrate and/or passing electrode may be important so that small diameter bore, passage, and narrow ditch in the fluid communication maximum, to produce more uniform processing.
[278]Below chapters and sections D present according to embodiments of the invention carry out substrate electrochemical treatments ultrasonic energy apply go through.
[279]In some of above-mentioned various enforcements, may be preferably, substrate front side, rather than rear side, contact vibration parts.Moreover when complete submergence substrate, substrate front side or rear side can deviate from vibrating mass.These structures may be important, wherein pass the substrate energy and transmit the surface voids that helps to remove such as passage and ditch.When energy when the rear side of substrate enters, pass the transmission of substrate energy and have the front side that helps pollutant is released substrate.On the contrary, for the energy conventional treatment parallel with substrate surface wherein, the result is very little in pit or hole or do not have cleaning action because these features and energy flow into location squarely.Moreover for the conventional treatment that wherein vertically is orientated energy with substrate surface, major part applies energy and may may deeper push pollutant in hole and the slit to the vibrating mass reflected back.
[280]As mentioned above, suction can or apply simultaneously with million frequency acoustic wave energy processing sequences.When fluid is directed to substrate surface (a plurality of feeding nozzle) and when (single or multiple suction nozzle) removed in suction, has big possibility can exchange easier or more continually at the ditch and the fluid in the passage of high aspect ratio.Two processing that effect can both cause strengthening.
[281]According to according to the embodiment that selects of the present invention, million frequently acoustic wave energies can pass the substrate transmission at first in one direction, and on another direction, pass the substrate transmission then, thereby with the both sides of energy and momentum treatment substrate.For example, United States Patent (USP) no.6,098,643 (" ' 643 patent ") comprises by reference thus for all purposes.
[282]' 643 patents have been described and can have been revised the groove that passes the transmission of substrate energy with generation.Be positioned at substrate in the groove can make its orientation in horizontal plane from 90 ° of the azimuth rotation ' 643 patents, represented.For from the end of substrate carrier pass the transmission of wafer energy, million acoustic wave energies thereby with the surface of suitable angle bump wafer frequently replace energy and guide with substrate surface along the length of substrate carrier with paralleling.
[283]When at first from a side of the side of V shape base channel and then from opposite side sequence starting piezo-electric crystal, million frequently acoustic wave energies at first from an end of carrier and pass wafer from the other end then.Thereby the both sides of substrate can be handled by million frequency acoustic wave energy trains of pulse with being equal to.This can be used for the batch process of single-chip and polycrystalline sheet simultaneously.
[284]As described above, energy certain damping characteristic when it passes the space of each substrate and separate substrates is arranged.Therefore, energy density needn't be constant from the end to end of box.
[285]The both sides of substrate are all used in million layouts that frequently train of pulse of acoustic wave energies is handled therein, and the substrate at each end place of box receives the high-energy-density train of pulse from a direction, and receives the low energy densities train of pulse from another direction.This is the acoustic wave energy that all applies of homogenising (even out) often.For the most effective processing, may wish to use to be kept to less than 50 or even the carrier of 25 substrates.
[286]Be not limited to use million frequency sonic generator or operator schemes of any type according to embodiments of the invention.For example, million frequently sonic generators can be wherein piezo-electric crystal by single startup or several by simultaneously or the type that starts with repetitive sequence.Million frequency sonic generators can produce with fixed frequency, programming variable frequency or random fluctuation frequency.Although thereby the above embodiment that is described in the operation in the V shape base channel refer to specially sequence starting on groove one side a transducer and leave the transducer of the opposite side of groove then, other operator scheme also is possible.
[287]In some purposes, to handle fluid and can keep at high temperature, this high temperature can damage million frequency acoustic wave transducer or its addition methods, perhaps can cause million bad frequency acoustic performance.In such purposes, can adopt the direct cooling of piezo-electric crystal.This direct cooling of piezo-electric crystal also may be useful under the situation that requires very high million frequency acoustic wave energy density, and very high million frequency acoustic wave energy density can cause very high operate both transducers temperature, cause equally damaging or bad performance.
[288]Fig. 9 A and 9B show two embodiment of the direct cooling of transducer, and wherein cooling-part 904 usefulness adhesives or other adhering device, clamping and other holding device of fluid filling append on the rear side of piezo-electric crystal 906.Be transferred to the amount minimum of acoustic wave energy (and perhaps losing) frequently of million in the cooling-part in order to make in cooling fluid, being chosen to the thickness of the shell of cooling-part approximate is the even-multiple quarter-wave of ultrasonic energy.
[289]In practice, if the thickness of shell near the odd-multiple quarter-wave, certain loss then may occur potentially, enter the energy transmission of cooling-part.Even so, some part that is transferred to the energy in the cooling-part may be returned the vibrating mass from the air on the cooling-part rear side-shell boundary reflection, makes the actual loss minimum of million frequency acoustic wave energies.
[290]The shell material can comprise multiple material, includes but not limited to metal, plastics and compound and combination thereof.General in the time between cooling-part and transducer body, guaranteeing good electric insulation, because the general high thermal conductivity of multiple metal, so metal may be preferred.Non-conductive shell material usually can be placed to the transducer direct physical and contact, and need not separate their any auxiliary electrical insulating barrier or film.
[291]Cooling in cooling-part or heat-transfer fluid also can comprise multiple material, include but not limited to conduction and non conducting fluid.These fluids can be introduced as gas, liquid or solid.They can remain under its original state, and perhaps when from transducer absorption heat, they can experience phase transformation.And they also can be included in commercial processing and the flush fluid that uses in semiconductor processes and other industry.Perfluorinate fluid such as the Galden that is made by 3M can perhaps can be directly injected on the back side that exposes transducer body as the fluid through the cooling-part circulation, causes the quick cooling of transducer.When the structure that tackles with respect to projectile energy angle presentation (promptly except that the plate vibration parts),, change the restriction of thickness when using when promoting significantly to pass the condition of substrate energy transmission.
[292]In the embodiment of Fig. 9 A-B, and for disclosed various other embodiments, consider physical parameter, as Young's modulus, density, and the speed of sound in different materials, the stricter discussion of this theme may comprise the impedance term and be not only thickness calibration.
[293]Processing according to the embodiment of the invention may appear in the single chamber for single treatment step, perhaps can comprise a plurality of treatment steps for various chemical property.Selectively, processing may sequentially be carried out in a plurality of chambers.Processing can comprise wet processed, dry process, reach the combination of wet type and dry process.
[294]Be coupled with million frequency acoustic wave energies, various emission types may be useful for different purposes.The type that applies radiation includes but not limited to: microwave, ultraviolet ray, infrared ray, and electromagnetic induction.In another embodiment of the present invention, radiation can heated substrate or the treat liquid on substrate surface, thereby promotes reaction more rapidly and needn't heat the entire process chamber.Microwave, infrared ray, and electromagnetic induction when heat treated liquid or substrate, may be useful.
[295]In another embodiment, radiation may promote the specific reaction at the substrate surface place.In a kind of like this means, ultraviolet radiation apply the advantage that can provide specific.Oxidant can use with radiation combinedly, to strengthen the degeneration or the modified surface of amounts of residual contamination do.The example of useful oxidant includes but not limited to ozone, hydrogen peroxide, reaches nitrogen oxide.
[296]In another embodiment, the residual process liquid on substrate surface can be vaporized from the surface by million interpolations of acoustic wave energy frequently.A plurality of about other embodiment, residual process liquid can be in droplet or even the form of the film on covering substrate surface.In some cases, may also be filled with treat liquid in the very little cavity in substrate.Have been found that the film of liquid or droplet can promptly be vaporized, and make the substrate surface drying by apply million frequency acoustic wave energies directly or indirectly to substrate.In chapters and sections E, provide below according to the embodiment of the invention and apply acoustic wave energy to realize the detailed description of substrate drying.
[297]In chapters and sections F, provide according to the embodiment of the invention below and apply the detailed description of acoustic wave energy to realize that other processing applies.In chapters and sections G, be provided at the detailed description of using dissimilar fluids in the million frequency sonicated below.
[298]For the purpose of present patent application, term " wedge device " can be defined as and comprise the device with various cross sections.For example, the wedge device can comprise the triangular cross section with three fronts and two sides (top/bottom), perhaps can comprise the polygonized structure with a large amount of fronts.
[299]Particularly under the situation of wedge device, from front that its energy sends or the side manifests and the alike more even vibration patterns of row's point source sequence starting, rather than as more typical in the plate vibration parts point source of a plurality of random starts.This specific character can convert the more uniform treatment for some substrates in various containers and support structure to.
[300]Energy in the wedge device often is dispersed on the whole surface.Therefore, the sequence starting of a plurality of crystal on one or more sides of device can cause covering substantially the energy waves in interested whole wedge/front.Can cause the doubling generator design of a kind of like this layout, wherein crystal is by sequence starting, and the picture that seems uses the generator of identical total wattage output, and this generator makes all crystals encourage continuously with the energy density that reduces.Replace and produce continuous energy output, a kind of like this layout causes the Continuous Energy pulse, and this Continuous Energy pulse has and equals each crystal in the duration that switches to the time span that is activated before the next crystal.
[301]For million frequency acoustic wave energies through the fluid transmission, when crystal at first was energized, the initial magnitude of pressure wave increased to maximum, and reduced on the remainder of energy pulse according to the pulse duration then.In pulsation described above, " logical all the time " characteristic thereby to handle for substrate may be useful, at each logical duration continuous power and peak value fluctuation are arranged for the multiplication of the crystal of wedge device for each crystal.
[302]In order to prevent energy dissipation from single crystal on the whole bottom of groove or container handling, the wedge device can be by a plurality of narrower wedge device elements 804 rather than single than the bigger device structure, as showing in the side view of Fig. 8 KA-C and the stereogram.By means of the small air gap between adjacent wedge device 804 or littler, can make from a device element to Next energy transmission minimum, thereby remain on higher energy density on each particular by means of dynode system than the Best Coupling between them.Certain suitably restriction transmission (energy is overlapping) by means of between adjacent part does not have complete dead band (non-uniform areas) between element, this complete dead band influences local substrate unfriendly and handles.
[303]Usually, the sound wave nozzle is used for being directed at very little, the motive fluid stream at non-immersed surface place.This means are successful, and wherein nozzle is relatively more close surperficial, and can be implemented in continuous liquid post or stream between nozzle and the surface.Yet, generally cause not enough energy transmission greater than several centimetres separating distances.
[304]According to the embodiment that selects of the present invention, nozzle can be included in the processor of complete submergence.Thereby not only million frequency acoustic wave energies are transferred to substrate from vibrating mass, and between produces significant high fluid velocity distribution.In some cases, million frequently acoustic wave energies follow fluid pulse from jet, just as for million sound wave nozzles frequently.This combination of million frequency acoustic wave energies and high partial fluid speed can strengthen processing under certain conditions widely, those that particularly wherein a large amount of transmission are major issues.The example of handling as the result's of high fluid velocity enhancing, even lack million interpolations of acoustic wave energies frequently, by common U.S. Patent application no.10/150 co-pending, the enhancing speed that 748 photoresist is peeled off is tangible.
[305]The speed of sound in material can be according to the ripple type and difference.For example, expansion or pressure wave usually present the velocity of sound that is similar to twice with respect to shearing in same material or surface wave in multiple material.Thereby for the pattern conversion or the refraction of incident dilatational wave, the speed that generates waveform may be different significantly, perhaps cause different handling properties.
[306]For the wedge device, the expansion or the pressure wave that produce by piezoelectricity and other crystal type, not only angle of running into according to ripple but also the environment that must pass according to ripple can convert various waveforms to.Among the embodiment in Fig. 7 C, for example, even for the fluid fill area, dilatational wave is also activating positive or converting shearing or surface wave in add-in card.
[307]On the contrary, in some similar wedge device shape of the embodiment of Fig. 8 E, energy waves to be leaving this surface with the perpendicular orientation of actuation surfaces, and enters treatment trough.This pattern conversion may appear on the polarizers of big angle scope.
[308]In that the conversion of this pattern on the polarizers of big angle scope may to pass the ability of submergence substrate transmission on much narrow ranges of incidence angles opposed with expansion or pressure wave.For example, separate with extension board, and fluid places on the front of the wedge that directly contact with plate,, then may difficult to calculately pass the generation energy transport-type and the pattern in actuating front if then the shell of wedge is very thin if the fluid of the embodiment of Fig. 7 C is filled wedge.
[309]According to the incident angle of dilatational wave when clashing into the shell of actuation surfaces through the emission of the fluid in wedge, pattern conversion and transmission may all take place.Under a kind of incident angle scope, the energy transmission of passing shell may be main.Under another kind of incident angle scope, may take place to the pattern conversion of surface wave.Under another incident angle scope, the internal reflection of intensity of variation may take place.For a kind of like this structural design of complexity, test may be disclosed in the result who applies acoustic wave energy under the various angles with degree of precision.
[310]Figure 81 shows the simplification cross-sectional view according to an alternative embodiment of the invention, and this embodiment comprises having for passing the single-wafer processor of the directed vibrating mass of substrate energy transmission.The vibrating mass 8100a and the 8100b that are positioned on the opposite side sequentially rather than are side by side encouraged.Substrate 8105 is supported by support portion 8109 in groove 8107.Propagate through fluid 8102 from the energy of each vibrating mass, and with the surface of the angle bump substrate 8104 of the reasonable energy transmission that is suitable for passing it.
[311]The energy that passes substrate transmission to be continuing in the liquid of more straight line on opposite side, clashes into of two reflective side walls 8106 up to it.The energy of transmission is then to the substrate reflected back.Energy is once more with the surface of the correct angle bump substrate that is used for significantly passing the transmission of substrate energy, and passes substrate at the higher point place once more.Energy continues reflection and leaves another sidewall and select the place again through the substrate reflected back at groove Nei Genggao.
[312]Some decay take place when energy passes substrate, and it is further propagated in liquid, and sidewall is left in its reflection at every turn.When energy from the bottom of groove when propagate at the top, the feature that substrate is handled thereby can change.
[313]In order to improve the whole uniformity of processing, during handling, can rotate substrate, thereby the All Ranges of substrate is exposed to similar energy impact.A kind of like this equipment shows the simplification cross section in Figure 82.
[314]Although the vibrating mass of the embodiment that represents in Figure 81 is described as sequence starting, this is not by of the presently claimed invention.Selectively, two vibrating mass can start simultaneously.Can move about with appropriate frequency and power variation by this any synthetic interference figure that produces that starts simultaneously, thereby allow the uniform treatment of substrate.
[315]Although above embodiment is expressed as two vibrating mass are only arranged, this is not by of the presently claimed invention.A plurality of vibrating mass can separate near the height of groove.A kind of like this cross section of design shows in the simplification cross section of Figure 83.This multistage 8300 use of vibrating mass 8302 has reduced in order to realize that more uniform processing rotates the needs of wafer 8304.
[316]Figure 84 and 85 shows according to the simplification of the part of the other embodiment of substrate processing equipment of the present invention and amplifies cross-sectional view.The equipment 8400 of Figure 84 is similar to the equipment of representing in Figure 83, difference is that the simple flat plate transducer has been used with the wedge structure 8404 contacted a pair of transducers 8402 with triangular cross section and replaced.The equipment 8500 of Figure 85 is similar to the equipment of representing in Figure 83, difference is that simple transducer has been used with the wedge structure 8504 contacted a plurality of transducers 8502 with cross-sectional profiles and replaced.The embodiment of Figure 85 produces two incident acoustic wave energy path 8506 and 8508 respectively.Although acoustic wave energy path 8508 can appear at any degree of depth place in the container handling, it also can appear at groove top place gas/liquid at the interface.Thereby substrate when treat liquid withdraws from, can receive acoustic wave energy at it.
[317]Show in Figure 86 according to another embodiment that is used for carrying out a kind of equipment of horizontal processing of the present invention.In the embodiment of Figure 86, substrate 8600 is located relatively near a plurality of vibrating mass 8602, and a plurality of vibrating mass 8602 transmit to be passed the substrate energy efficiently with suitable angle orientation.Gap 8604 between vibrating mass 8602 and substrate 8600 is filled with liquid 8608.The top surface 8600a of substrate 8600 can carry thin liquid level, perhaps is exposed to the environment in processor, perhaps can be used for spraying moistening from the liquid of nozzle 8610.
[318]Substrate 8600 can selectivity be rotated.The front side 8600a of substrate 8600 or rear side 8600b can face vibrating mass 8602.Simultaneously the front side 8600a and the rear side 8600b of treatment substrate 8600.Spray although nozzle 8610 is expressed as the liquid that produces treat liquid, nozzle also can comprise and is configured to the million sound wave nozzles frequently that acoustic wave excitation leaves liquid.Nozzle even can be oriented at promotes to pass operation in the angular range of energy transmission of substrate.
[319]Another embodiment that is used for the equipment that horizontal monocrepid handles shows in the simplification cross section of the embodiment of Figure 87.In particular, the vibrating mass of Figure 86 replaces with the single wide regional sound wave nozzle 8700 with liquid-inlet 8701, thereby in order to pass some energy transmission of substrate 8704, jet 8702 leads with suitable angle.Optionally, substrate can rotation, submergence, be exposed to atmosphere or spray moistening with liquid.The front side of substrate and the processing of rear side can take place simultaneously.
[320]When the gap between wide regional sound wave nozzle and substrate not exclusively was filled with liquid, energy can be through various liquid jet transmission.Energy is propagated through the liquid in jet stream, as long as jet stream is included in the continuous liquid stream between vibrating mass and the substrate.When the gap complete filling has liquid, by to the direct transmission of substrate the energy transmission taking place by means of the transmission of the energy of jet with from the vibrating mass surface through filling liquid.
[321]The physics liquid flow collimator apparatus that adds the near field to can be revised the handling property of million frequency acoustic systems.A kind of like this one type of energy of collimator apparatus looks like to have the grid of the smaller opening that is used for liquid and energy transmission.In certain embodiments, the opening on a wavelength or littler magnitude may be preferred.In other embodiments, the opening greater than a wavelength may be preferred.The thickness of grid can be from less than wavelength to tens wavelength or bigger variation.
[322]According to the characteristic that includes but not limited to the fluid of gas degree of saturation, the smaller opening of grid may become " becoming blind " during operation nocuously owing to the bubble that produces in million frequency acoustic wave energy fields.In certain embodiments, this can have the gas of different surfaces tensile property or utilize higher differential pressure to force fluid to flow through opening and avoided by utilization.When the frequency of the ultrasonic energy that applies when change or intensity, can lysigenous again bubble.
[323]When attempting to form uniform energy field, the diffraction of the energy waves by narrow groove in grid or opening may seem and counter-intuitive.Yet when changing with suitable frequency or power when adopting, the point of inhomogeneities can move about by the confine liquids space, makes sonicated better or more even effectively.In general, wish to consider to have the liquid flow/VELOCITY DISTRIBUTION of energy uniformity.
[324]Figure 88 A represents the simplification cross-sectional view according to the embodiment of a kind of equipment 8800 of the present invention, and this equipment is characterised in that, substrate 8804 and and a plurality of transducer 8808 contacted vibrating mass 8806 between the diffraction grid is arranged.Figure 88 B represents the plane according to an example of the diffraction grid of the use of embodiments of the invention, and this diffraction grid comprises the screen cloth of the opening 8812 that qualification is more much bigger than a wavelength of incident acoustic wave energy.
[325]Selectively, grid can comprise the thin plate with comparison wide aspect ratio, and these thin plates are parallel to each other to be separated in less than the distance on about 6mm magnitude.When acoustic wave energy moved up between panel element, the energy field in this near field region changed, and the more collimation that becomes.When frequency or power stage variation, the point of an inhomogeneities moves about, and it is more satisfied that effective substrate is handled.Pending substrate can be positioned on the top of these plates of general parallel or certain other arrangement.
[326]Figure 88 C represents that this diffraction grid 8814 comprises qualification different shape and sized opening according to the plane of another example of the diffraction grid 8814 of embodiments of the invention use.The enlarged drawing of the diffraction grid part of Figure 88 D presentation graphs 88C.
[327]Although the embodiment of Figure 88 A represents to separate with vibrating mass the diffraction grid of a segment distance, this is not by of the presently claimed invention.Figure 88 E represents the simplification cross-sectional view according to the selected embodiment of a kind of equipment of the present invention, the diffraction grid 8816 that this equipment utilization contacts with the vibrating mass direct physical.
[328]A. reflected energy
[329]Multiple million frequently sound waves clean and handle in the purposes, the uneven distribution of acoustic wave energy may be caused by the existence of various obstacles wherein in treatment trough, various obstacles are for example for being used for the built-in hold support part of substrate carrier or the structure member of substrate carrier itself.Part at the treatment substrate of such obstacle back may be avoided receiving the impact fully of the ultrasonic energy that is transferred in the groove by " sound wave blocks ".
[330]In these years, the design of various treatment troughs and substrate carrier has been proposed, so that this inhomogeneous Energy distribution of blocking owing to sound wave is less.A kind of conventional means has been lectured by giving relatively moving between substrate and acoustic wave energy source and has been eliminated and to block, for example by mobile energy source, mobile substrate or both.Yet such treatment facility design may provide the shortcoming of high maintenance cost in the mechanical aspects complexity.Other shortcoming that relates to the design of multiple mobile part comprise other space requirement, harmful particle produce, and in bath the inhomogeneous of liquid flow.
[331]Reduce the another kind of conventional means that ultrasonic wave blocks and relate to the design groove, handle with the reflection that promotes ultrasonic energy otherwise be blocked in the zone of the various obstacles back that comprises carrier supported portion.A kind of such means are being represented and description in reference to the United States Patent (USP) no. United States Patent (USP) 6,523,557 that is included in here for all purposes.
[332]This patent shows that a kind of sidewall of wherein treatment trough comprises the design of epirelief part, makes the acoustic wave energy reflection leave that epirelief partly enters otherwise in the district of being blocked by sound wave.The incidence angle of the acoustic wave energy of this particular design requirement bump curved wall is less than the critical angle for this material.For quartz, this critical angle is 26 °.
[333]An a kind of like this restriction of design is, owing to keep the restriction (for example for quartz less than~26 °) of very little incidence angle, bending area must be bigger.This forces treatment trough to become bigger, and so structure is more difficult and constructions cost is higher.For example, for quartz, macrobending just is configured in the cell wall than by slabbed construction groove difficulty and much expensive very much.
[334]The smaller incidence angle of describing in this patent also forces reflecting surface bigger in bath, and this may interfere fluid efficient utilization mobile and space in cell body is long-pending.
[335]About the other restriction of conventional reflection-type ultrasonic wave treatment system when the ultrasonic beam that applies than broad or when starting a plurality of piezo-electric crystal simultaneously, become significantly.Under these circumstances, the not reflecting part of wave beam may be interfered in the reflecting part of wave beam.This can cause local constructive and destruction interference, produces the point of high and low energy intensity.This inhomogeneous performance in energy field causes the substrate of inhomogeneous mode to be handled accordingly.As described in following, can solve so inhomogeneous processing by change the such height and the position of low energy intensity point with respect to the time according to embodiments of the invention.
[336]Overcoming this constructive and a kind of means destruction interference is that groove is designed to, and the energy that carrier and cell wall are left in reflection is directed to the liquid surface in groove.A kind of such design be disclosed in for all purposes by with reference to being included in the United States Patent (USP) 6,098,643 here.
[337]Reduce the conventional ultrasound/million another kind of conventional means that blocks of the sound wave of sonicated systems frequently, piezo-electric crystal be placed on treatment trough or container more than on a wall or the position, the zone that avoids from a transducer received energy that guarantees thus to be blocked can not be blocked to become to avoid from another transducer received energy yet.Provide the groove design of such feature to be disclosed in United States Patent (USP) no.5, in 279,316, no.6,098,643 and no.6,595,224, its each for all purposes by with reference to being included in here.
[338]Another conventional means that the sound wave of elimination in ultrasonic wave/million frequency sonicated systems blocks reduces size, shape and/or the quantity of the support component of substrate carrier.For example, United States Patent (USP) no.6,209,555 lecture, and the substrate carrier structure member is configured to by plate material, and this plate material has the thickness of accurate multiple of the part wavelength of the acoustic wave energy that equals to propagate bath.The energy that the carrier structure that carrier is passed in this design promotion supports transmits, rather than passes through the absorption or the reflection of the incident ultrasonic energy of support portion.
[339]Yet different system's utilizations applies the different frequency of ultrasonic energy.Thereby a shortcoming of this conventional means is, the thickness of panel element need be complementary with the concrete frequency of the ultrasonic energy that applies, and perhaps vice versa, reduced the flexibility of device operation.
[340]Moreover, depend on its composition by the velocity of sound of concrete material.Thereby another shortcoming of this conventional means is, the thickness of panel element also needs to be complementary with the composition of panel element, and perhaps vice versa, also reduced the flexibility of device construction and operation.
[341]Therefore, exist overcoming the needs that groove designs and box designs that intrinsic restriction is handled by means of the even substrate of the ultrasonic energy of various frequencies with assurance about current design.
[342]According to groove and the substrate carrier embodiment of the invention, that be used for being supported on the single or multiple substrates in the million frequency sonicated baths, the ultrasonic energy that allows to introduce in the bath reflects from the surface, so that handle the substrate sections that is positioned at sound wave stop member back.According to one embodiment of the present of invention, the opposite side of reflecting surface can contact with the gas such as air, guarantees the reflection of the acoustic wave energy of incident under wide range thus.According to an alternative embodiment of the invention, with opposite side and the contacted occasion of liquid, will realize the reflection of acoustic wave energy in reflection, wherein incidence angle is less than first critical angle or greater than second critical angle.Reflecting surface can be flat or with constant or variable radius of curvature serves partly or entirely crooked (epirelief or recessed).Can strengthen orientation by the flow direction that is controlled at the groove inner fluid for the reflectance ultrasound wave energy of blocked area.
[343]Be used in fluid bath groove a kind of instrument with the ultrasonic energy treatment substrate according to the embodiment of the invention, reflecting surface is left in the ultrasonic energy reflection that allows to introduce certain tittle in the bath, is positioned at the substrate sections of sound wave stop member back with processing.According to some embodiment, acoustic wave energy with respect to the incidence angle of reflecting surface less than first critical angle or greater than second critical angle.In other embodiments, gas is present on the reflective surface side relative with the incident ultrasonic energy, and is irrelevant with incidence angle thereby generation is reflected.
[344]Figure 10 represents the simplification cross-sectional view according to an embodiment of a kind of ultrasonic processing equipment of the present invention.Groove 1000 comprises and sidewall 1030 contacted walls 1020 that this sidewall 1030 tilts relative to one another.Ultrasonic transducer 1040 contacts with sidewall 1030.
[345] Ultrasonic energy 1060 is launched to the substrate 1080 that is supported by parts 110 from transducer 1040.Energy 1060 is from wall 1020 shield portions S reflection before substrate 1080, this part S otherwise since the existence of insertion support component 110 be blocked.
[346]Although it is flat that the specific embodiment that shows in Figure 10 is depicted as the reflecting surface of groove, this is not by of the presently claimed invention.Figure 11 describes the simplification cross-sectional view according to an alternative embodiment of the invention, and the cell wall 1100 that wherein is provided for the reflecting surface of incident ultrasonic energy 1102 is crooked.
[347]Although and the embodiment of Figure 11 represent that so this neither be by of the presently claimed invention to the applying of the ultrasonic energy of recessed and continuous reflecting surface.Can select embodiment can adopt only part curved reflection surface, and this reflecting surface can present epirelief or the concave shape with constant or variable radius of curvature serves.
[348]Overcome multiple restriction according to embodiments of the invention for the Known designs of substrate processing equipment.In particular, can utilize the angle bigger and littler expediently under certain condition according to embodiments of the invention than second critical angle than first critical angle.For example, when side of reflecting surface and gas (that is, surrounding the air of groove) contact, contact with liquid in the reflective surface side that receives the incident ultrasonic energy in (that is, in the processing bath), basically in all incident acoustic wave energy reflected back liquid.
[349]A kind of like this embodiment is illustrated in the simplification cross section among Figure 12, wherein curved wall 1200 places that reflect now the groove 1202 that is surrounded by gas of incident acoustic wave energy.The incidence angle of acoustic wave energy that comprises quartz and bump wall unlike for example wherein crooked cell wall is less than~26 ° conventional means, if gas is present on the opposite side of reflecting surface, then needn't apply ultrasonic energy with any special angle or angular range incident.
[350]According to another embodiment of the present invention, the incident acoustic wave energy is reflected by the wall of the tubular structure that is filled with gas, thereby presents internal reflection.In such embodiments, receive with greater than first critical angle and less than the surface of the ultrasonic energy of the angle incident of second critical angle, can be in the energy reflected back liquid of significant quantity.
[351]As previously described, form according to the material of solid reflecting surface, the incident ultrasonic energy of various amounts can directly be absorbed by this material, and therefore is unsuitable for reflection.Under nearly all condition, the polymer non-crystalline material such as PTFE all absorbs energy and does not have significant reflection or transmission.On the contrary, solid crystal material such as quartz or the metal such as stainless steel still be that both sides contact with liquid according to the only side on incidence angle and reflection/transmission surface, reflect or the transmitted acoustic pulse energy.Multiple building material as the crystalline polymer material, presents partially absorbing property and reflection or transmittance.
[352]Thereby to be used for selecting a factor of correct ranges of incidence angles for the ultrasonic energy that applies be that reflecting surface contacts with liquid on a side or on both sides.If all there is liquid on the surface of solid components on both sides, then when incidence angle during greater than first critical angle and less than second critical angle, in fact the acoustic wave energy that is directed to one of these sides passes the parts transmission.With less than first critical angle or greater than the angle incident of second critical angle the time, the reflection of acoustic wave energy is preponderated at the ultrasonic energy that applies.
[353]Be used for ultrasonic wave/million frequently the conventional means of sonicated lecture, in narrow angular range received energy very big, slowly the existence on average surface that the existence or be designed to of upper convex surface reflexes to energy the surface of liquid of tilting prevents to interfere.Yet, utilize the surface of different shape according to embodiments of the invention.These shapes can comprise epirelief, recessed and plane, perhaps even comprise composite design.Bending radius can keep constant and maybe can change.
[354]And, according to embodiments of the invention such embodiment is described, wherein energy can with " critical angle or the angular range " described by conventional means impact surface differently, and still easily in the reflected back liquid.According to the embodiment that selects of the present invention, the structure with predetermined thickness can be oriented and be received in critical angle scope incident acoustic wave energy in addition.For quartz, in the scope of these critical angles between about 26 ° and 60 °.Less than about 26 ° or greater than about 60 ° angle under, most of acoustic wave energy of impact surface reflects from quartz surfaces.For at the liquid on the both sides of reflection part under the angle between about 26-60 °, significantly energy can pass parts and directly transmits.When liquid only contacted a surface and gas and contacts another, most of acoustic wave energy was reflected, and irrelevant with incidence angle.
[355]Although and the design of some prior aries is characterised in that between the bottom of transducer and groove water boundary layer is arranged, this is not desired according to embodiments of the invention, but can adopt as the time spent.For example, transducer plate or each piezo crystals physical efficiency directly are bonded on the wall of groove or container handling, perhaps are configured with water boundary layer, and do not break away from spirit and instruction according to embodiments of the invention.
[356]And, also look forward to million utilizations of acoustic systems frequently according to different embodiments of the invention, this million frequencies acoustic systems simultaneously or in a sequence is activated at each piezo-electric crystal in transducer or the transducer array.
[357]According to an alternative embodiment of the invention, the support portion that is used for chip carrier can be passed the material of these structural transmission and design and cell wall by the acoustic wave energy that promotes significant quantity and integrally construct.
[358]For example, be not to use circular solids bar material structure chip carrier support portion, perhaps depend on the separation reflecting surface in groove, can replace and use the support material that presents non-circular cross-section.A kind of like this embodiment is illustrated in the simplification cross section of representing among Figure 15.
[359]Base sheet rack parts or base sheet rack support portion 1500 with a kind of like this non-circular cross-section can be directed, be located in the angular range between first and second critical angle with the surf zone of the significant quantity that guarantees bar, make the acoustic wave energy transmission of directly passing bar maximum thus.On the contrary, if parts or support portion are oriented, the surf zone of the significant quantity of bar is positioned at less than first critical angle or greater than under the second critical angle, then makes the energy reflection maximum.
[360]Can utilize reflection or the mobile design of reorientation fluid reposefully on another direction according to other embodiments of the invention.Reflector structure can be the epirelief plane, crooked or recessed, oval or otherwise promote steady fluid to flow through or leave the aerodynamic body structure surface of shape.
[361]Do not cause that by the reorientation that flows by means of fluid wherein the steady streamline of turbulent flow or mixing and route keep steady flow, acoustic wave energy is followed along these lines.The fluid energy of flow is by acoustics stream or by generating such as the forces fluid flow that is generated by pumping.
[362]Selectively, be solid if replace, then bar is hollow and is filled by gas, oval orientation is such, thereby the incident acoustic wave energy with greater than first but less than the second critical angle impact surface, and the major part of reflected energy still.According to other embodiments of the invention thereby can utilize can the reflective sound wave energy hollow, gas fill pipe or other structure.
[363]Such an embodiment is illustrated in the simplification cross section among Figure 13.Comprise a kind of like this catoptric arrangement 1300 of gas 1302 according to the embodiment of the invention, allow remarkable reflection rather than the absorption or the transmission of incident acoustic wave energy 1304 on the surface of impact structure, no matter whether the incident acoustic wave energy is positioned at correct angular range.
[364]Figure 13 be illustrated in hollow catoptric arrangement 1300 and the solid reflecting surface 1306 that surrounds by liquid between the contrast of behavior.In particular, often transmission is with the ultrasonic energy of the angle in critical range (for example 26-60 °) incident for solid reflecting surface 1306, and reflection is with the ultrasonic energy of the angle incident outside this critical range.
[365]According to other embodiment of the present invention, compound shape can be used for constructing chip carrier.For example, can use bar with semi-circular cross-section.Such an embodiment is illustrated in the simplification cross section among Figure 14.
[366]In this embodiment, half-round rod 1400 can be oriented, and acoustic wave energy 1402 is with average surperficial 1400a of the bump of the angle between first and second critical angle.Energy passes the cross section transmission of bar, and surperficial 1400b sends from the bar of bending.Other cross-sectional profiles can present the surface with epirelief or concave shape.
[367]B. pass the transmission of the acoustic wave energy of parts
[368]In multiple industry, ultrasonic energy can be applied on the liquid bath, handles so that strengthen substrate.It is general especially that the use of ultrasonic energy has become in the manufacturing of electronic component.Along with littler and the meticulousr and easier damage that becomes in on-chip structure, adopted higher ultrasonic frequency removing littler contaminant particle, and do not damaged following structure.
[369]In history, a plurality of substrates (usually reaching 25 to 50) remain in the single box or carrier of part sealing with general parallel orientation, and even interval is arranged between adjacent substrate.Figure 16 represents a kind of like this stereogram of traditional box design.
[370]During handling, this loads box and falls in the liquid bath that the device of introducing ultrasonic energy is housed.Multiple early stage groove design is characterised in that ultrasonic transducer generally is arranged in the bottom or the side of rectangular channel.Because under lower ultrasonic frequency, energy pattern almost is omnidirectional in groove, thus easily obtain and the interactional energy of substrate, even also be like this when substrate remains in the partially enclosed box structure.
[371]Yet, when the frequency of the ultrasonic energy that applies increased near the megahertz scope when removing littler particle and causing less damaged substrate, the energy waves of the generation more collimation that becomes, and in general straight line path, propagating through bath.This allows million frequency sound waves to raise through the bath between the substrate in box with the orientation that generally parallels with substrate plane.
[372]When ultrasonic wave ran into obstacle such as the structure member of box, ripple typically or be absorbed or be reflected caused being blocked in the zone of obstacle back and avoids ultrasonic energy.This inhomogeneous processing of blocking and can realize passing substrate surface is because some surface region receives the energy fluence different with other surface.
[373]In the past, several means had been adopted to improve the uniformity of the ultrasonic energy that arrives substrate surface.A kind of means are physically to rotate wafer.Yet this causes big and more complicated box structure, and this structure usually goes up expensive and heavy.Box also is potential particle manufacture source, because the structure member of substrate edge friction box.
[374]The another kind of conventional means that the assurance ultrasonic energy evenly applies is got through box by only using three or four the bar supporting substrates that separate.Conventional sidewall, plate and the finger element of previous box design saved in such design.Figure 17 represents to have the conventional low profile box of four support bars.
[375]The box design of even now allows more uniform energy to cover, but leaves the occlusion area that is located immediately at the support bar back.In addition, reducing to contact and cause that substrate is easier casts suitable orientation aside by fluid pulse string or bubble between substrate and box.Other conventional box design opens and closes support (increase thus and reduce separation between adjacent supports) a little, thereby the constraint substrate avoids jumping out their hope resettlement grooves in carrier during handling, and removes easily when finishing processing.A kind of like this example of being obedient to box design be present in for all purposes by with reference to the United States Patent (USP) no.6 that is included in here, in 041,938 and no.6,153,533.
[376]For another conventional means of box design is material structure support portion by critical thickness, the multiple part wavelength of the ultrasonic energy that applies with coupling.More particularly, physical theory is lectured, the quarter-wave even-multiple (n1/4 λ, n=even number 〉=2) of the million frequency acoustic wave energies that the thickness of box parts should equal to apply.The energy of wishing significant quantity sees through the support component with this specific thicknesses, and the sound wave of part elimination in their back blocks thus.The ultrasonic energy that these box designs and support theory require to apply is run into support component for the surface of box support component with approximate right angle (90 °).
[377]Yet, because between ultrasonic system frequency change, so require the support portion of different-thickness.This causes being used for the special use of every kind of ultrasonic wave treatment system, unique support.Thereby the box of a kind of system structure that is used for operating under CF can not be used for the another kind of system of operating under different frequency.In addition, reduced flexibility when selecting to be used for the material of box structure by on request accurate material thickness.This converts the higher and more inflexible box of cost to.
[378]In another conventional means, specialized designs and structure have the groove of sloped sidewall, thereby ultrasonic energy is run into substrate from almost orthogonal both direction, to eliminate any occlusion area in the obstacle back.A kind of like this design be disclosed in for all purposes by with reference to being included in the U.S. Patent No. 6,098,643 here.
[379]Yet, still exist for a kind of overcome current design intrinsic restriction guarantee the needs of the box that even substrate is handled with ultrasonic energy for various frequencies.
[380]According to one embodiment of the present of invention, be used for frequently supporting incident ultrasonic energy that the box of single or multiple substrates or chip carrier allow to be directed to the significant quantity of supporting substrate in the sonicated baths million and see through box support structure portion in the path at acoustic wave energy, the sound wave that reduces thus on the substrate surface that is located immediately at the back, support portion blocks.Comprise the side or the bottom support portion of the end plates that are connected to form structure according to the embodiment of carrier of the present invention, wish in the orientation during handling, substrate remained on.At least one of the side of carrier and/or support portion, the end formed by panel element.The surface of panel element remains between first and second critical angle with respect to the surface of million frequency acoustic wave transducers.The emission million frequency acoustic wave energy transmitting plate elements of selected angle to allow maximum.By not absorbing or reflect all incident ultrasonic energies, panel element can not produce the whole of supporting substrate and block.
[381]The side of box and bottom support portion are formed by any narrow plate of thickness that makes things convenient for, and can be by single compound structure of planting material or multiple material.When locating with suitable critical angle, the incident million under any frequency signal portion of acoustic wave energies frequently can see through the support portion.The feature of narrow boards also may be hole and otch, so that the destruction minimum that flows for the homogeneous (uniform) fluid around the substrate that keeps in box and therein.
[382]Comprise substrate box or the carrier that is used for being supported on the single or multiple substrates in ultrasonic wave or the million frequency sonicated paths according to embodiments of the invention.Box design according to the embodiment of the invention allows the incident of significant quantity to apply these support structure portions that ultrasonic energy sees through the box in the path of acoustic wave energy, with respect to the design that is utilized by prior art, reducing directly, the sound wave on the substrate surface of such back, support portion blocks thus.
[383]According to embodiments of the invention, on the critical angle scope, apply the remarkable energy transmission that the acoustic wave energy of incident can cause passing support component for the plane surface of support component.The such support component that is characterised in that the plane of incidence surface of facing ultrasonic wave/million frequency acoustic wave transducers is called " panel element " here.Even when the thickness of support component changes, also observe the energy transmission of passing according to panel element of the present invention on wide region.
[384]Pass parts and transmit the material that the ability height that applies acoustic wave energy depends on component parts.For example, the parts that comprise fluoropolymer PFA (perfluoro alkoxy) and PTFE (polytetrafluoroethylene (PTFE)) may not allow and comprise the quartzy as many oblique incidence energy of parts.For some material,, can cause transmitting such as the bigger energy that fruit is incident in the critical angle scope with the surperficial perpendicular energy incident of parts with the quarter-wave thickness of even-multiple.If occur in conversion between pressure and the surface wave for the energy that applies with critical angle, then this is possible especially.
[385]By failing to absorb and reflect all incident ultrasonic energies, panel element avoids whole sound of the substrate sections that supports in carrier to block.This result is unexpected, and it is fully opposite with the prior art design, prior art designing requirement ultrasonic energy with the approximate right angle or the surface of crash panel support component orthogonally, and also requires the box support component to have point-device thickness for every kind of ultrasonic frequency that applies for stayed surface.
[386]Figure 18 A represents the end-view according to an embodiment of a kind of box 1800 of the present invention.Box 1800 has four support components 1802 that are used for flat-bottom slot 1804, and this flat-bottom slot 1804 has and is positioned at the acoustic wave transducer element 1806 frequently of million on the trench bottom 1804a.Figure 18 B is illustrated in the normal axomometric drawing of the box of representing among Figure 18 A.
[387]Box or carrier 18 00 comprise single side or the bottom support portion 1802 that is connected at least one the single-ended panel 1808 that forms structure, wish in the orientation during handling substrate 1810 remained on.Usually, two, three or more in some cases side/bottom support portion are used for providing suitable structural strength for box.
[388]At least one of the side of carrier 18 00/bottom support portion 1802 formed by panel element.Panel element comprises the parts of the plane surface with acoustic wave energy that incident applies.Figure 18 C represents the enlarged side view of rectangular panel members support component 1802, and this support component 1802 has periodic grooves 1812 to comprise the edge of a plurality of substrates 1810.
[389]In order to pass the panel element transmitted acoustic pulse energy of intermediate gauge effectively, the surface of panel element remains in the correct angular range with respect to the surface of million frequency acoustic wave transducers.According to embodiments of the invention, for the plane of incidence normal to a surface of panel element with respect to million frequently the normal to a surface of acoustic wave transducers keep with the angle between first and second critical angle.
[390]For the structure such as the wafer that comprises silicon, these first and second critical angles are typically respectively between about 18 ° and 58 °.Other material may present different critical angle scopes.
[391]This orientation references is in Figure 18 A, and wherein the incidence surface of gripper shoe element 1800 is with respect to the angle orientation of the million frequency acoustic wave transducer elements of locating to exist in the bottom of treatment trough 1,804 1802 with 38 °.The normal of the incidence surface of panel element thus with respect to million frequently the acoustic wave transducer elements with 52 ° angle orientation.
[392]Figure 19 represents the end-view according to the selected embodiment of a kind of box of the present invention.The box of the box of Figure 19 and Figure 18 a is similar, and difference is, gripper shoe element assembly 1900 and 1902 two relative bearing are reversed.Yet the incidence surface of all panel elements keeps tilting in the critical angle scope of about α with respect to the plane of million frequency acoustic wave transducers of the bottom that is arranged in treatment trough.
[393]Although Figure 18 A-19 represents the box structure that formed by the panel element that comprises single plate surface, this is not desired according to embodiments of the invention.Figure 20 represents the cross-sectional view of three support arrangement, and bottom support portion 2000 comprises the inverted V-shaped parts that are used for flat-bottom slot, and million frequency acoustic wave transducers 2002 are positioned on the bottom.
x [394]As shown in Figure 20 A, two panel elements 2004 with rectangular cross section bond together along a lateral surfaces, form to allow use the V-arrangement cross section of lamella elements, this lamella elements otherwise can not present suitable intensity.In order to play the box support portion, for the normal on one of surface of each individual slices with for million frequently the normal to a surface of acoustic wave transducers form suitable angle.
[395]The amplification cross-sectional view of the V-arrangement support portion of the box of Figure 20 B presentation graphs 20A shows the correct angle with respect to the normal of support portion and transducer face.The summit 2000a of V-arrangement supporting construction 2000 can be directed to or deviate from by ultrasonic wave/million plane that limits, the surface of acoustic wave transducers frequently.
[396]Although and Figure 18 A-B and 19 is illustrated as having gripper shoe and causes the incident ultrasonic energy to leave the rectangular cross section of the rear surface of plate with equal angular, this is not by of the presently claimed invention.According to other embodiment, a surface of plate can be flat, and the apparent surface can be crooked, epirelief or recessed or be in another kind of more complicated shape.
[397]Figure 21 represents the amplification cross-sectional view of non-rectangle support component 2100.Under the situation of Figure 21, energy leaves the angle of plate can be different with incidence angle.
[398]Numerous types of materials can be used for forming the panel element support portion according to the embodiment of the invention.The selection of material type can be based on the physical characteristic of its hope, as intensity and flexibility.In general, compare with the parts that present higher-energy decay, more acoustic wave energy can through present more low-yield decay than thick parts.
[399]For example, compare with the amorphous polymer material that can see through the same thickness that presents the decay of comparison macro-energy, big acoustic wave energy can see through the metal or the highly crystalline material of the same thickness that presents more low-yield decay.Comparison rigid material such as quartz and comparing than soft material such as polytetrafluoroethylene (PTFE) allow bigger energy transmission.The discussion of the decay of the acoustic wave energy by various materials is by Buckin and O ' Driscoll, " Ultrasonic Waves andMaterial Analysis:Recent Advances and Future Trends ", LabPlusInternational (in June, 2002) presents, and also by McClements, " UltrasonicMeasurement in Particle Size Analysis ", from Encyclopedia of AnaylticalChemisty, Robert A.Meyers, Ed. (John Wiley ﹠amp; Sons) present, for these two of all purposes by with reference to being included in here.
[400]Moreover, needn't be by single material production of planting according to the gripper shoe element of the embodiment of the invention.Can use composite construction, remember the consideration of discussing just now about physical property and energy attenuation by multiple material structure.Thereby according to some embodiments of the present invention, a kind of material that presents the hope structural property with low-yield decay can combine with the another kind of material that presents excellent corrosion resistance and decay than higher-energy, presents the support component that can receive structural strength and energy transmission performance with generation.
[401]Can select embodiment to be illustrated among Figure 22 for such one.Figure 22 represents a kind of amplification cross-sectional view of typical rectangular support component 2200, and this support component 2200 comprises the compound of first internal material 2202 and second exterior material 2204.Comprise solid material although the compound supporting construction of Figure 22 is depicted as, this is not by of the presently claimed invention.According to selecting embodiment, the support portion can comprise the solid shell that is filled with fluid.By this way, can utilize the hollow pipe that presents various cross-sectional profiles.
[402]According to selecting embodiment according to of the present invention other, panel element can comprise hole and otch, so that destruction minimum in box and that the homogeneous (uniform) fluid around processed substrate flows.Handle for substrate, uneven partial fluid speed and energy density can cause uneven substrate to be handled, no matter this processing is cleaning, etching or other substrate surface modification.Thereby for some purposes, preferably substrate support is characterised in that hole or otch.
[403]The such opening or the size of otch can change.In some cases, opening can be bigger, perhaps significantly greater than a wavelength that applies energy.For the processing by means of the gas saturated solution, according to the surface tension of handling fluid, the bubble that produces during handling may be contained in the small orifices, interferes acoustic wave energy through the effective transmission of equipment to substrate.
[404]Thereby Figure 23 A and 23B represent the stereogram and the cross-sectional view of inverted V-shaped support component 2300 respectively, and this support component 2300 comprises panel element 2302, and this panel element 2302 has and is used for the groove 2304 at edge of supporting wafers.Support component 2300 further is limited to the otch 2306 between the panel element 2302.Otch 2306 promotes the circulation of the processing fluid between the gripper shoe element during use.
[405]In other embodiments, may wish that otch or opening are sized to is approximately equal to or less than the wavelength of the acoustic wave energy that applies.In a kind of like this structure, the incident ultrasonic energy of running into box may be diffracted.This diffraction can have useful result potentially, improves uniformity or validity that ultrasonic wave is handled.
[406]Some of expression in the past and the embodiment that describes describe to comprise the side that formed by narrow panel element and the wafer support structure of bottom support portion, but this is not by of the presently claimed invention.Although in certain embodiments, narrow panel element may be preferred so that the local failure minimum in fluid flows, and in other purposes, when the different fluid of hope flows, can selectively use much wide plate.A kind of like this embodiment of selection is depicted among Figure 24, and Figure 24 represents to be used for the cross-sectional view of the wide rectangular support part part 2400 of flat-bottom slot, and this flat-bottom slot has the transducer 2402 that install the bottom.
[407]Although and the embodiment of Figure 18 A-19 shows the wafer support structure that is characterised in that panel element with respect to the acoustic wave transducer orientation in the common plane at place, the bottom that is positioned at treatment trough, this structure is not by of the presently claimed invention.Figure 25 shows that according to the embodiment of selection of the present invention wherein box 25000 comprises the gripper shoe element 25002 of a plurality of vertical orientations.Treatment trough 25004 is characterised in that the V-arrangement bottom, and transducer 25006 is installed on the side 25004a of trench bottom.The side of treatment trough tilts with critical angle with respect to the vertical orientation gripper shoe element that comprises box.
[408]The a plurality of possibility advantages that are better than conventional means are provided according to embodiments of the invention.For example, unlike conventional substrate support design, need not to be any specific factor of the ultrasonic wave wavelength that applies according to the thickness of panel element support component of the present invention.Thereby when frequency change, the thickness that is used for neoteric support portion needn't change.
[409]According to the embodiment that selects of the present invention, support component can be designed to produce the steady flow with level and smooth streamline (path-line) in flowing through their fluid.The acoustic wave energy that allows around this level and smooth fluid flow line of supporting construction to apply flows around structure, replaces directly to see through or pass them.The cross section of support component can design so that minimum drag to be provided on mobilization dynamic ground, allows fluid flow line to separate smoothly at the leading edge place, and engages again smoothly in rear edge then, and do not have whirlpool or turbulent formation.By this way, can be formed according to the parts of the embodiment of the invention, with the acoustic wave energy that allows significant quantity basically around they " bending ".
[410]The mobile fluid that is called " acoustics a fluid stream " that causes here of the acoustic wave energy in fluid moves.This acoustics a fluid stream comprises and relates to macroscopical a fluid stream and the microcosmic a fluid stream (the local a fluid stream of several types) that a large amount of fluids flow and move.When the fluid flow line (path-line) of the acoustics a fluid stream that comprises acoustic wave energy smoothly separately and then smoothly again in conjunction with the time, the major part of energy flows with fluid and keeps, and allows the transmission around the acoustic wave energy of suitable shaped structure effectively.
[411]Adopt a kind of like this embodiment of selection of the present invention of fluid dynamic support component to be illustrated in the simplification cross section among Figure 26.Support component 2602 is positioned between inherent vibrating mass 2606 of groove and the pending substrate 2604.Support component 2602 presents the tear-drop shape that promotes that the fluid dynamic fluid flows, thereby the streamline that shows 2600 separates and combination again around support component 2602, and does not have turbulent flow and mixing.The support component 2602 that is shaped needn't be with certain critical angle location, but is configured as, and it separates and smoothly again in conjunction with streamline/path-line.
[412]The part of the substrate support structure that concrete support component 2602 representatives of representing in Figure 26 do not contact with supporting wafers 2604 direct physical.Yet according to can selecting embodiment, the support component that fluid dynamic is shaped can directly contact with wafer, for example wafer support on the end of tear drop shape parts 2602.
[413]Although and support portion 2602 is expressed as solidly, this is not requirement.The support portion can optionally comprise hollow pipe.Pipe can be empty then or fluid is filled.Fluid can be gas or liquid.As long as the streamline that the cross-sectional profiles of parts does not cause generating mixing destroys, just can occur around the remarkable acoustic wave energy transmission of support component.
[414]The direction orientation that the fluid dynamic structure can flow with respect to the acoustics a fluid stream, thus turbulent flow do not produced at its rear rim place.The orientation of fluid dynamic structure also should consider and can't help any remarkable fluid velocity component that acoustic wave energy causes, for example the fluid that is caused by pump action flows.In design during treatment trough thereby should be careful, so that fluid dynamic flows to the adverse effect minimum of acoustics a fluid stream, and vice versa.
[415]Acoustics a fluid stream according to the embodiment of the invention may be not limited in the transmission of passing the ultrasonic energy on the direction of supporting substrate.Figure 27 represents the simplification cross-sectional view according to the embodiment of selection of the present invention, and the flowing of processing fluid 2702 that wherein runs into structure 2,704 2700 gets around pending substrate 2706.According to acoustics a fluid stream mechanism, the mobile 2700 this deflections by structure 2704 of fluid may be attended by is pasting the similar deflection of substrate 2706 by vibrating mass 2710 emitting sound wave energy 2708.
[416]In a word, the acoustic wave energy with the surface of the parts of the thickness with the quarter-wave even-multiple that applies energy applies perpendicularly causes passing parts transmission energy.If acoustic wave energy applies between first and second critical angle with respect to the surface of parts, if then all there is liquid both sides, then energy can pass the parts transmission.If on the both sides of parts, all have gas, then can the conversion of generation pattern.If liquid is arranged on a side of parts and at the opposite side of parts gas is arranged, then energy reflects from parts, and irrelevant with the incidence angle in the hydraulic fluid side.
[417]C. improved near field uniformity
[418]In multiple industry, ultrasonic energy can be applied on the liquid bath, so that strengthen the processing that is positioned at substrate wherein.It is general especially that the use of ultrasonic energy has become in the manufacturing of electronic component.Figure 28 represents simplification cross-sectional view pending, that be immersed in the parts 2800 in the surrounding liquid 2802 in the conventional treatment groove 2805.Ultrasonic energy bundle 2804 is applied on the liquid 2802 from vibration source 2806, and liquid 2802 is transmitted into parts 2800 to energy again.Interface between the surface of liquid 2802 and parts 2800 responds the ultrasonic energy of reception again and vibrates.Air pocket, microscopic cavities, acoustics a fluid stream, and various types of small a fluid streams can both appear at this at the interface or near it, and for the cleaning that promotes parts 2800 with to handle may be useful.
[419]Near field 2807 be from vibration source 2806 to bath on every side the district of extended distance X.Near field 2807 is characterised in that the inhomogeneities that generates energy field, as shown in Figure 29 A and 29B.The degree that energy field extends to the inhomogeneities that distance in the liquid and it presents is frequency, intensity, and the function of vibrating mass design.In particular, when standing wave with when the various interference figures between the interaction ripple develop near field region 2807, position high and low energy intensity is run through near field region 2807 development and is disperseed.
[420]Although do not wish to be retrained, only be discussed below example and explanation for the reason of understanding by any concrete theory of operation.Think that these points of high and low energy intensity are generally produced by the standing wave and the interference figure of counteracting that causes energy waves and reinforcement.These interference figures can produce, because pointwise has slight local material variation or inhomogeneities in single vibrating mass piezo-electric crystal.The inhomogeneous performance in this part cause not being on the front of vibrating mass have a few all with same frequency resonance.Replace whole piezo-electric crystal as single even vibration point source vibration, it can vibrate as a plurality of indivedual point sources of a group.Thereby under given frequency generator, not all point is all with identical strength vibration, because some will locate to be energized except that their harmonic motion frequency.Even have applying or the slight phase shift of driving voltage between the point on each crystal of introducing by the inhomogeneities of crystal.
[421]Even all point sources on the vibrating mass crystal really under same resonant frequency, with same amplitude vibration, and fully in phase be energized each other, the distance of the lip-deep selected element from each point source to the parts 2800 in big quantity of fluid 2802 also may be different.Be issued to ripple the liquid based on comprising frequency, intensity, and a plurality of factors of the shape of vibrating mass from each point source, when they are propagated away from vibrating mass, often be deployed into the degree of variation.
[422]Under given frequency, vibrating mass has generation the wavelength of good qualification in liquid.If different to the distance of the given position in bath from two on the single crystal close point sources that separate, even then ripple in phase produces each other, they also arrive set point in the slightly different moment.Therefore from the wave energy of each point source phase alignment or the consistent selected element place that arrives at the near surface of parts 2800 with intensity of variation.
[423](near field of representing in Figure 28 and the dividing line between the far field, the intensity that generates energy field becomes more even in a distance from vibrating elements.2806 outer districts, near field are called far field 2810.Far field 2810 is characterised in that field uniformity and for from the decay of the field of the distance of vibrating mass 2806.In particular, along with the distance from the surface of vibrating elements increases, the intensity of energy field reduces with well-proportioned speed according to localized liquid character.The length near field, or it extends to distance the liquid from vibrating mass applies intensity and increases with increasing frequency and increase.
[424]At substrate and the interactional mass fraction of handling on every side between the bath depend in substrate/bath energy field at the interface.Thereby be applied at ultrasonic energy and handle the on-chip occasion of bath in the near field region, the inhomogeneous energy in the near field may cause the inhomogeneous cleaning and the processing of the part of substrate or substrate.
[425]Thereby that guaranteed technically substrate is handled is inhomogeneity, to being used for ultrasonic energy is applied to the needs of on-chip method and apparatus.
[426]Frequency between the crystal element of Qi Donging, power, phase place at the same time; And/or be applied to the pulse width of the on-chip ultrasonic energy in handling bath, and can change with respect to the time, attempt to make the near field more even.Cause with respect to this variation of time constructive and destruction interference the some position or in the near field the moving of partial points of height and low energy intensity.As the variation characteristic that applies ultrasonic energy, make integral body or the active strength and the therefore processing of substrate in the near field region of energy in the near field, more even.
[427]As shown in Figure 30, million frequency acoustic wave energy sources 3000 comprise transducer 3002, and this transducer 3002 comprises a plurality of independent piezo-electric crystals 3004.According to embodiments of the invention, million acoustic wave energy source 3000 operations frequently are with these independent piezo-electric crystals 3004 of sequence starting.Particularly, be applied on each piezo-electric crystal 3004, near this resonant frequency and selection amplitude, change with even or predetermined way at the voltage under selection or resonant frequency and the amplitude.Typically, select different frequencies for each piezo-electric crystal in array, the resonant frequency of attempting to mate this crystal, this resonant frequency makes the maximizing performance of crystal.Apply the definite oscillation intensity that produces by each crystal of amplitude of voltage.
[428]Be applied to the frequency of the voltage on the piezo-electric crystal and the result of amplitude as variation, the frequency and the intensity of the vibration that is produced by piezo-electric crystal 3004 in bath also change.
[429]Picture has the phased-array radar of its a plurality of transmitter points, and each piezo-electric crystal comprises a plurality of vibration point sources.When the frequency/phase between various emitters in phased array changed, the direction of the energy beam of sending from each emitter changed slightly.
[430]If frequency is the cycle, then sends wave beam and often move or " flickering " alikely with burning candle.For handling the occasion that this " flickering " takes place for ultrasonic wave in the bath, it has in the near field region one by one the position and moves the effect at various nodes (point of high energy intensity) and zero point (point of low energy intensity).In history, million frequency sonicated are sent the energy waves that parallels with the surface of substrate.For making remarkable energy directly pass the embodiment of substrate assembly thickness transmission, change vibration frequency and also revise ultrasonic wave/million of side or surface sending from leaving of pending substrate sound wave near fields frequently.
[431]Do not have such frequency change, the inhomogeneous energy field that is called the near field can be based upon the side of leaving of the front side of leaving vibrating mass or substrate, extends in the big quantity of fluid in groove up to several inches.This non-uniform field can cause the inhomogeneous cleaning of substrate and processing or saturated substrate sections in the non-uniform field zone.
[432]When producing standing wave, the maximum point of strengthening (node) can have the twice big (first wave amplitude adds on second wave amplitude) of the intensity of seeing in single free wave.Locate at the point (zero point) that maximum is offset, intensity of wave changes to zero (first ripple is offset second ripple).Under constant frequency, these are offset and hard point does not move in bath.Only when variations such as frequency/phase/power, just move the position of these points.Be used for measurement field intensity and even the whole bag of tricks of local field intensity and equipment be known in industry and academia, and obtain easily.For the coarse value of measured intensity, usually use hydrophone.
[433]When frequency changes slightly, the intensity of ultrasonic energy waves, direction, and wavelength also change slightly.Direction, intensity, and this microvariations of wavelength can cause the variation of the position of positive and negative hard point in the near field, but even also have a condition that prevents that standing wave from forming together.The change in location of and low energy intensity high when these, or the amplitude of the difference between them is when minimizing, and the whole surface of substrate more may experience similar processing.By being exposed to height and low intensity points, when these points were mobile near substrate surface, the processing of generation may be than more even under these situations static in bath.
[434]In some sense, this mobile generation of high and low-yield point presents near field region than macro-energy uniformity or flatness with respect to a period of time.This time period is the function that applies the frequency and the speed that amplitude changes of voltage.For example, some piezo-electric crystal constant voltage that applies that can be used in the 500VAC that operates under the 750kHz frequency suitably encourages.According to the groove characteristic in the near field region and perhaps even in the far-field region, will produce the point of high and low energy intensity.
[435]For example, it is constant to replace maintenance, and the frequency that applies voltage may circulate between value.If 748 and 752kHz between per second once circulate, the height of then setting up in liquid when frequency is 748kHz switches to the new point relevant with 752kHz with the low intensive per second of naming a person for a particular job.Be raised to 100,000 circulations of per second now if apply the rate of change of the frequency of voltage, then will appear at the node location relevant to the rapid front and back switching between the node location relevant or mobile with 752kHz with 748kHz.
[436]Selectively switch and to appear between five discrete frequencies, for example 748,749,750,751 and 752kHz.In each nodes that forms down of this five discrete frequencies and the position at zero point with different.When frequency when a value changes to another value, node and zero point will correspondingly move.Frequency is worth another value from one and switches rapidly more, node and zero point change location fast more.
[437]In addition selectively, can on a scope, change continuously and inswept frequency, node and zero point in the near field are moved continuously from a position to another position.In a kind of so continuous variation means, even in the far field, can not set up standing wave, because the standing wave in the far field is under such change condition even can not form for the groove of non-constant ground design yet.
[438]The node in the near field and the position at zero point also are the functions of field intensity, and this field intensity is determined by the amplitude that applies voltage for each piezo-electric crystal.Therefore, change the amplitude apply voltage and also can cause moving of the node that produces and zero point.If a kind of like this Strength Changes occurs continuously, then may seem at node and zero point effectively and to disappear.
[439]According to one embodiment of the present of invention, the rear side of processed wafer can be placed to the transducer of revising and directly contact, and forms single vibrating elements thus.Million frequency sonic generators are sequence starting generators of each piezo-electric crystal of sequence starting, comprise the transducer of modification.Such an embodiment of revising transducer is illustrated among Figure 31.
[440]In the embodiment of Figure 31, be applied to electric voltage frequency or randomly or predetermined stepping ground or otherwise be changed on each crystal 3 104 by generator 3100.This electric voltage frequency changes scheduled volume and not only increases the uniformity in the near field of sending from the front side of wafer 3106, and each part that increases each crystal around its resonant frequency in the possibility of part-time manipulate at least.Apply voltage frequency this variation frequency can from per second only several cycles in the scope of megahertz scope.It perhaps can change in a certain presetting range randomly with the amount that level and smooth sine or alternate manner can equate with following change more than the frequency of set-point.
[441]Selectively, it can change on endless form stepping ground.For example, the frequency that applies voltage can jump to the kilohertz of the above some of set-point frequency in single step.These steps can take place to the speed on megahertz in the only several speed of per second.
[442]Piezo-electric crystal usually can be energized more than under the single frequency.These various frequencies of energy excited crystal are often referred to as the resonance harmonic frequency.Like this, the frequency change that applies voltage is occurred between the different harmonic frequencies does not just circulate near single resonant frequency.
[443]Except that by changing the vibration frequency of piezo-electric crystal that the frequency of being supplied with by generator that applies voltage changes transducer, can change the pulse width of energy beam.This can realize by promptly switching on and off the generator output voltage with at random or predetermined stepping or alternate manner.Pulse width can be continuously to switching on and off with the frequency in megahertz range and changing.This switching frequency needs not to be constant, and also can change with stepping or alternate manner.
[444]The amplitude that applies voltage by change might change the intensity uniformity of the ultrasonic energy in the near field.About the variation of the frequency that applies voltage, this variation that applies the amplitude of voltage can take described above any amount of continuously or the Discrete Change form.
[445]Also might change the frequency and power or amplitude or even frequency, intensity and the pulse width that apply energy simultaneously.Change to apply the frequency and the amplitude of voltage or change pulse width as described above in addition randomly or with predetermined stepping or alternate manner and realize this point.
[446]Frequency, power and pulse width variation are not limited to the sequence starting of each piezo-electric crystal.System for be designed to start continuously simultaneously a plurality of crystal under single frequency also can apply frequency change expediently.In a kind of like this embodiment, under single frequency, not all crystal all starts under its each harmonic motion frequency.When frequency change, all crystals and perhaps the have a few of all crystals increase in the possibility that during time portion at least, is activated under its each harmonic motion frequency.And, be similar to the embodiment of the sequence starting of adopting piezo-electric crystal, when the some change location of high and low energy intensity, the near field also will experience on average or smoothly.
[447]And, if start a plurality of crystal simultaneously, then might change by the phase place between the ripple of each generation of crystal.Change the phase angle between these a plurality of crystal that start simultaneously or change with random pattern, can cause the uniformity that strengthens, because node and zero moves about or perhaps even be prevented from forming according to certain stepping or alternate manner.
[448]Frequency, power and pulse width variation are used for the inhomogeneities in level and smooth near field and are not limited to have the substrate contact, perhaps become the part of transducer vibrations parts.Tradition million frequently sound wave purging systems is applied to energy on the parts in groove, makes the energy of emission be parallel to the surface of substrate, as shown in Figure 32.In such system, substrate 3200 do not locate too close vibration surface 3206 because this district in the experience uneven cleaning.Increase the size of treatment trough from this spacing of vibration surface, than allowing substrate closely near the more chemicals of structure consumption of vibration surface.By changing frequency, intensity and the pulse width of million frequency beam of sounds, in this district, improve " effectively " field uniformity.
[449]Can select embodiment according to of the present invention another, use the sequence starting of vibrating elements, second element can be positioned at the segment distance place from the near field region of first element.When first element was motionless, this second element was delivered to million frequency acoustic wave energies in the near field region of first element.Second element thereby million acoustic wave energies frequently are provided in its far field is to clean and to handle the substrate that exists in the original near field of first element.
[450]A kind of like this binding energy of first and second vibrating elements is arranged in the groove at the bottom of flat or the V shape.Under the situation of the flat-bottom slot of Figure 33, the second element 3304b can be with respect to the first element of transducer 3304a on the bottom that is located at groove 3300 with 90 ° or be included on the vertical wall near 90 °.Such an embodiment is illustrated among Figure 34.But hereto the design of a kind of receiving slit of embodiment be disclosed in for all purposes by with reference to the United States Patent (USP) no.6 that is included in here, in 595,224.
[451]Under the situation of V shape kerve, the first element of transducer 3304a can be positioned on the inclined floor, and the second element of transducer 3304b can be positioned on another, as shown in Figure 34.The design of a kind of like this groove is disclosed in US 6,098, in 643, and for all purposes by with reference to being included in here.In addition, the transducer of representing in Figure 34 can be widened, and perhaps auxiliary element can add on the protrusion of V-arrangement top, with the positive surface launching that allows energy to pass the sloped sidewall of groove.Selected embodiment like this is illustrated respectively in Figure 35 and 36.
[452]The design means of expression also can be applied to start simultaneously on the million frequency sound unit of all vibrating elements in Figure 33-36.In history, when wave beam during, expect that certain wave beam is interfered and generated to offset and strengthen simultaneously from the guiding of a plurality of angles.Yet, applying frequency, power, phase angle or the pulse width of ultrasonic energy by change, high and low intensive point can move in bath, for the purpose of handling or cleaning makes whole bath more even effectively.
[453]According to another embodiment of the present invention, single substrate is oriented, and its surface keeps paralleling with the surface of vibrating mass.Partly or entirely be immersed in handle in the fluid in, can make substrate closely near vibrating mass but be not in direct contact with it.The distance of separating vibrating mass and substrate can be in about 1 μ m arrives approximately several inches or bigger scope.A kind of like this layout is illustrated among Figure 37.
[454]In Fig. 6 A, notion like the representation class, difference be, substrate thickness should be near applying the million quarter-wave even-multiples of acoustic wave energies frequently, so that finish the remarkable energy transmission of passing substrate.In general, the thickness of semiconductor wafer can not promote the energy transmission under the conventional frequency that adopts in single-chip million frequency sound wave processors.By means of leaving the million elementary reflections of acoustic wave energies frequently of the wafer surface generation of vibrating mass dorsad, can set up very strong and tangible standing wave.Because wafer remains parallel to vibrating mass, this standing wave comprises constructive and fixedly dot matrix destruction interference, causes the processing of wafers that is not best.
[455]In order to overcome the shortcoming of so inhomogeneous energy exposure during handling, embodiments of the invention disclose the point that is used for eliminating constructive and destruction interference, make the energy difference minimum between them or change the technology of physical location of their position with respect to the time.The uniformity a kind of means of raising under a kind of like this situation are to change frequency or the intensity that applies million frequency acoustic wave energies in a suitable manner.Improving inhomogeneity another kind of means is to change the position of wafer with respect to energy source, for example by waving or swinging.Two kinds of means are all described in detail in the application's previous chapters and sections.
[456]Figure 38 represents according to embodiments of the invention, comprises aligning parallel to each other and by means of two vibrating mass 3802a that are inserted in that substrate 3800 between them separates and 3802b.Gap complete filling between vibrating mass has the fluid 3802 of processing.Handling fluid can flow through substrate from the vibrating mass end to end, perhaps can enter through the groove in being positioned at one or two vibrating mass between the adjacent piezo-electric crystal 3804 in the gap between vibrating mass.
[457]Change frequency and/power stage can work, with the negative effect of the inhomogeneous near field region of flattening, this causes the inhomogeneous processing of substrate.And fortunately, frequency, power, phase angle, and the variation of certain combination of pulse width also reduce near and far field for damage at the lip-deep sensitive structure of substrate.
[458]In according to another embodiment of the present invention, substrate can be located with vibrating mass not parallelly, and separates a segment distance with vibrating mass.When the angle between the surface of substrate surface and vibrating elements was positioned at certain limit, the bump ultrasonic energy of signal portion passed the thickness transmission of substrate.Yet under the angle outside this critical range, the surface of substrate is left in the reflection of the major part of energy.In the previous case, have the adverse effect minimum that helps make the near field inhomogeneities, wherein suitably change frequency, power, phase angle, reach pulse width according to embodiments of the invention.
[459]In according to any embodiment of the present invention, substrate can present moving with respect to the vibrating elements surface.This relatively move can have rotation, laterally move, near or away from move or rotate, laterally, and the form of other combination of moving.Substrate is mobile according to the stage of handling with respect to vibrating elements, can be uniform or variable.
[460]For example, for the resist strip step, not submergence has in the groove and replaces quick rotation by the moistening single-chip of injection nozzle, may wish.Quick rotation often reduces the thickness of the liquid level on substrate surface.Thin liquid level can allow the very fast diffusion of gas componant from the surrounding atmosphere to the wafer surface such as ozone gas, and wherein it may participate in the reaction of hope.
[461]On the contrary, afterwards in the step, may wish by the complete submergence of wafer being had in the liquid in the groove clean wafers and in DI water, wash wafer in SC1 solution.For these two steps, slower rotation or even to move forward and backward may be preferred.In the treatment step of submergence substrate, need be dissolved in the liquid therein for any composition of wishing in the reaction at wafer surface place.The rotation of submergence wafer with respect to by the use of ultrasonic energy individually or with the thickness that rotates the acoustic boundary layer that forms in combination, can not reduce the mobilization dynamic boundary layer on wafer surface, unless velocity of rotation is very high considerablely.Therefore, rotate and can not increase in a large amount of fluids dissolved substance considerablely to the diffusion rate of substrate surface.
[462]In addition, before the applying of the ultrasonic energy that changes frequency, power or pulse width, subsequently or during, various chemicals can contact substrate surface.For example, the mist or the injection that consist essentially of the liquid of DI water can be applied on the substrate surface simultaneously with ultrasonic energy, simultaneously oxidizing gas are incorporated in the substrate atmosphere on every side.Selectively, liquid can comprise organic acid or the inorganic base that is dissolved in the DI water.
[463]Although embodiment and example had been disposed the ultrasonic application under the frequency of generally using in the manufacturing of electronic component substrate in the past, the invention is not restricted to these frequencies or element.For example, also can use expediently in conventional ultrasonic wave and the extraneous frequency of million frequency sonicated.In history, the frequency the scope from several kilo hertzs to the hundreds of kilohertz is often referred to as ultrasonic wave and handles frequency, and is called million sonicated frequencies frequently from hundreds of kilohertz to those of several thousand kilo hertzs.For the purposes of the present invention, term ultrasonic ripple and million frequency frequency of sound wave may refer to any frequency range.Do not break away from spirit of the present invention and intention, can use yet than these much higher frequencies, even the frequency in conventional microwave treatment scope.
[464]The example of the microwave treatment of various substrates is disclosed in the U.S. Patent application 10/150,748, and for all purposes by with reference to being included in here.This comprises that the various embodiment of application disclose the processing of substrate, in addition this moment they not exclusively or even partly be immersed in the liquid.For microwave treatment, in treatment chamber, can set up various standing wave patterns.By changing frequency, power, the phase angle of multiple source; And/or apply the energy pulses width, energy minimization or even eliminate the high-energy that these standing waves follow with it and the negative effect of low-yield point.
[465]In addition, processing can appear at and be higher than under the atmospheric pressure, and comprises applying of million frequency acoustic wave energies.Such processing is disclosed in the U.S. Patent application 10/456,995, for all purposes are included in here by reference.And, various processing chemical property and processing sequence are disclosed, they can use expediently with the present invention of this current application.
[466]And, according to embodiments of the invention, also can be expediently with the substrate of acoustic wave energy processing except that electronic component.Such substrate can be from all trades and professions, as from disk drive, optics, surface plate, medical science, microorganism and medicine company or the like.
[467]In addition, be not limited to change the feature of acoustic wave energy to be implemented in the more uniform treatment in the near field according to embodiments of the invention by the mode of describing.According to the embodiment that selects of the present invention, also can change the feature of other form that applies radiation by the mode of describing, as the microwave radiation, to realize receiving the more uniform treatment of the substrate that applies radiation.Moreover, can simultaneously or in a sequence apply the radiation of various ways, to realize treatment desired.
[468]Although the most common vibrating elements that uses in the electronic component substrate is handled comprises the piezo-electric crystal that is bonded on the platy structure, also can use crystal, material, and the structure of other type, and not break away from spirit of the present invention and instruction.Multiple these can select the element of transducer material to know in industry.
[469]Moreover, for advantage, also can use pressure pulse is applied to other method of handling on the fluid and handling fluid/substrate interface according to embodiments of the invention, include but not limited to the vibration surface of pulsing high-pressure spray and moving by mechanical oscillation.
[470]Although and above description focuses on that improvement in the uniformity near the processing in the near field region of vibrating mass, is not limited to this purposes according to embodiments of the invention.For example, select among the embodiment of the present invention, million frequency sound wave energies are applied on the substrate that is arranged in the far-field region from vibrating mass, produce constructive thus and district destruction interference.After, utilization is such as at least 1) energy reflection, 2) use or 3 of a plurality of energy sources) technology from the change of the feature of the energy of million acoustic wave energy sources emissions frequently, can change the position of the point of constructive and destruction interference with respect to the time, improve the uniformity of handling in the far-field region outside the vibrating mass near field thus.
[471]D. machinery and electrochemical treatments
[472]Handle for most conventional chemically mechanical polishing or complanation (CMP) type, substrate is clamped in the device, and is arranged on then during the rotation adjacent with very big rotation grinding pad move.Substrate and grinding pad can be in respect in machine and the moving relative to each other).
[473]When substrate was contacted with grinding pad, various processing cream were incorporated on the surface of pad, to have and to remove deleterious material in Even Grinding with from substrate surface.Because relative velocity between substrate and grinding pad, the diameter (or length, be not thickness) that strides across substrate is set up various pressure distribution.
[474]Typically, to stride across whole substrate be not uniform to the pressure distribution of foundation.Sometimes the initial part of the substrate of contact mat is sagging to grinding pad, and the decline of the substrate of contact mat has hovered further away from each other.In other purposes, the guiding surface of substrate may rise or skim over, and the surface that lags behind is sagging.
[475]Whole diameter or length that pressure distribution strides across substrate usually are non-linear, have the form that comprises minimum of a value and/or peaked curved surface.Local pressure can increase or set up when the leading edge on the surface of leaving substrate, and reduces when near the other end or back edge then.Selectively, local pressure can at first reduce to opposite side from a side of substrate, and increases then.
[476]Conventional means attempts to apply ultrasonic wave, to remove the accumulation sand grains from the surface of grinding pad.Because these pads generally by the polymeric material structure of easy absorption vibrational energy, transmit vibration or acoustic wave energy so generally be difficult to pass their.
[477]When pad and/or substrate is moving or during rotation, this transmission of the acoustic wave energy during grinding and polishing or planarization is further complicated.A kind of conventional design utilization that is used to polish the unit is used for the band of grinding pad/ring design.Band with the similar mode of belt-type sander continuously around drive pulley.It is static that substrate keeps, and allow to contact with the grinding band.
[478]Can be applied to ultrasonic wave the top side of band in this operating period, be intended that and make certain sub-fraction energy pass polymer belt to be transferred to interface between band and substrate, help from tape handling or clean the sand grains of accumulation.Because pass the bad energy transmission of flexible polymeric materials, such conventional design only obtains limited success.
[479]Thereby, exist in the prior art being used for applying sound wave or vibration needs with the method and apparatus that strengthens the semiconductor construction process.
[480]According to embodiments of the invention, vibrational energy is applied on the substrate or workpiece of the processing that stands chemical-mechanical planarization or certain other form.According to an embodiment, vibrational energy is by passing to workpiece from energy source with contacting of rest energy transmission part.According to the embodiment that selects of the present invention, vibrational energy can be passed to workpiece through the energy transmission member that it is characterized in that the rotating element such as bearing.According to applying of vibrational energy of the present invention can change by with the pressure distribution of the contacted substrate of grinding component experience, influence generates the quality of handling thus.
[481]Relate generally to applying according to embodiments of the invention with vibrational energy by handling the surface of modification workpiece.The vibrational energy that applies can have various forms, and can be produced by each provenance.
[482]According to one embodiment of the present of invention, the vibrational energy of mechanical oscillation, sound wave/ultrasonic wave or microwave form can be before substrate be handled, during or be applied to afterwards on the substrate, to improve the uniformity that local or whole substrate is handled.Relate to the complanation of semiconductor workpiece according to a kind of special-purpose of the present invention, generally for entire wafer, perhaps for the individual characteristics that on this surface, exists.
[483]Other complanation and surface modification than common form comprises machine glazed finish, grinding, grinding (removing material by abrasion) and relates to the electrochemistry process of removing material/complanation owing to electrochemistry plate from the teeth outwards.Selectively, can vibrational energy be applied on the substrate material being added on the workpiece and removing from it process of material according to embodiments of the invention.This other sound wave supporting process includes but not limited to electroplate and various forms of coating.
[484]In the particular field that CMP handles, during complanation/processings, be incorporated into vibrational energy in the substrate and/or through its introducing energy, can make substrate with contact the local pressure that produces between the grinding pad and be more evenly distributed.This more uniform pressure distribution may cause again removing material more equably from substrate surface.
[485]In the field of electrochemistry processing, the introducing of vibrational energy can cause more uniform concentration and the Temperature Distribution near substrate surface.More uniform distribution may cause more all even promptly from substrate surface remove material or more all even rapidly the reaction.
[486]The vibrational energy that applies also can reduce the thickness of fluid boundary layer, and this boundary layer is called in the following text in the situation that applies ultrasonic energy and done the acoustics boundary layer, perhaps is called the fluid dynamic boundary layer under the situation of forces fluid flow.When relating to the mass transport limitation step, such attenuation fluid/mobilization dynamic/acoustic boundary layer may cause passing the faster mass transport of all layers to substrate, and quickens accordingly to handle.This effect generally can occur on whole surface, perhaps only limits to specific localized areas, perhaps differently effect in different regional areas.
[487]For example, during handling, in the fluid boundary layer adjacent, can reduce the concentration of interested specific ion material with active surface.Each ion under the current potential that applies, can not with in a large amount of fluids by means of a large amount of fluids the same fast ground that flows, spread or move in the fluid boundary layer and through its diffusion or move.The generation dilution of specific ion in fluid boundary layer is often referred to as concentration polarization, and causes slower and uneven processing.
[488]By according to the apply thickness that reduces fluid boundary layer of embodiments of the invention, increase the speed of wishing that ionic species is suitable at the reaction surface place by vibration or acoustic wave energy.
[489]Handle for the substrate that relates to complanation, can observe unfavorable effect.In particular, have the fluid boundary layer of the thickness that reduces by applying of vibrational energy according to the present invention, the material that allows to remove from substrate surface more promptly enters a large amount of fluids.This causes faster and more uniform processing.
[490]Apply to strengthen the vibrational energy of handling and to produce by any source of the gas of mechanical/electrical easily according to embodiments of the invention.The scope of example is from presenting more low-frequency piston/eccentric, to the Electron Excitation of the ultrasound piezoelectric crystal that presents moderate frequency, to the generation of higher frequency microwave energy with apply.The vibration of these generations can be led to interface between substrate and processing entities (being grinding pad and/or processing chemicals) in every way.
[491]As previously described, the polymer pad that can adopt in process of lapping may absorb vibrational energy.Therefore, replace the energy of attempting to pass a kind of so flexible energy absorbing element transmission significant quantity, directly pass the vibrational energy of firmer substrate and/or base sheet rack transmission significant quantity according to embodiments of the invention, wherein energy seldom is absorbed and loses.
[492]More than described and be used for realizing a kind of particular design that this vibrational energy applies.In particular, transmit big energy rather than pass grinding pad and only transmit very little amount, between pad and substrate, obtain more multipotency at the interface, distribute with the local pressure of revising initial generation by directly passing substrate.
[493]Although do not wish that the vibrational energy that applies may destroy or revise generation during substrate was handled local pressure distributes by any concrete theoretical constraint.For applying of intermediate frequency vibrational energy, can prevent to be completed into by the complete pressure distribution that strides across whole substrate that conventional treatment equipment machine/unit generates, because the time between vibratory impulse is too short, the development fully that does not allow differential pressure to distribute.
[494]Routine million frequency sonicated for the substrate in the liquid bath can be described a kind of similar situation.When fluid flow through static substrate, the fluid dynamic boundary layer was formed on substrate top, wherein fluid velocity from substrate surface zero in the scope of a large amount of fluid velocities of removing a certain distance from substrate surface.This distribution is moving as far to increase on thickness or development along plate current with fluid.Therefore, the distribution of " reducing " fluid velocity in generating fluid boundary layer is thinner near the head of substrate, and thicker near afterbody.
[494]Yet,, never allow complete local distribution to develop fully by during handling, applying million frequency acoustic wave energies.As a result, the definite boundary layer of acoustics can be less than 1/1000 thickness in the definite boundary layer of fluid dynamic.By this way, applying of million frequency acoustic wave energies can promote to clean or handle operation, and wherein quality must be passed the fluid boundary layer transmission.
[496]By a problem that solves according to embodiments of the invention is the difficulty of passing substrate transmission vibrational energy when substrate is rotating or moving.Realize this target according to several embodiments of the present invention, and do not require that also the vibrational energy source is also mobile.This moving through in vibrational energy source requires movable power connection can increase the complexity of equipment.
[497]Figure 39 represents to be used for adopting the cross-sectional view of vibrational energy with an embodiment of a kind of equipment of the processing of enhancing substrate.The treatment facility 3900 expression solid parts 3902 of Figure 39, solid parts 3902 has an end 3902a who is combined with the vibrational energy source, for example comprises the piezo-electric crystal 3905 with power source 3907 electrical communication.Second end of solid parts 3902 contacts with substrate 3906 through substrate support plate 3908.Energy transmits through solid energy transmission part, substrate, and in the interface 3910 that enters under the situation that cream 3912 exists between substrate 3906 and grinding pad 3910.Solid parts 3902 is static, and when grinding pad 3910 and substrate rotate in contact, does not rotate or move.
[498]Figure 40 represents the simplification cross-sectional view that is used for adopting vibrational energy with the selected embodiment of a kind of equipment of the processing that strengthens substrate according to of the present invention.The equipment class of first embodiment of the equipment of Figure 40 and Figure 39 seemingly, difference is that transmission part 4002 comprises rotatable bearing 4004.Bearing 4004 allows to keep static with the top 4002a of vibration source 4008 contacted solid parts 4002, and freely rotates with the 4006 contacted bottom 4002b of substrate support portion.
[499]The energy permission is known with the various design bearing of the rotation vibrational energy transmission simultaneously of base sheet rack.The example of such design bearing includes but not limited to mechanical bearing and low friction slip surface.
[500]Although Figure 40 does not show clearly how base sheet rack rotates, can be used for realizing that this mobile several different methods knows in industry.The example of the such mechanism that is used for rotating includes but not limited to belt/belt wheel, gear, and and the friction of other moving-member.
[501]Figure 41 represents the cross-sectional view that is used for adopting vibrational energy with another selected embodiment of a kind of equipment of the processing that strengthens substrate according to of the present invention.The equipment class of representing in Figure 41 is similar to the equipment of representing in Figure 40, difference is that mechanical vibrator 4002 is as vibrating elements.
[502]Although it is static that base sheet rack is expressed as in Figure 41, this is not by of the presently claimed invention.According to other embodiment, when mechanical vibrator was operated, the vibration transmission element can rotate.
[503]Liquid is known as the medium that is used to vibrate with the transmission of acoustic wave energy.Thereby Figure 42 represents the cross-sectional view according to another selected embodiment of a kind of equipment that applies that is used for vibrational energy of the present invention.Equipment 4200 utilizes the hollow vibrational energy transmission part 4202 that is filled with liquid 404 with bearing/hermetically-sealed construction 4206, is beneficial to the transmission that vibrational energy arrives substrate support 4208 during handling.A kind of like this bearing/hermetically-sealed construction example is the ferrofluid seal structure used according to the present invention.
[504]In the specific embodiment of in Figure 42, representing, may be preferably, adopt de-gas liq to form with the restriction bubble, bubble formation can reduce from the source 4210 transmission to the acoustic wave energy of substrate 4212.
[505]Figure 43 represents the cross-sectional view according to another selected embodiment of a kind of treatment facility of the present invention.The equipment combination of Figure 43 is from intermediate frequency (for example having,~0.7-1MHz) following million of the crystal of excitation vibrational energies of sound unit 4304 frequently, be used to comfortable than lower frequency (for example ,~1-1000Hz or~vibrational energy of the mechanical vibrator 4302 that 1-10kHz) activates down.In other embodiments, also can apply microwave or other higher frequency energy pulse, perhaps combine, perhaps replace these other energy frequencies with low and intermediate frequency energy.
[506]Figure 44 represents the cross-sectional view according to another selected embodiment of a kind of treatment facility of the present invention.The equipment utilization slip ring structure 4402 of Figure 44 is to allow the transmission from the stationary source (not shown) of power to the electrical energy of rotating mobile vibrating elements 4404 (for example, piezo-electric crystal).The feature of slip ring 4402 can be that the contact comprises the conducting liquid such as mercury, is perhaps formed by the solid conductor such as the copper metal.Arrange the rotation that allows grinding pad 4406 and substrate 4408 itself a kind of like this shown in Figure 44, and do not need to transmit the bearing or the bearing surface of vibrational energy through it.
[507]Figure 45 represents the cross-sectional view according to another selected embodiment of a kind of treatment facility of the present invention.The equipment 4500 of Figure 45 comprises that the surface with respect to the front or rear surface of substrate 4504 or maintenance or gripper shoe 4506 is positioned at special angle scope (θ 12) million interior frequency sound wave nozzles 4502.At special angle scope (θ 12) in, emergence pattern conversion, wherein leave million frequently the turgor pressure Reeb 4505 of sound wave nozzles 4502 convert surface wave in substrate, base sheet rack or substrate support portion to.
[508]Figure 46 represents the cross-sectional view according to another embodiment of a kind of treatment facility of the present invention.Equipment 4600 constitutes and carries out electrochemical polish or processing.Be not to utilize grinding pad carry out substrate planeization, in equipment 4600, electrolyte 4602 plays a part various ionic species are transferred on the surface of substrate 4604 and from it and transmits the medium of these materials.Utilize the electrochemical process of constant current or constant voltage operator scheme to be particularly suitable for utilizing benefit by providing according to embodiments of the invention.
[509]Although the embodiment of Figure 46 represents to pass the thickness transmission vibrational energy of substrate, this is not by of the presently claimed invention.According to selecting embodiment, vibrational energy can be parallel to the substrate surface orientation, so that reduce the thickness of any relevant fluid boundary layer.
[510]Although and the embodiment of Figure 46 represents that large electrode is parallel to substrate surface location, this is not by of the presently claimed invention.Because the electric conductivity of electrolytic solution, according to selecting embodiment, electrode can be positioned to from a certain distance of substrate surface, and/or be in respect in its uneven orientation.
[511]Although electrochemical treatments is generally carried out in the groove that makes substrate sections or submergence fully, this neither be by of the presently claimed invention.According to other embodiment of the present invention, may be preferably, only and the electrode that separates closely of substrate between adopt thin fluid layer.A kind of like this be arranged in when applying microwave energy particularly useful when electrode is more transparent for microwave energy.
[512]For example, multiple semiconductor substrate materials is more transparent for microwave energy.Such substrate material also may have enough electric conductivity, perhaps can comprise conductive track or other feature of enough conducting, and plays electrode to allow substrate.Thereby, can apply the high-frequency microwave according to the embodiment that selects of the present invention, so that strengthen electrochemical treatments.
[513]Figure 47 represents the cross-sectional view according to another selected embodiment of a kind of treatment facility of the present invention.Equipment 4700 expressions of Figure 47 utilize the conveying of the microwave energy 4702 of waveguide 4712 from microwave source 4710 to the interface 4708 grinding pad 4704 and substrate 4706.Waveguide to the application point of wishing, transmits microwave energy delivery maximum so that directly arrive the energy at substrate/pad interface.In the specific embodiment of in Figure 47, representing, 908 places and/or can be used for absorbing the microwave energy that applies from the source expediently at the interface at the lip-deep thin liquid level of base sheet rack.
[514]Although Figure 47 represent the microwave radiation by with substrate surface and the waveguide that substrate/the pad interface parallels that limits thus orientation, this is not by of the presently claimed invention.According to selecting embodiment, waveguide can be arranged with angle with respect to substrate surface, so that energy is to the transmission maximum at substrate/pad interface.The pattern transformation energy of waveform takes place under proper condition.
[515]Although the foregoing description has been described treatment substrate and has been positioned at the processing unit top, this is not by of the presently claimed invention.According to selecting embodiment, substrate can be arranged in below the processing unit or next door.
[516]Before the carrying out according to sound wave aid in treatment step of the present invention, during or afterwards, can begin second treatment step.This second treatment step can comprise electrochemical treatments, and electrochemical treatments comprises plating, electropolishing or electric machining.The vibrational energy of any frequency, but particularly ultrasonic wave and microwave frequency energy be included in the interface between substrate and any contact liq, can have major benefit at the thickness direction of any relevant fluid boundary layer that reduces to form on substrate surface.
[517]According to such fluid boundary layer of the present invention reduce can cause rapider and/or more uniform processing, particularly for the processing that relates to the mass transport limitation step.In addition, the thickness of fluid boundary layer reduces to make and substrate surface fluid in contact concentration and more uniform temperature.This causes more uniform processing again, and can prove for the not plane surface of treatment substrate effective especially.
[518]Be also noted that the treatment step of describing can be undertaken by any order in various above embodiment, and still keep within the scope of the invention.For example, before handling, during or can apply vibrational energy afterwards to strengthen effect.
[519]Electrochemical treatments is used in the structure of semiconductor device more and more.A kind of popular use is in the formation of the copper plating of interconnection structure.In such damascene applications, copper is deposited in the pit that is formed on dielectric material by plating.
[520]In such electrochemical treatments, may be difficult to obtain/keep to the interpolation of the material of the substrate surface that presents complicated landforms or remove.A difficulty that runs into for conventional electrochemical treatments is that the concentration of various ions and other active chemistry and fluid-flow rate distribute, and the fluid dynamic boundary layer that strides across in the recessed feature of substrate surface place or existence thereon changes.Thereby, in on-chip plating, may be difficult on the bottom of the ditch feature that presents high aspect ratio to form the layer of uniform thickness for metal level, or guarantee such feature to be filled equably and do not have comprising or producing of hole.
[521]Specifically, when the electrolysis fluid flow through recessed feature, fluid formed the fluid dynamic boundary layer on substrate surface, and the fluid of filling ditch may become stagnation.Fluid in ditch thus can not be promptly through the fluid convection transmission or normally with the fresh a large amount of fluids displacements that are stacked on the boundary layer.In some cases, may be formed on the eddy current in the ditch, cause the partial fluid circulation in ditch, but otherwise prevent to be included in wherein fluid with on every side in a large number fluids mix.Under these circumstances, passing the stagnant wake transports the mechanism of various ions and other chemical substance and may be limited to general ten minutes and spread slowly.
[522]Selectively or with diffusion transport the ground that interrelates, chemical substance may be passed the stagnant wake under the influence that applies current potential.Under such condition, the concentration polarization that produces in these stagnant wakes can be exaggerated.Such concentration polarization may cause slow and inhomogeneous processing, particularly in the bight around cusp edge or in structure.
[523]In making the more uniform trial of electrochemical treatments, various additives are introduced in the electrolytic cell routinely.Some additives may strengthen or quicken the deposit of material in selecting the zone or remove, as in the significantly reduced fluid stagnation areas of ion concentration therein.Other additive may delay or slow down in the fluid velocity and the upborne zone of ion concentration therein deposit or remove.The combination of additive can be used for making final depositing layer of material or surface more flat, particularly strides across the non-planar substrates with high aspect ratio features.
[524]Although process uniformity is improved under the situation of additive having, handle and still to present significant inhomogeneities.Inhomogeneous performance like this necessitates the aid in treatment step of removing or adding material and the hole that forms of compensation in other position in some position.Such additional step has reduced productivity ratio and increase expense.
[525]The introducing of the additive such as reinforcing agent, retarding agent and leveling agent also can be used as another kind of pollution sources, and this pollution must be removed from substrate before implementing further treatment step.Step is removed in such pollution also can reduce productivity ratio and increase expense.
[526]The introducing of known acoustic wave energy can reduce the thickness in fluid dynamic boundary layer.Under a stable condition, by in the acoustic boundary layer that generates near the introducing of acoustic wave energy under the 1MHz may be original fluid Dynamic Boundary thickness~1/1000.This of the effective thickness of fluid boundary layer reduces, may particular importance in the processing of the ditch on presenting the substrate surface of high aspect ratio, passage or other structure.
[527]The introducing of the acoustic wave energy of correct frequency can reduce the effective thickness of fluid boundary layer, increases in these zones fluid thus to flow/speed of chemistry transportation.Flowing at fluid does not exist or the occasion of local circulation only basically, prevent to come from feature to around the fluid transmission of a large amount of fluids.Acoustic wave energy can be used for destroying such local eddy currents, and strengthens the fluid transmission between stagnant areas and a large amount of fluid.
[528]Yet the routine of using acoustic wave energy to reduce the thickness of fluid boundary layer during electrochemical treatments attempts typically obtaining limited success.Under a stable condition, these conventional means proofs can not be passed the electrode transmission energy with the substrate surface positioned parallel effectively.For wherein electrode is not and substrate surface is located abreast and the design of delocalization between substrate and vibrating mass, usually cause uneven processing.
[529]Thereby, there are needs in the prior art to the method and apparatus of the improvement electrochemical treatments that is used for semiconductor chip.
[530]Electrochemical treatments according to the semiconductor workpiece of the embodiment of the invention, can be by passing the thickness of electrode, the electrode of location between substrate and chatter wave energy source and pulsating fluid flow particularly applies the various forms of energy that comprise acoustic wave energy and strengthens.According to embodiments of the invention, acoustic wave energy can pass the electrode transmission with solid, compound, opening (that is mesh) or loose structure.Also may flow according to the electrochemical treatments of the embodiment of the invention and to finish or to be attended by pulsating fluid and flow by pulsating fluid, with the thickness that further reduces the fluid dynamic boundary layer and this layer for processing validity and inhomogeneity influence.
[531]Disclose according to embodiments of the invention and to be used for passing solid and compound, opening or porous electrode structure and energy to be transferred to the method and apparatus of substrate surface individually or with pulsating fluid flow combination ground.Reduce the thickness of fluid boundary layer according to embodiments of the invention, and strengthen the uniformity of these layers, and prevent the fluid stagnation in high-aspect-ratio structure.According to embodiments of the invention thereby cause faster and more uniform processing, eliminate simultaneously or reduce needs additive.
[532]Figure 48 represents to be used for carrying out according to the present invention the simplification cross-sectional view of an embodiment of a kind of equipment of electrochemical treatments.The vibrating mass 4810 that contacts with piezo-electric crystal 4812 direct physical partly or entirely is immersed in the electrochemical treatments bath 4814, and this bath 4814 is included in the wall 4816 of container handling 4818.Electrode 4820 is positioned in the bath 4814 that separates with vibrating mass 4810.Substrate 4822 is positioned in the bath 4814 that separates with electrode 4820, and on the opposite side of electrode 4820.
[533]4824 electrical communication of piezo-electric crystal 4812 and RF power supply.Electrode 4820 and substrate 4822 respectively with the antipode 4826a and the 4826b electrical communication of voltage source 4826.
[534]During handling, stride across substrate 482 and electrode 4820 applies potential difference by power supply 4826.This potential difference produces the electric field of the chemical substance of actuating bath 4814 with the treatment substrate surface.The particular procedure that this potential difference is finished according to hope can keep constant, allows to change amplitude, or even just and between the negative value is changing.According to embodiments of the invention, it is possible utilizing electrochemical treatments pattern constant or the variable current operation.
[535]Before the applying of potential difference or during, piezo-electric crystal 4,812 4824 receives voltages from the RF source, and begins vibration.The vibrational energy of piezo-electric crystal 4812 is passed to adjacent vibrating mass 4810 again.Vibrating mass 4810 leads to electrochemistry bath 4814 to vibrational energy again.
[536]Be applied to the result of bath 4814 as vibrational energy, significantly reduce the fluid dynamic boundary layer on substrate surface and electrode.This promote chemical substance to and from the transmission of substrate surface and electrode.
[537]Feature according to the treatment facility of the embodiment of the invention can be that the electrode specialized designs becomes to promote its conduction ultrasonic energy.For example, solid electrode can be designed to have thickness (that is, thickness=n λ/4, n=2,4,6 of 1/4th even-multiple of the wavelength that applies acoustic wave energy ...).
[538]Although Figure 48 represents the acoustic wave energy quadrature of arrangement of electrodes Cheng Yucong vibrating mass incident, this is not by of the presently claimed invention.Figure 49 represents to select embodiment, wherein electrode 4900 with respect to from the direction of the acoustic wave energy of vibrating mass 4902 incidents to be positioned at the critical angle range Theta 12Between angle θ arrange.Allow vibrational energy to pass the electrode emission in the mode of representing among Figure 49 with the angle orientation electrode, and it is such to be unlike in the situation of representing among Figure 48, requires the thickness of electrode to be approximately equal to the even-multiple quarter-wave.
[539]In the embodiment of Figure 49, for thickness of electrode without limits, and as long as the surface of electrode with respect to the surface of vibrating mass under suitable angle, the energy transmission of passing electrode just will take place.Although Figure 49 is depicted between electrode and the substrate and the angle between electrode and vibrating mass, this does not require.Substrate can be parallel to electrode, and is perhaps directed at any angle for it.And it is constant that the angle between electrode and substrate can keep, and perhaps can change during handling.It is constant that angle between electrode and vibrating mass also can keep, and perhaps can change during handling.
[540]Figure 50 represents the simplification cross-sectional view according to another embodiment of a kind of electrochemical processing apparatus of the present invention.In particular, the equipment of Figure 50 with in Figure 48 and 49 expression equipment class seemingly, difference is that electrode 5000 contacts with vibrating mass 5002 direct physical that comprise piezo-electric crystal 5004.Electrode/vibrating mass the structure 5000/5002 of combination can design in many ways, to optimize vibrational energy passes electrode 5000 from parts 5002 transmission.
[541]For example, although electrode 5000 needn't present any concrete thickness, it is thickness (thickness=n λ/4, n=1,3,5 that apply the quarter-wave odd-multiple of vibrational energy that the thickness of generation electrode vibration parts combination should be similar to ...).This odd-multiple quarter-wave thickness is wished for effective coupling that the acoustic wave energy from vibrating mass enters in the fluid.
[542]In certain electrochemical process, the part of possible sacrificial electrode material changes the integral thickness of electrode thus with respect to the time.In such purposes, do not comprise the occasion of remarkable % of the integral thickness of electrode/vibrating mass combination at the thickness of electrode member, the variation of thickness of electrode can not influence assembly operation widely.For example, if the thickness of vibrating mass is four times of thickness of electrode, then 50% of thickness of electrode reduce will only reduce electrode/vibrating mass integral thickness 10%.Although under certain conditions greatly to+it may be receivable that the integral thickness of/-50% combination changes, less than+/-30% variation generally is preferred.
[543]Moreover certain sonic energy generator allows the adjusting of the startup frequency of piezo-electric crystal.With respect to the time, the startup frequency of piezo-electric crystal can be conditioned, thus the variation of compensating electrode material thickness.
[544]According to other embodiment of the present invention, vibrating mass can comprise electrode itself.In particular, vibrating mass can be constructed by suitable conductive material, thereby the generation that applies of potential difference is wished electric field and generated electrochemical treatments.Vibrate therein by electric current for the embodiment that applies generation of the contacted piezo-electric crystal of vibrating mass in, the piezo crystals physical efficiency but is not mechanically electrically, insulate with vibrating mass.
[545]Utilization can strengthen according to the sound wave assisted electrochemical of the embodiment of the invention and handle one or more elements and relatively moving between the electrochemistry bath on every side.Figure 51 represents the simplification cross-sectional view of a this embodiment, wherein rotates base sheet rack 5100, this support 5100 supporting substrate 5102 in chemical bath 5104.This relatively moving is used for further reducing the thickness in the fluid dynamic boundary layer between substrate and a large amount of fluid on every side, strengthen thus during handling ion and other chemical substance to and from the transmission of substrate surface.
[546]Perhaps more importantly, relatively moving also to increase the uniformity of processing, particularly when substrate and electrode relatively are positioned in close.This increase of process uniformity can be by the relatively move generation of various device element by inhomogeneous energy field.By this way, the height that produces in non-uniform field and the node of low energy intensity can not focus on the on-chip fixing point during the entire process operation.
[547]For example, if the current potential field that applies comprises local inhomogeneities, then the mobile of substrate/electrode/vibrating mass can make for the negative effect minimum of handling.Do not relatively move, the point on substrate surface that is arranged in the high energy intensity node may experience the material interpolation speed of increase, and the point in the low energy intensity node may experience the material interpolation speed that reduces.The influence of these high and low-intensity nodes to the processing inhomogeneities of often flattening relatively moves.
[548]Similarly, the negative effect minimum that relatively moves and also can make inhomogeneous acoustic wavefield may produce this inhomogeneous acoustic wavefield when acoustic wave energy leaves vibration surface.The district of close vibration surface is the called after near field usually, and it is characterized in that an inhomogeneities.This inhomogeneities may be extended up to several inches from vibration surface according to the constraints that is applied by frequency, intensity and geometry.
[549]Although Figure 51 is illustrated in substrate and transmits between the bath on every side and relatively moves, this is not by of the presently claimed invention.According to other embodiment, electrode or vibrating mass one of or both, individually or with the moving in combination of substrate, can experience mobile in bath.The adverse effect minimum that relatively moves and often make in applying the current potential field or the generation acoustic wavefield of sending from vibration surface, inhomogeneities is handled like this.
[550]Although and Figure 51 is illustrated in substrate and transmits between the bath on every side and relatively move, this neither require.Can adopt except that the form that relatively moves of rotating moving, for example laterally mobile or vibration is moved.
[551]Above-mentioned specific embodiment focuses on the use of solid electrode structure.Yet, according to selecting embodiment, can adopt the electrode except that solid electrode, to strengthen according to electrochemical treatments of the present invention.
[552]For example, Figure 52 represents the simplification stereogram according to the embodiment of a kind of treatment facility that utilizes the opening electrode structure of the present invention.Electrochemical processing apparatus 5200 and the equipment class of in Figure 48, representing seemingly, difference is that electrode 5202 is characterised in that the pattern of opening 5204 and 5206.Opening 5204 and 5206 allow and the fluid of guiding electrochemical treatments bath by the flowing of electrode, promote the even distribution of chemistry and ionic species in a large amount of fluids thus.The integral thickness of battery lead plate 5202 or orientation remain on above-mentionedly wishes in the scope, thereby vibrational energy passes electrode 5202 transmission.
[553]Be designed so that any inhomogeneities minimum of the acoustic wave energy that receives at the substrate surface place in the size of electrode 5202 split sheds 5204 and 5206 and quantity.In certain embodiment, the width of opening can be more than or equal to a wavelength (or a plurality of wavelength) of incident acoustic wave energy, so that allow passing through of energy waves.
[554]In other embodiments, the width at the electrode split shed can be designed to less than a complete wavelength.A kind of like this electrode structure can cause the diffraction of the incidence wave of sound wave or other kind of energy, produces various diffraction interference patterns.Frequency by changing the incident acoustic wave energy, power stage, and phase angle can make such interference figure change with respect to the time, produce different treatment effects.
[555]Figure 53 represents the simplification cross-sectional view of a kind of selected embodiment of treatment facility, and this treatment facility is similar to the equipment of representing in Figure 52, but it is characterized in that substrate 5300 is supported on above the electrode 5304 in bath 5302.The cross-sectional view that shows in Figure 53 represents that also the electrochemical treatments fluid is through the circulation of the opening 5304a in electrode 5304.
[556]Unlike Figure 52 that flows of the straight-through electrode of suggestion fluid, Figure 53 is depicted in operating period and forces the electrode of the variation of fluid flow direction.The scope of this variation of the direction of streaming flow can move to repeating of may being caused by the operation of static mixer from the single in several years only and change.
[557]Figure 54 represents the simplification cross-sectional view according to another selected embodiment of a kind of treatment facility of the present invention, this treatment facility is similar to the equipment of representing in Figure 52, but it is characterized in that, mechanical vibrator 5400, rather than piezo-electric crystal, contact with vibrating mass 5400.In this embodiment, a large amount of electrochemical treatments fluids 5402 flow to substrate 5404 through the opening in electrode 5406.When the lower vibration frequency of hope, a kind of like this mechanical vibrator design may be effective especially, if particularly such vibration is attended by the large amplitude or the displacement of vibrating mass.
[558]Figure 55 represents the simplification cross-sectional view according to another selected embodiment of a kind of treatment facility of the present invention.The equipment 5500 of Figure 55 is similar to the equipment of representing in Figure 53, but it is characterized in that, electrode 5502 directly contacts with 5504a in manifold 5504, thereby produces the fluid jet that flows out electrode.
[559]In the further improvement of the embodiment that represents in Figure 55, the energy of flow of fluid starts and stops.The pump (not shown) can be used for producing pulsating fluid and flow.The fluid pulsation that produces can be simulated the pressure pulse that exists in the former example roughly, and this pressure pulse causes by the mobile of piezo-electric crystal or by the mechanical vibrator with the vibrating mass coupling.
[560]Pulsating fluid flows and can not only reduce the thickness in the fluid dynamic boundary layer that exists effectively on the surface of one or more elements of system, and can destroy the eddy current that has produced in the stagnant fluid that exists in the recessed feature on substrate surface especially effectively.
[561]According to embodiments of the invention the frequency of fluid pulsation and the size of recessed feature are complementary, so as to make between pit and a large amount of fluids mixing and the fluid transmission maximum.For example, if the frequency of pulsation is too high or too low, the transmission of suboptimum fluid can take place between recessed feature and surrounding fluid then.
[562]Figure 58 represents the simplification cross-sectional view according to another selected embodiment of a kind of treatment facility of the present invention.The equipment 5800 of Figure 58 is similar to the equipment of representing in Figure 55, but it is characterized in that, parts 5802 contact with manifold 5804 direct physical.Thereby the fluid pulse that is produced by the pumping source (not shown) can be with increasing from the piezo-electric crystal (not shown) or with the pressure pulse of the mechanical vibrator (not shown) of vibrating mass 5802 couplings.A kind of like this layout can cause the multi-mode vibration frequency.Thereby, might be created in simultaneously height, in and low frequency under vibration, every kind of vibration frequency has different intensity.
[563]Above-mentioned embodiment according to equipment of the present invention focuses on to be solid or to have the utilization of the electrod assembly of opening.Yet,, can utilize not to be the electrode made by solid material according to selecting embodiment.For example, porous conductive material is as the electrode in fuel cell.Such electrode usually makes up with various ion exchange membranes and separator.Also can utilize the electrode that forms by such material, barrier film, and separator according to embodiments of the invention.The porous that presents by a kind of like this electrode structure, with get in touch the opening electrode structure in similar mode described above, strengthen a large amount of electrochemical treatments fluids and pass flowing of electrode.
[564]And, in fact can prevent the comprising of ion exchange membrane and separator or forbid being included in harmful chemical in a large amount of fluids to the transmission of substrate surface, promote to wish the transmission of material simultaneously.Thereby reactions different in electrochemical processing cell may take place simultaneously.
[565]Further selectively, the electrode structure of contact embodiments of the invention utilization can being compounded to form by material.For example, electrode can be hollow or fluid is filled, to strengthen the transmission of acoustic wave energy.Selectively, polymeric material can partially or even wholly be filled or be coated with to electrode, also improves the ability that its transmission applies acoustic wave energy, produces the electrochemical reaction of wishing simultaneously.
[566]Although figure in the past depicts electrode as flat components, this is not by of the presently claimed invention.According to selecting embodiment, electrode can present different shapes.For example, present the occasion of curved shape on the surface of treatment substrate, electrode can be shaped similarly with consistent with it.
[567]According to other additional embodiments, electrode can be shaped as the smooth flow that promotes fluid.Thereby Figure 56 represents the selected embodiment according to a kind of structure of the present invention, and wherein shaped electrode 5600 presents tear or wing profile, so that promote the level and smooth streamline characteristic circulation of bath fluid with laminar flow rather than Turbulence Flow.The shape of electrode can further promote acoustic wave energy along with the transmission in these corresponding to paths of fluid flow line.A kind of like this electrode shape promotes acoustic wave energy obstacle such as electrode or support component in the electrochemistry bath to propagate, and prevents to cause the sound wave of inhomogeneous processing to block thus.Although be expressed as single large electrode in Figure 56, in fact active electrode surface can comprise a plurality of less fluid dynamic forming elements.
[568]As at the non-divisional patent application no.10/150 of the U.S., in 748 more abundant as described in, under rising pressure, carry out the TRANSFER BY PULSATING FLOW that electrochemical treatments may relate to fluid.The pressure fluctuation operation also can comprise pressure/releasing operation, and wherein when system or local pressure reduction, the dissolved gas under elevated pressures discharges as air-flow.This can comprise as comprising that the result's of high velocity fluid flow pressure falls in groove.Figure 57 represents to utilize an embodiment who produces pressure drop.Can make the opening/closing sequencing of two valves 5700 and 5702, to be created in various pressure and the fluid pulsation operation in the groove.The generation of bubble also can influence the fluid inhomogeneities in groove.
[569]Be not limited to be passed in the transmission of the acoustic wave energy of the electrode of submergence in the electrochemical treatments bath according to embodiments of the invention.Figure 59 describes embodiment, and wherein substrate 5900 is separated by thin fluid layer 5904 with electrode 5902, but not exclusively is immersed in the liquid bath.This thin fluid layer 5904 allows electrochemical reaction to take place.Be used for the particular device that fresh fluid is incorporated into the interface between electrode 5902 and substrate 5900 can comprise forces fluid flow from narrow or wide regional nozzle or jet, from the gravity of vertical operation or from the introducing of porous electrode and/or vibrating mass.Electrode 5902 can be solid, design opening or porous.Vibrating mass 5906 can be equipped with piezo-electric crystal or mechanical vibrator is housed.
[570]Figure 60 represents another embodiment according to a kind of equipment of the present invention.In particular, the equipment 6000 of Figure 60 comprise near and be parallel to the electrode 6002 of the surperficial 6004a orientation of substrate 6004.Be not to be partially immersed in the electrolyte, the thin layer 6006 of liquid is provided between electrode 6002 and the substrate 6004.
[571]During handling, potential difference is applied between electrode 6002 and the substrate 6004 to promote electrochemical treatments.Also during this process, be transferred to the rear side 6002a of electrode 6002 through microwave circuit 6010 from the microwave energy 6008 of source (not shown).This microwave energy 6008 passes electrode 6002 transmission, and the surface of bump substrate 6004.During electrochemical treatments, pass electrode and can improve speed or the uniformity that generates electrochemical treatments effectively for the applying of microwave energy of substrate surface.This applying of microwave energy can maybe needn't be attended by applying of acoustic wave energy.
[572]Although Figure 60 represents only to pass through the introducing of the microwave energy of electrode, this is not requirement.According to the embodiment that selects of the present invention, microwave energy can be introduced at an angle by substrate or with respect to electrode or substrate.These angles can comprise with respect to electrode or substrate parallel, the vertical or introducing of directed energy at an angle.
[573]Although the major part of electrochemical treatments discussion considers to pass the energy transmission of electrode, should be appreciated that this is not requirement.Energy also can reflect and leave electrode structure, and still drops in the spirit of the present invention.And, replace utilization and pass the energy of electrode transmission in the mode of expression, can be modified as these embodiment and show the energy transmission of passing substrate or base sheet rack.
[574]E. substrate drying
[575]The drying of semiconductor chip becomes more important with the contraction of characteristic size after various wet treatment step.Incomplete or invalid drying can stay watermark, the productivity ratio that watermark causes device defect and reduces.Some early stage driers based on the use of hot gas directly to handle fluid from substrate surface evaporation water and other.Other based on the use of organic solvent to move remaining water or treat liquid.The residual solvent film is then by removing by means of adding the mobile evaporation of heated air.
[576]This dry technology based on solvent finally replaces by being called marangoni dry surface tension gradient (STG) drier technology generation sometimes.Although compare more effectively with drier design early, current STG design has that slow processing speed, fugitive pure and mild solution are distributed, and the shortcoming of potential fire.
[577]Thereby, have in the prior art being used for rapidly and the needs of the method and apparatus of dry treatment substrate effectively.
[578]According to embodiments of the invention, utilize multiple technologies can strengthen the drying of the substrate that is exposed to liquid handling solution alone or in combination.According to an embodiment, the substrate dry run occurs under the rising pressure, to increase the concentration that surface tension reduces composition.According to another embodiment, the radiation that applies during dry run and particularly apply at the meniscus place can reduce surface tension.According to another embodiment, during dry run, can apply ultrasonic energy, with from substrate surface evaporation residue liquid.
[579]The invention solves above-mentioned restriction for these various drier early designs.A plurality of embodiment of the present invention is provided at the flexibility that overcomes these restriction aspects.The embodiment of pressurization STG drier is disclosed in the non-divisional patent application no.10/150 of the common U.S. co-pending, in 748 (" ' 748 applications ").The use that fast, effectively reaches the high efficiency heating of substrate is disclosed among the non-divisional patent application no 10/456,995 of the U.S. during handling, and this application is included in here by reference for all purposes.
[580]According to one embodiment of the present of invention, can comprise the radiation heating and the modification of substrate surface based on the STG drier of pressure.By under pressure, operating, can force surface tension to reduce composition and enter in the solution at gas-liquid interface place with very fast speed.The speed that increases dissolving can cause that the composition of recruitment is dissolved in the liquid at gas-liquid interface place in finite time.The amount that is increased in the composition of the dissolving in this processing fluid at the interface can cause lower local surface tension.The low local surface tension in meniscus region particularly in fluid can cause big surface tension gradient or poor between the surface tension of this surface tension and a large amount of processing fluids.This big surface tension gradient can cause faster and more effective and more high efficiency drying.
[581]Sometimes a problem that runs in conventional STG drying is, gas and steam condensing on substrate surface when fluid is handled in the substrate emersion.Thereby, not the cooling processing fluid time or actually the cooling processing fluid time, can heat STG gas actually according to an alternative embodiment of the invention.
[582]Can select among the embodiment at such one, when substrate leaves treat liquid, the STG gas heated substrate of heating, this prevents water vapour or STG gas componant condensing on the substrate surface that exposes.Because it is less that STG The Thermal Capacity of Gaseous rate is compared with the thermal capacity of treat liquid, so even heated air, the temperature of the treat liquid that also can obviously not raise.Keep the temperature of treat liquid low, guarantee that the STG Gas Solubility in treat liquid is more constant, perhaps under the situation of the cooling of treat liquid, increase.
[583]According to embodiments of the invention can about 1 with the rising pressure of 10ATM under operate, although be higher than 10ATM and be possible up to the operation under 100ATM or the higher pressure.Operation under rising pressure can be by finishing in the container handling that makes gas, processing fluid and/or flush fluid flow into sealing or seal substantially, as general description in ' 748 applications.
[584]Suitable surface tension reduces reagent and can exist as solid, fluid, steam and gas and combination thereof.Surface tension reduces gas or can be applied to the gas-liquid interface place partly, and perhaps their integral body is applied in the vapor space.In some cases, it is own mutually that reagent can be applied to big quantity of fluid, to reduce the surface tension that all handle fluids.Some of these reagent is the same with solid with some liquid to be presented than low vapor pressure, and other reagent can present the vapor pressure relevant with highly volatile gas.By the processing in sealing chamber or system, volatility surface tension seldom reduces reagent and can escape in the atmosphere.If wish, also reclaim and use again these reagent easily.In the time must handling or destroy STG reagent that use or too much, they can manage at maximum concentration site everywhere, avoid the installation of handling the desired expensive treatment of whole air treatment system system and the needs of use.
[585]In another embodiment, can utilize the surface tension that in treat liquid, has limited solubility to reduce composition.For example, carbon dioxide and ozone gas show limited solubility in most of light water based sols.When operating pressure increased, not only these part solubilized surface tension reduction compositions entered the speed increase in the Treatment Solution, and its amount also increases.By increasing that higher solubility that pressure generates can cause the local surface tension that reduces and in increase surface tension difference or gradient between those of the liquid at gas-liquid interface place and fluids in a large number.
[586]According to another embodiment of the present invention, STG gas can be dissolved in the carrier liquid.Carrier liquid can not add the surface of treat liquid then to with mixing, with separation and the clear layer that remains on the rich carrier liquid of STG on the treat liquid top.Then substrate being passed the carrier liquid layer rises.
[587]In various embodiments, carrier liquid and treat liquid can be identical or different materials.STG gas is not requirement.Can use to have the capillary carrier liquid different, and need not separate the interpolation of STG gas componant with treat liquid.The carrier fluid physical efficiency has the temperature identical or different with treat liquid.Although treat liquid or carrier liquid can be water base or mixable in aqueous solution, this is not requirement.And one of carrier liquid and treat liquid can be that water is miscible, and other liquid is not miscible.Physical property qualitative difference such as density also can be with helping to keep layer to separate.
[588]According to other embodiment, radiation can be applied to substrate and/or treat liquid in the part, particularly in the zone of meniscus, so that improve surface tension gradient.This radiant energy that applies causes local heat substrate or the treat liquid in this curved notes face district.For multiple processing fluid, the rising of temperature reduces surface tension.For example, microwave radiant energy heating aqueous solution and silicon chip.
[589]When silicon chip when aqueous solution exposes, the microwave energy heated substrate and the solution that apply.Be not immersed in substrate sections in the aqueous solution than tank solution or the substrate sections that still is immersed in the tank solution heat up faster.This heating difference is owing to compare the less thermal capacity that exposes substrate with the thermal capacity of tank solution.Therefore, even microwave energy is coupled than poor with solution with silicon chip, given radiation flux also can cause the faster heating that exposes substrate.
[590]In addition, the fluid in the meniscus district also adds immersion heater than tank solution.The liquor capacity in meniscus region very little (surface area/volume is bigger than tank solution in meniscus) not only, the solution in this zone also expose the substrate received energy from heat.Therefore the solution in meniscus heats comparatively fast, and its surface tension reduces.In another embodiment, tank solution itself can heating before the removing of submergence substrate.
[591]Variations in temperature can influence the solubility of multiple suitable reagent.According to another embodiment, reduce gas for some part solubilized surface tension, when fluid temperature increased, their solubility in these liquid reduced.By increasing pressure process, force more surface tension to reduce gas and enter solution.This increase solubility that is generated by increase pressure can work, to remedy or to compensate the solubility that reduces under higher temperature.The solubility of this increase also allows the generation of high surfaces tension gradient, causes the drying that strengthens.The solubility of this increase also further allows some inapplicable surface tension to reduce the use of composition.
[592]In addition, the chemism of multiple processing fluid is the function of process temperature.Like this, may wish during handling to change temperature or pressure,, utilize the characteristic of heterogeneity to use in combination when several.In certain embodiments, may wish to handle by second kind subsequently with a kind of reagent.In another embodiment, may wish in single container, to handle.In yet another embodiment, processing may occur in sequential process in a plurality of containers.
[593]For different purposes, various emission types may be useful.These can include but not limited to microwave, ultraviolet ray, infrared ray, reach electromagnetic induction.In another embodiment of the present invention, radiation can heated substrate or the treat liquid on substrate surface, thereby promotes very fast evaporation.For example, microwave, infrared ray, and electromagnetic induction may be useful as useful all kinds energy when the heating.
[594]In another embodiment, radiant energy promotes the decomposition of the organic dry liquid exist on substrate surface.Remaining dry liquid energy is by partially or even wholly oxidation, thereby the speed of liquid is removed in increase from substrate.In such an embodiment, ultraviolet radiation apply the advantage that can provide certain.And oxidant also can utilize in combination with radiation, to strengthen the degraded of residual liquid.The example of useful oxidant includes but not limited to ozone, hydrogen peroxide, reaches nitrogen oxide.
[595]In other embodiment, the residual process liquid on substrate surface can leave the surface by the evaporation that applies of ultrasonic energy.A plurality of about other embodiment, residual process liquid can be in droplet or even the form of the film on covering substrate surface.In some cases, in substrate, also may comprise treat liquid in the very little cavity.Have been found that the film of liquid or droplet can promptly be vaporized by directly applying ultrasonic energy to substrate, make the substrate surface drying.
[596]For effectively and transmit energy from vibrating mass to substrate expeditiously, the effective sound wave coupling between vibrating mass and substrate should take place.Energy should be coupled between substrate and residual liquid then.Energy causes the liquid evaporation then or forms the mist that leaves substrate surface.
[597]Guaranteeing a kind of effective means of Best Coupling, is that substrate is closely contacted with vibrating mass, and (substrate adds vibrating mass thickness=n λ/4, n=1,3,7 to make their each thickness sum equal the odd-multiple quarter-wave of ultrasonic energy waves ...).Must the speed of consideration sound in every kind of material.Big more with the deviation of this thickness, the energy poor more and transmission that is coupled is few more, among the embodiment of level of representing in Figure 61 B and 61A and vertical orientation, ultrasonic energy directly is transferred to another lip-deep residual liquid through substrate 6108 from vibrating mass 6100 respectively.
[598]In certain embodiments, having optimum capacity transmission may not be basic, and only the portion of energy transmission may be suitable to carry out receivable drying.For example, under the best circumstances, very close to each other between vibrating mass and substrate.In multiple practical use, because require the machine tolerance or the Machinery Design of separation, some gap appears.In these cases, generally may be importantly, the gap is not filled with the compressible fluid such as gas.When these gaps were filled with comparison incompressible fluid such as water, receivable energy transmission can take place.Figure 62 represents and uses the relatively embodiment of incompressible fluid 6206, that its intermediate gap becomes is very big (>1m) and still have significant energy transmission to take place.
[599]Can select among the embodiment at another, when being passed in both sides by the substrate transmission energy of fluid constraint, substrate thickness should with quarter-wave even-multiple coupling (substrate thickness=n λ/4, n=2,4,6,8 ...).Figure 63 shows such an embodiment.Because for current general adopt million frequently acoustic wave energies require wafers 6302 to want much thick, so this may be conventional million frequently the sound wave purging systems pass or have a reason of limited successful transmission energy by silicon wafer.When directly contacting, only require that the thickness sum of substrate and vibrating mass is approximately equal to the odd-multiple quarter-wave with vibrating mass.
[600]Moreover the thickness of vibrating mass and substrate may be by other constraints domination.Wish thickness if the combination of its each thickness is coupled not add to for the hope energy under given frequency, may wish that then regulating frequency equals the odd-multiple quarter-wave of the ultrasonic energy of its combination thickness sum with generation.Although according to the preferred million frequency acoustic systems of the embodiment of the invention, the frequency adjustment that adapts to each crystal to a certain degree of thickness difference or variation wishes that this is not requirement.Such frequency adjustment generally is not suitable for multiple conventional fixed frequency system, but they are operated satisfactorily.
[601]Although these embodiment clean and handle in the purposes at substrate for handling and dry substrate is useful, by with the vibrating mass of correct thickness to contact that the energy that passes through substrate that generates transmits also can be useful.Single-wafer processing equipment is suitable for adopting expediently embodiments of the invention especially well.These and other embodiment cleans at chemically mechanical polishing (CMP), the wafer scrubbed by brush, and electrochemical treatments in also can be particularly useful.
[602]By the principle for the ultrasonic applications reflection that produces, transmission, refraction and pattern conversion, other notion of acoustics can be used for making new embodiment of the present invention to benefit.Interested especially is to utilize the pattern conversion vertical or dilatational wave to be converted to the design of surface wave.These surperficial wave energy comprise Rayleigh, Lamb, reach the Love ripple.Each of these ripples produces different ripple patterns on the surface of vibrating object.These different ripple patterns can have with substrate with in the interaction of the uniqueness of on-chip pollutant.When feature sizes of devices is shunk, become and the more important thing is, obtain " softer " mode that applies ultrasonic energy that does not cause that device damages.In addition, pattern conversion pass be immersed in the silicon wafer that contains in the water bath be transmitted in effectively that industry is suitable for can the big effect of lifting in the energy under the frequency.
[603]Although may relate to the chemical treatment of the substrate that utilizes according to embodiments of the invention during the manufacturing of semiconductor device, for example substrate comprises silicon, SiGe, GaAs, Si, GaAs, GaInP, and GaN or the like.Yet, the invention is not restricted to the processing of semiconductor chip, and other material can stand heating using microwave during handling.Utilize the example that is used for chemically treated other selection example of the present invention to include but not limited to hard disk and hand disk wafer; Optical devices such as mirror, lens or waveguide; And at micro electromechanical system (MEMS), liquid crystal indicator, biomedical slide glass, Optical devices, mirror, lens, waveguide, the substrate that is used for DNA or genetic marker, LCD, and the substrate that utilizes of the structure of other medium.
[604]F. other million sonicated purposes frequently
[605]Utilize directive force (that is, energy or material flow) or utilize the treatment with supercritical fluid substrate to apply enhancing can be by acoustic wave energy the time, acoustic wave energy causes the vibration displacement of substrate or contacting with fluid.With acoustic wave energy or replacement acoustic wave energy, also can apply the energy of other form that comprises mechanical oscillation and microwave.According to one embodiment of the present of invention, sound wave or vibrational energy and substrate coupling can be strengthened with the processing of supercritical carbon dioxide to substrate with big validity and uniformity.
[606]The dissimilar substrate processing that can finish according to the present invention includes but not limited to clean or remove degranulation and pollutant; Coating or deposition materials; Etching or remove material; And chemistry or the electrically various substrate surfaces of modification, structure and layer.
[607]Also can be used to strengthen the treatment substrate effect of utilizing multiple different technologies according to embodiments of the invention.Jet the applying that a kind of such treatment technology is gas, liquid, solid or its mixture for substrate.
[608]According to an embodiment, the present invention usefully strengthens the cleaning of substrate with the jet of dry ice shape thing (dry ice) and/or low temperature argon gas colloidal sol.Figure 64 represents to be used for to carry out the simplification cross-sectional view of an embodiment of a kind of equipment 6400 of this processing.Vibrating mass 6402 mechanically is communicated with piezo-electric crystal 6404.The substrate 6406 that is loaded with contamination particle 6408 in its surface is placed on the vibrating mass 6402.The jet 6412 of 6410 pairs of substrate surface emissions of nozzle low temperature aerosol is thus from the physically mobile particle 6408 of substrate surface.
[609]In order to clean substrate with dry ice or snow shape thing, allow gaseous state or liquid carbon dioxide in nozzle 6410, to expand (for example) with constant enthalpy, thus gas and liquid cools are formed on point in the gas stream/jet 6412 to solid carbon dioxide particle.These carbon dioxide particles that condense are directed to substrate surface, and hit contamination particle from substrate surface.
[610]Advise that some of solid carbon dioxide particle are owing to the distortion that the collision with contamination particle or substrate surface generates is melted." liquid " carbon dioxide that generates provides the good solvent that is used for from substrate surface dissolving and mobile pollutant.The dry ice particles of fusing is rebounded at it and may be solidified again then when leaving substrate, carries pollutant by means of it.
[611]When processing was carried out, the bump of cold flow/jet also can cause the remarkable cooling of substrate surface.Energy can be incorporated into substrate preventing common cooling, and makes the minimum of condensing of steam or other steam.Such processing is generally carried out under atmospheric pressure, although this is not requirement.
[612]For low temperature aerosol by means of argon, the gaseous state argon generally allow in nozzle to expand (for example, adiabatic expansion/cooling) remarkable to remaining on less than in the chamber under the atmospheric pressure, some that make argon gas thus convert the argon particle that condenses for a short time to.These that advance in the gaseous state argon stream/jet particle that condenses is directed to substrate surface similarly, to hit particle and other pollutant.Equally, significant substrate cooling can take place during handling.
[613]Although do not wish, might may strengthen by following mechanism according to the processing of the embodiment of the invention by any concrete theory constraint.When the surface vibration of substrate, and its position in the space is when repeating to change, improve the various processing events that take place by means of different technologies dynamically.
[614]For example, comprising that the low temperature aerosol of cold argon gas with the argon particle that condenses pasting the occasion that substrate surface applies, and changes in these condense argon particle and the interactional essence between the contamination particle on the substrate surface.In the static occasion of substrate surface, particular crash dynamically exists between argon particle and the contamination particle condensing, and causes specific momentum-exchange and particle deformation and resilience.
[615]When the vibration of substrate surface/particle or fast moving take place, can revise collision dynamically.Thereby according to vibration frequency, during the part of collision accident (that is, particle is to the interactional duration of particle), surface and the pollutant that carries thereon may quicken to the argon particle that condenses of coming in.Yet, during another part of collision accident, can quicken surface/pollutant away from the argon particle that condenses of coming in.Interaction energy/power increases for the acceleration to the particle of coming in, and reduces in the accelerating period away from the particle of coming in.
[616]Moreover,, during single collision accident, may take place in several variations on the acceleration direction of surface/pollutant for the high-frequency vibration.Thereby replace and relate to single collision and corresponding MOMENTUM TRANSMISSION, in fact dither can provide repeating increase and reducing of the power that is applied on the particle during the collision time cycle.The MOMENTUM TRANSMISSION that generates can present pulsation essence in the mode similar to pneumatic pick.
[617]Here several substrate/surfaces that can make of treatment process of Tao Luning and technology vibrate during operation.In history, not the generated frequency of such processing induced vibration, intensity, and inhomogeneities change to the more hope frequency that can be used for strengthening processing, intensity, and the convenient manner of inhomogeneities.Therefore, need to regulate or revise frequency, intensity, and the convenient manner of inhomogeneities of the vibration of various substrates.
[618]A kind of means of regulating the feature of substrate vibration during handling are effectively sound wave and other vibration to be caused that energy and substrate are coupled.The several method and the equipment of the increase coupling of performance acoustic wave energy and various substrates are below disclosed.Take place with the enhancing sound wave coupling energy of substrate front side, rear side or edge for substrate.Under latter event, applying of acoustic wave energy is parallel to substrate surface.
[619]The another kind of means of regulating the feature of substrate vibration during handling are to improve the uniformity of the energy that sends from vibration surface.The increase inhomogeneity method and apparatus of the energy field that performance sends from vibration surface is below also disclosed.Such increase field uniformity can cause the increase uniformity of the processing of substrate surface accordingly.
[620]Present wide range of frequencies, intensity, and the applying energy and can strengthen processing of inhomogeneity other type.The energy of such optional form includes but not limited to that heat, microwave, mechanical oscillation, IR, UV, fluid dynamic or fluid flow.
[621]Heat energy apply the variation that can cause substrate temperature.Can add energy, cause the substrate heating.Selectively, can remove energy, cause the substrate cooling.When temperature change, can revise various particles and pollutant adhesiveness for substrate surface.
[622]For some substrate, microwave and infrared energy are used for increasing substrate temperature, and other substrate may require direct contact the between substrate and heating element heater.By substrate is closely contacted with the cooling-part that comprises the passage that can receive liquid nitrogen, can realize cooling effectively.
[623]In the processing that raises or reduce under the pressure may be useful, particularly for the various processing fluids that comprise carbon dioxide under the condition more than the critical point.Utilize different technologies can cause that substrate vibrates under various frequencies.These means include but not limited to: by contacting or indirect sound wave coupling by the insertion fluid layer with the direct of vibrating mass; With contacting of mobile or pulsating fluid; And the existence in vibration or pulse electric, RF or magnetic field.Energy can be coupled to or impinge upon with any angle with respect to substrate surface on any side or surface of substrate surface.
[624]According to some embodiment, under some angle, applying energy can reflect from substrate surface, and under other angle, energy may pass the substrate transmission.Other applying under the angle, according to the environment around the substrate, a kind of energy waves of form (as the turgor pressure Reeb) can convert another kind (as surface or shear wave) to.
[625]By further example,, can make substrate surface/pollutant vibration by acoustic wave energy being coupled on the rear side that is also illustrated in the substrate among Fig. 1.This finishes by substrate is directly contacted with a lateral surfaces of vibrating mass.This vibrating mass can comprise having the plate that appends to the piezo-electric crystal on the relative lateral surfaces.Plate can be by various materials structure, and these materials include but not limited to extensive so different material, as aluminium, stainless steel, quartz, and pottery.The piezo crystals physical efficiency is used in the potential excitation that applies near alternation under the frequency of 1MHz.In case vibration, the jet of dry ice shape thing can be directed to the substrate surface that is loaded with contamination particle.
[626]There are not the upper limit or lower limit for the scope that can receive vibration frequency.Yet in practice, usable frequency may be positioned at the scope from 1Hz to 1GHz.Also can use under certain condition to have even the energy of other type of higher operating frequency, as conventional microwave.
[627]Selectively, replace direct contact that has between substrate and vibrating mass, when vibrating mass and substrate separation, can use coupled fluid.Various couplings and energy transmission method comprise the use of sound wave nozzle.The coupling of the front surface of some method permission and substrate, and other allows and the coupling of the rear surface of substrate.The thickness transmission that other method allows energy to pass substrate, and other other method causes the reflection of energy.Certain methods is immersed in substrate fully and handles in the fluid, and other only needs applying of thin fluid film.
[628]Among another embodiment that represents in Figure 69, the ultrasonic nozzle 6900 that is used for gas/liquid/solid jet 6902 is used for forming pressure pulse at gas/liquid/solid jet before the surface of impinging jet substrate 6904.In this embodiment, piezo-electric crystal is included in the expanding nozzle 6900 that uses in the low temperature aerosol cleaning of using argon.Although this applying generally being lower than under the atmospheric pressure carried out, this is not that jet by other type cleans desired.In some cases, the above pressure of atmospheric pressure may be wished.
[629]Among the selected embodiment that represents in Figure 70, million frequency sound wave nozzles 7002 can use with vibrating mass 7004.Among another the selected embodiment that represents in Figure 71, million frequency sound wave nozzles 7112 can be with the use that applies of microwave energy.In any of the embodiment that represents in Figure 70-71, relatively moving between substrate and nozzle is possible.
[630]According to another embodiment, before the introducing of the jet of energy or material, during and/or afterwards, can cool off substrate.Although jet can comprise above-mentioned gas and the typical mixture of condensing particle, in certain embodiment, jet can comprise gas, liquid or both combinations.Acoustic wave energy or substrate surface vibration can be used, but are not desired.
[631]This cooling can realize in many ways, and carry out under various speed and for different temperatures according to each characteristic of substrate and contamination particle.Figure 72 represents the simplification cross-sectional view according to an embodiment of a kind of treatment facility 7200 of the present invention.Base sheet rack 7202 is characterised in that the labyrinth 7204 of cooling duct.Liquid state or gaseous nitrogen are introduced in the passage 7204, so that the temperature of substrate 7206 is reduced to low temperature range rapidly.Fast cooling can cause the reducing of adhesion strength between substrate surface and contamination particle, helps particle and removes.
[632]Among another embodiment that represents in Figure 80, evenly the part condensable gas 8000 of low velocity overflow and had the substrate front 8010 of contamination particle 8200 on it.Enfleurage dish 8030 is cooled off rapidly.When some of gas 8000 condensations or when condensing, the constraint between substrate 8010 and particle 8020 is broken between substrate surface 8010 and contamination particle 8020.Optionally the high velocity fluid jet (not shown) is mobile contamination particle 8020 substrate surface 8010 that blows off, and piezo-electric crystal 8050 provides dither to substrate 8010 simultaneously.
[633]With require fusing to cover ice sheet to compare, generally need less energy to break constraint between ice and substrate.Thereby according to another embodiment of the present invention, condensable gas formed ice sheet on particle and substrate surface after, enough energy can apply with heated substrate and break constraint between ice and substrate, but are not enough to melt fully ice sheet.In case break the constraint of substrate-ice, just can more easily blow off or remove deicing and pollutant, wish to look like to comprise the thin slice or the sucker of contamination particle.
[634]By another embodiment, before the introducing of the jet of at least a energy that comprises gas, liquid, solid or its pollutant or material, can heated substrate.For those substrates that can receive microwave energy, microwave energy may be the effective means of rapid heated substrate.
[635]In addition, some substrate of heating using microwave, and do not heat certain gas and solid.This can allow substrate to remain under the desired temperature, and with do not heat the material jet of coming in before substrate contacts.
[636]Figure 71 represents the simplification cross-sectional view according to the embodiment of a kind of treatment facility 7100 of the present invention.Substrate 7102 is supported in the treatment chamber 7104.The rear side 7102b of substrate 7102 is exposed to the microwave energy from source 7106, and is heated thus.The front side 7102a that is loaded with the substrate 7102 of contamination particle 7108 is exposed to the jet 7110 from the low temperature aerosol of nozzle 7112.
[637]It is optionally that the energy of vibration or other form adds.In addition, fluid injector cleans jet with low temperature aerosol and comprises or replace low temperature aerosol cleaning jet, can treatable scope to further expand.
[638]In order to make the displacement maximum of substrate surface during vibrating, what possibility was useful is physically to keep substrate to contact with vibrating elements.This can realize in many ways, comprises that vacuum cup or other keep the use of anchor clamps.Also can adopt the various maintenances and the clamping design that do not rely on vacuum.
[639]Figure 66 represents the simplification cross-sectional view according to the selected embodiment of a kind of treatment facility 6600 of the present invention, and wherein substrate 6602 is clamped on the base sheet rack 6604 by vacuum draw.Piezo-electric crystal 6606 appends to the back side of vibrating mass 6612, and vibrating mass 6612 contacts with 6604 the back side again.Crystal 6 606 is energized with high frequency 6602, and support 6604 rotates, and relatively moves to introduce between aerosol jet and substrate surface.Selectively, jet can move, and substrate and support maintenance are static.
[640]Mechanical vibrational energy is used for replacing acoustic wave energy, perhaps combines with it.In the simplification cross-sectional view of Figure 67, represent according to an alternative embodiment of the invention in, substrate 6700 is positioned in the base sheet rack 6702.Mechanical vibrator 6706 is coupled on the back side of base sheet rack, base sheet rack also as vibrating mass 6704 to allow vibrational energy transmission of 6700 from vibrator 6706 to substrate, cause substrate surface and the displacement of the pollutant 6708 of existence thereon.Low temperature aerosol 6710 is directed to substrate surface from nozzle 6712.
[641]Substrate surface can be faced any direction, perhaps is in any orientation, and still operates according to embodiments of the invention.In certain embodiment, substrate surface may be preferably towards last, and can face down in other embodiments or be in a certain angle with respect to vertical plane.
[642]With similar pattern, jet can be with scope from vertically being directed to substrate surface to parallel any angle.During handling, it is constant that this angle needn't keep.Jet can cause leaving the pattern conversion of the pulsating pressure waves of jet nozzle to the vibrometer ground roll in substrate with respect to substrate surface with the location of suitable angle.
[643]In an alternative embodiment of the invention of representing in the simplification cross-sectional view of Figure 68, liquid level 6800 is formed on the surperficial 6802a of substrate 6802, and this substrate is fixed on combination base sheet rack/vibrating mass 6804.By piezo-electric crystal 6806 being appended on the dorsal part of combination base sheet rack/vibrating mass 6804, acoustic wave energy is coupled on the dorsal part of substrate 6802.Aerosol jet 6808 is directed to the front surface of substrate 6802, and this front surface is coated with thin fluid layer 6800.
[644]Although jet 6808 can be the low temperature aerosol type, this does not require.Jet 6808 can include only gas, and does not comprise the coagulate solids particle, perhaps can include only liquid, perhaps can comprise the combination of two-phase.Processing can be finished under any pressure or temperature.
[645]Be not limited to applying of solid-state, liquid or gaseous state particle according to embodiments of the invention.The another kind of treatment technology that can strengthen according to embodiments of the invention is by means of the processing from the substrate surface of the energy of laser instrument.The example of such laser treatment comprises that impact is cleaned, dry type is cleaned, reaches explosive evaporation.
[646]Figure 65 represents the cross-sectional view according to an embodiment of a kind of equipment 6500 of the present invention, and this equipment can be used for carrying out laser-impact and clean (LSC).When laser instrument 6502 just in time focuses on the surperficial 6504a that is supported on the substrate 6504 on the vibrating mass 6506 that are communicated with piezo-electric crystal 6508 machinery when above.Produce thermal shock wave 6512 from the focused beam 6510 of the laser instrument 6502 local part by the atmosphere of rapid heating more than substrate surface 6504a.This shock wave is propagated on the surperficial 6504a of substrate 6504, moves contamination particle 6514 from it.
[647]Equally, do not wish that for the processing by means of the material jet, the mechanism of as described above can strengthen by means of the substrate of laser energy to be handled by any concrete theory constraint.Thereby for LSC, the appropriate frequency of surface/pollutant and the vibrational energy of intensity are improved in shock wave and the interaction between the contamination particle on the substrate surface.Substrate surface/pollutant during the time period of shock wave and each particle or pollutant local interaction fast, repeat to move the validity and the efficient that can change particle and pollutant removal, allow to apply the variation of the intensity of energy.
[648]Figure 73 represents the simplification cross-sectional view according to the selected embodiment of a kind of treatment facility of the present invention, and wherein during LSC handled, substrate 7300 was included in and is pressurized in the above outer cover 7302 of atmospheric pressure.The operating pressure of this rising changes the spread speed and the intensity of shock wave 7304, is created on the not dynamic interaction between the surperficial 7300a of shock wave 7304 and substrate 7300 thus.In another embodiment, the outer cover that can reduce pressure reduces induced with laser thus and impacts intensity of wave.
[649]The equipment of Figure 65 can be used for the laser treatment except that LSC.For example, can adopt dry laser cleaning, it is characterized in that using laser directly to ablate/damage and directly leave the particle of substrate surface, perhaps modification should the surface.Selectively, can implement explosive evaporation, it causes that in laser focuses on the thin layer of the alcohol/aqueous mixtures on substrate surface explosive vaporization/explosion time of mixture takes place." blast " front propagation that generates is crossed substrate surface, moves contamination particle from it.
[650]According to another embodiment, substrate is cooled, and introduces vibration before the applying of laser-impact.Although generally carry out under atmospheric pressure, dynamic according to the surface and the collision of particle characteristics and hope, laser-impact also can be realized being higher or lower than under the atmospheric pressure.Except that the restriction that applies by building material and equipment design, there are not theoretical upper limit and lower limit for operating pressure.The equipment that is known that in industry can operated above under the pressure of several kips of per inch.
[651]According to another treatment technology that the embodiment of the invention strengthens, be by means of supercritical fluid carbon dioxide (CO for example 2) substrate handle.Under the temperature and pressure more than the critical point of particular fluid, material becomes supercritical fluid.
[652]Under such condition, material is gas, liquid rather than solid technically.Carbon dioxide under supercriticality presents the characteristic of low surface tension of gaseous state, but also presents the rising density feature of liquid condition.Although high-speed jet can strengthen processing,, also can cause useful processing by the unique property of the fluid that more than super critical condition, exists even without high-speed jet.
[653]In certain conventional design of treatment facility, supercritical carbon dioxide is almost stagnated in treatment chamber.Substrate is present in the supercritical fluid, and this supercritical fluid plays a part to remove the solvent that depollutes from substrate surface.
[654]In other conventional equipment design, carbon dioxide quilt pumping is continuously crossed treatment chamber, attempts to produce to help to remove the small fluid dynamic power of depolluting.The operating pressure that replaces the maintenance system is constant, can make the operating pressure fluctuation or the pulsation of system during handling.These pressure pulses can perhaps can circulate between overcritical and meto-super-critical level all more than super critical point.Under the meto-super-critical condition, the fluid such as carbon dioxide for example can become gas, liquid or solid, and then when rebuliding super critical condition conversion return supercritical fluid.
[655]Advise, acoustic wave energy is incorporated into strengthens substrate in the supercritical carbon dioxide and handle.Yet because supercritical fluid is not under fluid or the solid state, the transmission of the acoustic wave energy by supercritical fluid may reduce or decay widely.In general, square variation of the frequency of acoustic wave energy is pressed in the decay in medium.Thereby, when semi-conductor industry to remove than the higher frequency acoustic wave energy of granule apply migration the time, becoming more and more is difficult to acoustic wave energy by medium transmission significant quantity.
[656]When sizes of substrate increased, the difficulty that applies vibrational energy in the scope of first supercritical processing became and more bothers.When with direction that substrate surface parallels on when applying acoustic wave energy this effect obvious especially, as tradition has been carried out in the bath treatment.Directly passing the requirement that the history of the acoustic wave energy of substrate transmission significant quantity attempts being complementary by the even-multiple quarter-wave that makes substrate thickness and pressure wave for maximum transmitted is hindered.For example, because the less thickness of its semiconductor wafer, requiring frequency is three or four times of current those frequencies that are used to handle.
[657]In the conventional batch process of utilizing the aqueous solution under atmospheric pressure with in cleaning, ultrasonic energy and substrate surface had transmitted very long distance abreast.Yet because the acoustic wave character of the material that relates to, such means can not be carried out in the scope of first supercritical processing.Therefore, becoming more importantly is, makes the energy coupling between substrate and the vibrating mass maximum, and makes rise that acoustic wave energy must transmit in fluid from minimum.The high efficiency energy transmission of directly passing substrate thickness is particularly useful, and the surface that allows substrate and vibrating mass direct contacts or closely separate with it.A kind of like this layout makes acoustic wave energy must pass the distance minimum of too high dampening fluid.
[658]Bad impedance matching between the sound impedance of the material of supercritical fluid and vibration surface can prevent the transmission of the acoustic wave energy of q.s.Thereby the selection with material of suitable thickness becomes very important, so that typical observed impedance mistake coupling is minimum in conventional treatment.
[659]The first supercritical processing that strengthens according to the embodiment of the invention can comprise all gases with supercritical fluid that can be called cosolvent and the use of liquid mixture.Selectively, the material outside the removing carbon dioxide can be used for the processing under the above condition of its critical point.Although and wish that generally the first supercritical processing fluid comprises the homogeneous multiple composition of formation, this is not requirement.According to selecting embodiment, handle chemical property and can comprise two-phase or multiphase.Can utilize two-phase or more heterogeneous chemical property.
[660]Acoustic wave energy can transmit through medium as a series of pressure waves.Thereby regardless of the ratio lower frequency from mechanical vibrator, still from the ratio upper frequency of piezo-electric crystal, acoustic wave energy can be regarded the extension of the slow pressure pulse that utilizes in history as in first supercritical processing.According to embodiments of the invention, the pulse under a plurality of frequencies is introduced simultaneously, handle further to strengthen.
[661]In one embodiment, acoustic wave energy can be incorporated into the treatment chamber of operating under super critical condition.As shown in the simplification cross-sectional view of Figure 74, realize on the outside of at least one wall 7402 that this can be by appending to piezo-electric crystal 7400 chamber 7404, make the thickness of this wall be chosen to be approximately equal to the odd-multiple quarter-wave of the acoustic wave energy that applies, so that the energy coupling is maximum.Because the energy among Figure 74 is passing chamber wall transmission rather than generation container itself in, so avoid and the sealing electrical lead wire that leaves hyperbaric environment, and the relevant problem of compatibility between piezo-electric crystal 74000 and processing chemical property.
[662]The chamber that the fluid that although the embodiment of Figure 74 describes that fluid inlet 7406 and outlet 1008 are oriented to parallel with the surface of substrate surface 7410 as suggestion flows, high-speed jet can utilize at any angle and be orientated with respect to substrate surface.
[663]Figure 79 represents the such simplification cross-sectional view that can select embodiment, and this embodiment comprises the unique physical and the chemical property of supercritical fluid and has the benefit of acoustic wave energy of the fluid dynamic character of fluid jet.As among former embodiment several, jet 7900a-c provides the convenient manner for contamination particle transmission momentum.And if wish, a plurality of jets energy sequencing are with inswept substrate 7902.This can be combined with million frequency acoustic systems of a plurality of piezo-electric crystals that comprise order or start continuously.
[664]Can select embodiment according to another, in the occasion that thickness of container wall is determined by other constraints, the driving frequency that can regulate piezo-electric crystal, so that the odd-multiple quarter-wave that given wall thickness equals to wish, as disclosed in the above application reference.
[665]Further optionally, replace crystal directly is bonded on the outside of chamber wall, crystal can be bonded on the oscillating plate, and this oscillating plate appends on the chamber wall then rigidly, as representing in the simplification cross-sectional view of Figure 75.With thickness and the generation sum that also comprise substrate thickness ideally of the cell wall 7502 tight oscillating plates 7500 that contact, should equal to apply the odd-multiple quarter-wave of energy.Although vibrating mass 7500 is expressed as being bonded in Figure 75 on the outside of chamber wall 7502, vibrating mass can optionally be bonded on the inboard of chamber wall.Yet a kind of like this embodiment of selection can make to set up and be connected difficultly a little with the reliable electric of vibrating elements, and can be created in the material of involving vibrations parts and it its exposure handled potential consistency problem between the chemical property on every side.
[666]Can select among the embodiment at such one, substrate can be suspended in the chamber in the supercritical fluid, perhaps can directly contact with chamber wall as Figure 74 and expression in 75.When directly contact with chamber wall or closely near the time, can utilize the variation of vibration frequency or intensity to destroy the near field effect and strengthen the uniformity of handling.
[667]Occasion in that the substrate and the chamber wall (or vibrating mass) of transmission acoustic wave energy directly contact may need to consider the thickness of substrate and the thickness of chamber wall (or vibrating mass) in the transmission of maximization energy.In such purposes, the combination thickness of substrate and chamber wall (or vibrating mass) is considered to be similar to the material component of each element consistent with the odd-multiple quarter-wave leading line (guideline) under operating frequency.Although do not require thickness coupling accurately, in leading line value+/-50%, be preferred generally.
[668]Can select or regulate the frequency of acoustic wave energy or the thickness of chamber wall (and/or vibrating mass), so that pass the transmission maximum of the acoustic wave energy of substrate.By Fremont, the million frequency sonic generators that the Inc. of PCT system of California makes allow this adjusting.
[669]Because the velocity of sound can change widely with material, thus the combination of every kind of material or all kinds of material will require and select unique gross thickness that frequency is complementary or with the unique optimum frequency that generates thickness and be complementary.For example, be 6380m/s although the velocity of sound in aluminium is similar to, it only is 5780m/s in stainless steel.Thereby in order to guarantee optimum capacity coupling, the aluminium sheet that required thickness is bigger than corrosion resistant plate.
[670]When substrate did not directly contact with chamber wall, substrate can be directed at any angle with respect to any of wall.When being positioned in the angular range for the incident acoustic wave energy, the transmission of passing the acoustic wave energy of substrate also can take place.Outside this angular range, can be from substrate surface reflective sound wave energy.Figure 77 represents to be used for a kind of design of treatment facility, and wherein acoustic wave energy 7700 bullets are from substrate 7702 and the bullet wall 7706 from container 7704.
[671]Although substrate is expressed as directly contacting with chamber wall in Figure 77, reflects this for the hope that obtains leaving substrate surface and do not require.When the incidence angle of pressure wave as discussed above like that between first and second critical angle the time, energy or can pass substrate transmission, perhaps the incident pressure wave energy converts surface or shear wave to, seldom or not reflection.
[672]As previously discussed, the angular range of certain expansion diffraction takes place to change, perhaps comprise from the remarkable pattern that expand into surface or shear wave thereon, may be bigger than the angular range of the energy transmission of passing substrate thereon.About with any wave interaction of multiple material, even take place thereon significantly to pass the substrate transmission or even the part of the angular range of pattern conversion in also certain reflection may take place.
[673]When energy passes substrate when transmission, for example directly contact, have suitable thickness or during with suitable angle orientation when substrate and the surface of vibrating mass, chamber can be designed to the sound wave that transmits to the substrate surface reflected back expediently.Such reflection can be from static or translational surface.Figure 76 represents a kind of simplification cross-sectional view of such design 7600, and the reflection 7602 from mobile reflector 7604 is impinged upon on the static substrate 7606.
[674]According to selecting embodiment, during substrate can be in and move.As representing in the simplification cross-sectional view of Figure 78, during substrate 7800 is in and moves, and receive acoustic wave energy from the piezo-electric crystal outside container 7,802 7804 simultaneously.Although the specific embodiment of Figure 78 represents to produce the piezo-electric crystal of acoustic wave energy, according to other embodiment, mechanical vibrator can selectively be used for this purpose.Relatively moving between substrate and chamber or incident acoustic wave energy, thereby can be included in the processing.
[675]Acoustic wave energy adds among another embodiment of the treatment chamber of operating under super critical condition therein, and vibrating mass may reside in the treatment chamber.In such an embodiment, acoustic wave energy does not pass the chamber wall transmission, and the thickness of chamber wall needn't equal to apply the odd-multiple quarter-wave of acoustic wave energy.But the thickness of chamber wall only need provide suitable intensity, to be included in the rising pressure that involves in the supercritical operation.
[676]When acoustic wave energy saw through very thick wall or substrate, power attenuation may take place.By not requiring that acoustic wave energy passes thick chamber wall transmission, more multipotency may be applicable to be transferred in the substrate that resides in the container or to pass substrate and transmit.
[677]Although described the present invention, for it will be understood by those skilled in the art that not breaking away from the present invention can carry out multiple modifications and changes to disclosed embodiment at method for optimizing and configuration aspects.For example, although above description and accompanying drawing focus on the method and apparatus that vibrating mass wherein contacts with the substrate direct physical, this is not by of the presently claimed invention.
[678]Substrate can be placed to the direct contact of vibrating mass or with it and separate.When being in direct contact, and chamber hour, may wish to make the energy reflection to leave chamber wall and return to substrate surface.In order to strengthen reflection and to make energy loss minimum, should reflect with suitable angle by chamber wall.This can finish in still-mode or dynamic mode, and relatively moving between substrate and a certain surface wherein arranged.This surface is chamber wall or a certain auxiliary energy reflecting surface.
[679]Although above description has been emphasized the processing that applies by acoustic wave energy and has been strengthened, also can utilize the energy of other type.The applying energy and can comprise fluid dynamic, microwave, infrared ray, ultraviolet ray and hot of other type like this or form.Under the situation of heat energy, you can have remove (cooling) of the interpolation (heating) of energy or energy.
[680]F. soft million frequency sound wave fluids
[681]When the semiconductor processes than small-feature-size necessitates, becoming more deeply concerned than the damage that under the large-feature-size is not problem.
[682]Cavitation threshold pressure with produce the cavitation incident or produce the power density that causes the cavitation incident from the visible damage of those incidents to require corresponding.According to classic ultrasonic wave theory, most of batches million frequently acoustic systems far below under the power density of thinking cavitation threshold pressure under these given frequencies traditionally, operating.
[683]Cavitation threshold increases with the increase frequency that applies acoustic wave energy.For million sonicated frequently, the exemplary power density of using in processing is far below the expectation cavitation.Even like this, under these higher million frequency frequency of sound wave, still report the damage that has for fragile semiconductor structure.
[684]Although do not wish by any concrete theory constraint, the several auxiliary parameters of nearest ultrasonic wave theoretical discussion or the possible subprocess of the higher operating frequency that research utilizes in million frequency sonicated comprise micro-cavitation and the small a fluid stream except that the conventional acoustic a fluid stream.Under certain conditions, these subprocess may cause the cleaning and the processing that strengthen.Under other condition, may cause damaging.
[685]For fragile polysilicon lines, can see the example of million sonicated damages frequently.Inspection after conventional batch or single-chip million frequency sonicated can disclose the part of the line that breaks away from substrate or lose fully.Foundation is for the condition and the method for the introducing of the acoustic wave energy of container handling, and this damage may be by cavitation; Micro-cavitation; Small a fluid stream; Or even only propagated the pressure that impinges upon on the substrate surface or directly impinge upon the fluid on the polycrystalline line and caused.
[686]Clear and definite says, when near the generation cavitation incident in zone that the defective in online, the line or line combine with substrate, may be manifested with the form of high velocity fluid jet by hole and the small hole energy that discharges of vanishing.These high-speed jets can make line push away or even move apart substrate.
[687]Selectively, when gas bubbles formed between substrate and line and increases, line or further feature may lift away from or prize from substrate.
[688]When acoustic wave energy passed fluid, in the low pressure rear edge of ripple, gas bubbles may usually be formed by the gas that is dissolved in the liquid.When a plurality of order bubble-shaped became incident to take place, each of the bubble that newly forms between substrate and suspended substrate stripline and increase may cause that more line lifts away from or move apart substrate.
[689]In general, the gas content in liquid is high more, and cavitation threshold pressure is low more, and the damage that takes place is few more.The higher gas content of liquid can provide more cavitation and micro-cavitation nucleating point, and to form a large amount of littler and more stable hole and bubbles, each produces less energy when shattered to pieces.For the fluid that comprises seldom or do not have dissolved gas, need higher power density in fluid, to form the hole.Under high cavitation threshold pressure and lower frequency, cavity energy is filled with steam rather than gas.
[690]The steam filling hole is vanished more tempestuously than gas filling hole (bubble), and can cause more serious damage.The steam filling hole also may be bigger than gas filling hole, and can be stored in the more multipotency that discharges during vanishing.What this can be interpreted as damaging than observing macroscopic view under the lower frequency, damages and observe the microcosmic that forms the type of worrying under upper frequency.
[691]Ultrasonic wave in DI water is handled with the equivalent ultrasonic wave processing in ketone or alcohol and is compared, and can produce more damages for thin metal foil.Theory thinks because the very high internal bond strength between the adjacent bag of hydrone, the more damages of water generates because the fluid that separates wrap in be pulled under the higher attraction energy more violent together.Therefore, the fluid with less internal bond strength should produce less damage, even has less micro-cavitation incident.
[692]Recently, semiconductor processes has been utilized the more weak solution of various processing chemicals, and final goal is near handling semiconductor chip under the room temperature in DI water.This trend is driven by the expense chemicals of the essential purity of preparation and that dispose with the chemicals of mistake in the environmental friendliness mode.
[693]Simultaneously, industrial direction has trended towards the more and more littler device characteristic and the manufacturing of structure.The junction of using rarer aqueous solution to handle the trend of littler feature improved about by million frequently sonicated make the level that goes through of the potential damage that feature suffers.
[694]Advise that also the use of water causes bigger damage than the use of multiple other fluid,, and have high thermal conductivity simultaneously because water presents lower solubility for multiple gases.Higher gas solubility provides more cavitation nucleating point for less energy-intensive cavitation incident.And under the high temperature that produces with the sonoluminescence incident, the fluid that has high thermal conductivity can more easily be transferred to substrate surface to local high energy, causes the damage that increases.
[695]Therefore, exist for being used in million needs of the fluid in the sound waves frequently, this fluid is passed to lip-deep very little and fragile feature at treatment substrate to less damage.
[696]According to various embodiment of the present invention, individually or the various technology of utilized in combination, can reduce by wet type million the damage that causes of sonicated frequently for little feature.According to a kind of technology, million frequency acoustic wave energies can be applied to and be difficult for producing on the liquid of cavitation and micro-cavitation damage, for example comprise the liquid of the remarkable composition outside dewatering, as methyl alcohol or ketone.According to another kind of technology, the damage that is produced by cavitation and micro-cavitation incident can reduce by the existence of micro-bubble in handling fluid.According to another technology, the void ratio of the micro-bubble that control is carried secretly and effect, control attenuation degree, cavitation threshold pressure, and clean and the temperature or pressure condition of handling property under, can carry out million sonicated frequently.
[697]According to method of the present invention, equipment, and the embodiment that forms utilize separately or in combination: (1) can select million introducing, and (3) raising/reducing processing under pressure or the temperature conditions of sound wave fluid types, (2) micro-bubble frequently, with the damage that reduces to pass to the substrate feature frequently during the sonicated million.
[698]In ultrasonic wave is handled, observe little cavitation damage than other fluid for some fluid.For example, in the fluid of picture methyl alcohol or ketone and so on, with the water ratio, the acoustic wave energy that applies can produce less damage for aluminum metallic foil, perhaps produces the damage of littler degree in kerosene.
[699]In the trial that makes the damage minimum that during million frequency sonicated, suffers, propose, consider can not cause too big cavitation and micro-cavitation damage or can comprise the fluid selected little or the microsize bubble better.
[700]Thereby, presenting the fluid of solubility according to first kind of technology utilization of the present invention for gas or admixture of gas, this solubility surpasses their solubility in the conventional process fluid such as deionization (DI) water.Like this select million frequently the composition of sonicated fluids can include but not limited to such as methyl alcohol, ethanol, and isopropyl alcohol (IPA) alcohol; Ketone such as acetone and MEK; The organic solvent of other type such as hydrocarbon; And the more strange material such as perfluoro solvent, comprise the various combinations of these various compositions.Can consider multiple such fluid solution, for example based on its chemical property (with the activity of substrate), or based on its physical property (gas solubility and thermal conductivity).
[701]Support the target of this first means to be, compare, obtain the higher gas solubility of ratio in liquid with the gas solubility in DI water.The gas content of Zeng Jiaing should help cavitation and micro-cavitation like this, reduces cavitation threshold pressure, and causes less damage during handling.
[702]In addition, be dissolved in the concrete gas in the fluid or the person's character of admixture of gas and also constitute important processing parameter.Why be like this, not only because can select gas in handling fluid, to present higher solubility, and because can select gas can cause the formation of the different ions material in the acoustic wave energy field, particularly when sonoluminescence takes place.
[703]Second technology according to the embodiment of the invention is lectured, minute bubbles, preferably micro-bubble is incorporated near in the processing fluid of substrate surface.Be incorporated into the little or micro-bubble of handling in the fluid and can slow down or cushion cavitation or the micro-cavitation that takes place really.Other theory is lectured, and in fact micro-bubble helps short grained removing or cleaning and various sonicated.
[704]Introducing and be dispersed in bigger macroscopical bubble of handling in the fluid may transmit by the attenuate sound wave energy, reduces the damage from the cavitation shock wave simultaneously.Be dispersed in the introducing attenuate sound wave energy significantly not of handling the less microcosmic bubble in the fluid.In addition, minute bubbles may still can be absorbed in the fluid by the cavitation incident, particularly on the substrate surface or near the damage shock wave that produces of micro-cavitation incident.
[705]According to the embodiment of the invention minute bubbles are incorporated in the processing fluid, also can be as the expansion of first kind of means describing in the past, because the bubble in fluid can provide the dispersion gas phase storehouse of the concentration maximum of the dissolved gas that makes in fluid.
[706]Under a stable condition, the gas concentration of raising also has the cleaning of helping.According to some theory, the pressure wave that flows through the acoustic wave energy of little or micro-bubble often makes micro-bubble promptly vibrate, and this causes very little, the localized variation of fluid velocity again.The partial fluid velocity gradient that generates can be removed degranulation from the substrate surface near these vibration bubbles.The rapid vibration of the minute bubbles that caused by sonic pressure wave is often referred to as the small a fluid stream in fluid.
[707]The multiple mode that produces and introduce minute bubbles or micro-bubble in handling fluid is arranged.The scope that is used for introducing the means of minute bubbles can be from the strong shear-mixed at fluid container and fluid/liquid interface, to using the venturi blender that gas is incorporated in the fluid of rapid acceleration.
[708]For two kinds of means discussed above, liquid and the chemical characteristic that is dissolved in gas wherein may not only influence cavitation and micro-cavitation threshold pressure (sound wave watt density), and influence million frequently acoustic wave liquids form and keep little and enough time spans of microsize bubble to be beneficial to the ability of useful processing.Such chemical characteristic includes but not limited to solid, dissolved gases, surface tension, surface tension reducer, viscosity, and the density of internal bond strength, dissolving between molecule or micel.When changing in combination when the one or more independent of these parameters or with other, generating the character of handling may change.
[709]Be used for softening million frequently the third technology of the effect of sound wave fluids relate to control and handle the condition that takes place.The operating pressure or the pressure that raise or reduce can be used for not only controlling the void ratio of carrying micro-bubble secretly, and the speed of control chemical reaction.This allows to the degree of decay and to cavitation and micro-cavitation threshold pressure control to be implemented in the influence that can select to handle fluid.
[710]Following summary is about the general policy to the influence of the variable process conditions of damaged substrate.At first, the increase of cavitation threshold pressure can cause bubble to be vanished more tempestuously and with bigger energy intensity.This can cause bigger damage very little, the frangible means structure again.
[711]The solubility of gas in liquid is based on the concentration and the pressure (partial pressure) of this gas more than liquid.Above or the following processing of atmospheric pressure also can control section solubilized gas dissolved gas content.
[712]But this higher gas solubility is not absolute for every kind of receiver gases in every kind of liquid.Some gas is that part is soluble, and solubilized arrives different degree (for example, nitrogen<oxygen<ozone) in DI water.Other gas is that part is soluble, but when some of gas change into another kind of material, for example when carbon dioxide changes into carbonic acid, sets up chemical balance.Other gas is mixable fully (HCL or HF) in DI water, but at the liquid except that DI water, as benzene, in may present the dissolubility property that differs widely.
[713]In closed container, may need to keep specific air pressure to remain on the high concentration of the concrete gas above the liquid, so that remain dissolved in the ratio higher concentration of this gas in the liquid.For example,, open wide in the utensil and will diffuse out, make some of air replacement carbon dioxide if perhaps stay because rising pressure will be gushed out when pressure reduces with the carbon dioxide that rising concentration is dissolved in the DI water.About the situation of permission with the dissolved ozone of oxidizable material secondary response, carbon dioxide dissolved can form and can change the carbonic acid of handling fluid pH value and influence processing.
[714]More than atmospheric pressure or the processing under the following pressure can be used for being controlled at the size and the volume fraction of minute bubbles in the fluid, control energy attenuation, and improve cavitation and micro-cavitation threshold pressure and handle the influence of the surface tension variations of fluid.
[715]Thereby, the cavitation easy degree of the use of rising/reduction pressure by changing various fluids by hope, any volume fraction that occupies by bubble, and gas degree of saturation etc. can revise their performance.
[716]Except that pressure, according to embodiments of the invention, temperature is to determine to be controlled to the gas solubility of softening million frequency sonicated and another parameter of general fluid character.Thereby according to embodiments of the invention, million frequency sonicated can be in higher/lower temperature (promptly near boiling temperature or far away) operation down, so that million frequency sound waves damage minimum.
[717]As for various fluids (particularly under the situation of DI water) by damaging with respect to the temperature curve proof, trend needs not to be complete linearity.Has the maximum that on the conventional temperature scope of using for the semiconductor wet processed, occurs.Therefore, from damaging viewpoint, making that near the operation under the temperature of fluid boiling point easier formation produces the cavitation and the micro-cavitation of less damage purely.This consideration should cause comprising that the trend of higher rate of the various chemical reactions of adverse reaction balances each other with higher temperature.
[718]The control temperature may be important, not only influences gas solubility, and because other solution properties such as the surface tension etching characteristic may be the function of temperature.Therefore, according to other embodiment of the present invention,, individually or with three kinds of means of above summary, can revise the solution properties such as the surface tension of handling fluid by means of the use of additive.
[719]Although great majority million sonicated frequently occur in the fluid bath groove, this is not by of the presently claimed invention.Can select embodiment can be used in the single-wafer processing equipment, wherein thin fluid layer is formed on the substrate surface, and applies million frequency acoustic wave energies by liquid meniscus.Can use inject process as another kind of process according to the embodiment that can select in addition of the present invention, this process variable with influence million frequently acoustic wave liquids " hardness " and damage for the generation of substrate feature.
[720]Can use the parameter that applies acoustic wave energy in combination with one of above-mentioned means according to the other embodiment of selection of the present invention, to influence the softness of treat liquid.The example of the such acoustic wave energy parameter that can control includes but not limited to apply frequency, phase place, power density, and duration of energy.
[721]Embodiment can be selected in addition according to of the present invention,, million frequency sound wave or surface tension gradient dryings can be realized by pass the enriching superficial layer that mobile big quantity of fluid produces the material (usually being dissolved gas) with low surface tension with respect to substrate.This move makes remaining water droplet be pulled away from substrate surface to enter in a large amount of fluids.According to certain embodiment, alcohol/aqueous mixtures can be used for not only reducing damaging, and helps the drying of substrate, and does not stay watermark or stay less watermark.
[722]For example, the mixture of alcohol and water if particularly on the top or in the place of pure enriching superficial layer, will present the surface tension lower than a large amount of fluids.When a large amount of fluids comprise alcohol/aqueous mixtures, this tank solution will present the surface tension lower than pure water.
[723]Not too move it although remove substrate, rely on the surface tension reduce separately, compare with only from independent water, pulling out, pull out substrate easilier with drying regime more early as passing the enriching layer from such mixture.Surface tension is low more, and the trend that stays later big drop or watermark formation on substrate surface is more little.
[724]So big drop is because its smaller surface area may be with evaporating for a long time to volume ratio.When the drop stop was longer from the teeth outwards, they had the long period etched surfaces.When drop finally evaporated, etched (dissolving) deposition of materials was returned and is become watermark on the substrate surface.Tend on substrate surface, form how extremely thin liquid level if handle fluid, rather than big drop, then liquid can more promptly evaporate volume ratio owing to very big surface area, and the unlikely formation of watermark.
[725]Moreover, in case the concentration of the superficial layer of the bath that just can raise when removing substrate takes place according to the processing of the embodiment of the invention in alcohol/aqueous mixtures with the less damage for substrate.Fortunately, combine with processing thereby can realize better drying with less damage.
[726]Although described the present invention,, do not break away from the present invention and can carry out multiple modifications and changes disclosed embodiment for it should be appreciated by those skilled in the art at method for optimizing and configuration aspects.So these modifications and changes plan to think in the spirit and scope of the present invention that limited by the appended claims book.

Claims (208)

1. one kind is configured to million equipment of acoustic wave energy treatment substrates frequently, and this equipment comprises:
Treatment region is configured to receive the processing fluid;
Million frequency acoustic wave energy sources; And
Vibrating mass, with million frequently the acoustic wave energy sources with contact with at least a portion physics near the element of treatment region, the combination thickness of element and vibrating mass is the million quarter-wave pact of odd number+/-30% of acoustic wave energies frequently that applied by the source, so that pass element transmission million acoustic wave energies frequently.
2. equipment according to claim 1, wherein, element comprise pending substrate, substrate support portion, electrode, chemical mechanical polishing pads, brush, qualification treatment region wall, and substrate box parts in one.
3. equipment according to claim 1, wherein, million frequency acoustic wave energy sources comprise piezo-electric crystal, mechanical transducer, reach in the million frequency sound wave nozzles.
4. equipment according to claim 1, wherein:
Element comprises pending substrate, and this substrate has at least a portion of first side that contacts with vibrating mass physics; And
This equipment also comprises the processing unit near second side of the substrate relative with first side, and processing unit is from comprising electrode, chemical mechanical polishing pads, diffraction grid, injection nozzle, million sound wave injection streams and scrub the group of brush and select frequently.
5. equipment according to claim 1, wherein:
Element comprises pending substrate; And
This equipment also comprises at least one the mobile mechanism that passes to substrate that is configured to respect in substrate support portion and the processing fluid.
6. equipment according to claim 1 also comprises moving reflecting surface and is configured to substrate reflection million at least one in the gas/liquid interface of acoustic wave energies frequently.
7. equipment according to claim 1, wherein, treatment region is enclosed in a plurality of walls.
8. equipment according to claim 7, wherein, a plurality of walls allow in treatment region the processing with the pressure except atmospheric pressure.
9. equipment according to claim 1 wherein, is handled fluid and is comprised at least a in gas, liquid, steam, solid and the combination thereof.
10. the method for a treatment substrate, described method comprises step:
At least one substrate is put in the container handling;
Carry out contacting between at least a portion of at least a portion of substrate and vibrating mass;
At least a processing fluid is incorporated in the container handling;
At least a portion of substrate is contacted with processing at least a of fluid; And
Apply million acoustic wave energies frequently, wherein introduce handle the fluid step before, during and afterwards at least one of them, million steps of acoustic wave energies frequently appear applying.
11. method according to claim 10, wherein, the thickness sum of the thickness of substrate and vibrating mass equals in the odd-multiple quarter-wave (n λ/4, n=1,3,5 that apply million frequency acoustic wave energies ... )+/-30% in.
12. method according to claim 10, wherein, reflection part, vessel surface, the 2,000,000 be acoustic wave energy source, and at least one million acoustic wave energy reflected back substrate frequently of gas-liquid interface frequently.
13. method according to claim 12, wherein, reflection part comprise thickness equal apply million frequently the odd-multiple of acoustic wave energies quarter-wave+material in/-30%.
14. method according to claim 12, wherein, reflection part and substrate, handle fluid, and container in relatively move between at least one.
15. method according to claim 12, wherein, at least a processing fluid be by at least a introducing of hole, groove, cavity and pipe at least one of reflection part and vibrating mass and remove at least a.
16. method according to claim 10, wherein, in chemical-mechanical polishing step and the brush cleaning step one million frequently before the applying of acoustic wave energies, during, and after one of them operation at least.
17. method according to claim 10, wherein, at least a portion that the introducing of handling fluid makes substrate by immerse, spray, and the condensation of steam is at least a moistening.
18. method according to claim 10, wherein, million frequently before the applying of acoustic wave energies, during, and after one of them pressurizes to container handling at least.
19. method according to claim 18, wherein, maximum pressure is in 1 to 500 atmospheric scope.
20. method according to claim 10, wherein, million frequently before the applying of acoustic wave energies, during, and after one of them carries out electrochemical treatments at least.
21. method according to claim 10, wherein, at least a portion contact vibration parts of the rear side of substrate.
22. method according to claim 10, wherein, million frequently before the applying of acoustic wave energies, during, and after one of them applies radiation at least.
23. method according to claim 22, wherein, the applying of radiation is used for removing contamination particle and handling at least a of fluid from substrate, perhaps is used for the part of modification substrate surface.
24. a kind of equipment that is configured to million frequency acoustic wave energy treatment substrates, this equipment comprises:
Treatment region is configured to receive the processing fluid;
Million frequency acoustic wave energy sources; And
Vibrating mass contacts with million frequency acoustic wave energy sources, and with respect to the critical angle scope interior orientation of the element of in treatment region, locating between about 18-58 °, so that pass element transmission million acoustic wave energies frequently.
25. equipment according to claim 24, wherein, element comprise pending substrate, base sheet rack, electrode, chemical mechanical polishing pads, qualification treatment region wall, and substrate box parts in one.
26. equipment according to claim 24, wherein, million frequency acoustic wave energy sources comprise piezo-electric crystal, mechanical transducer, reach in the million frequency sound wave nozzles.
27. equipment according to claim 24, wherein:
Element comprises pending substrate, and this substrate has first side near vibrating mass; And
Equipment also comprises the processing unit near second side of the substrate relative with first side, and processing unit is from comprising electrode, chemical mechanical polishing pads, diffraction grid, injection nozzle and scrubbing the group of brush and select.
28. equipment according to claim 24, wherein:
Element comprises pending substrate; And
This equipment also comprises at least one the mobile mechanism that passes to substrate that is configured to respect to handling fluid and base sheet rack.
29. equipment according to claim 24 also comprises moving reflecting surface and is configured to substrate reflection million at least one in the gas/liquid interface of acoustic wave energies frequently.
30. equipment according to claim 24, wherein, treatment region is enclosed in a plurality of walls.
31. equipment according to claim 30, wherein, a plurality of walls allow in treatment region the processing with the pressure except atmospheric pressure.
32. equipment according to claim 24, wherein, element is with respect to the critical angle scope orientation of vibrating mass between about 25-50 °.
33. equipment according to claim 32, wherein, element is with respect to the critical angle scope orientation of vibrating mass between about 30-45 °.
34. equipment according to claim 24, wherein, element comprises substrate, this substrate configuration become be immersed in handle in the fluid and with handle the fluid injection at least one of them.
35. the method for a treatment substrate comprises step:
At least one substrate is put in the container handling;
At least a processing fluid is incorporated in the container handling, with at least a portion of contact substrate; And
Between the about 18-58 in the surface of substrate °, to apply million frequency acoustic wave energies, thereby the major part of million frequency acoustic wave energies is passed the substrate transmission, wherein, apply million frequently before the step of acoustic wave energies, during and after at least one of them, the step of processing fluid appears introducing.
36. method according to claim 35 wherein, applies million frequency acoustic wave energies with the angle between about 30-45 °.
37. method according to claim 35, wherein, at least a portion that the introducing of handling fluid makes substrate by immerse, spray, and the condensation of steam is at least a moistening.
38. method according to claim 35, wherein, substrate and handle fluid, container handling and million frequently acoustic wave energies relatively move between in the incidence angle of substrate at least one.
39. according to the described method of claim 38, wherein, relatively move comprise rotation, vibration, and laterally move at least a.
40. according to the described method of claim 38, wherein, relatively moving of substrate causes the variation of the energy field that contacts second substrate.
41. method according to claim 35, wherein, the thickness of substrate is million frequently even-multiple quarter-wave (n λ/4, n=2,4,6 of acoustic wave energies that apply ... )+/-30%.
42. method according to claim 35, wherein, apply million frequently before the acoustic wave energies, during, and after one of them applies radiation at least.
43. according to the described method of claim 42, wherein, radiation comprises at least a of microwave, ultraviolet ray, infrared ray and electromagnetic induction.
44. method according to claim 35, wherein, apply million frequently before the acoustic wave energies, during, and after one of them generation electrochemical treatments at least.
45. method according to claim 35, wherein, by the mobile at least a pressurized treatments container of gas, treat liquid and fluid.
46. according to the described method of claim 45, wherein, apply million frequently before the acoustic wave energies, during, and after one of them pressurized treatments container at least.
47. according to the described method of claim 45, wherein, container handling is pressurized to the maximum pressure in 1 to 500 atmospheric scope.
48. method according to claim 35, wherein, apply million frequently before the acoustic wave energies, during, and after one of them produces the generally fluid velocity parallel with substrate surface at least.
49. according to the described method of claim 48, wherein, fluid velocity makes million frequency sound waves impact substrate surface with the angle between first critical angle and the second critical angle.
50. according to the described method of claim 48, wherein, fluid velocity is moved by a large amount of fluids and by the 2,000,000 at least a generation of the acoustics a fluid stream that causes of acoustic wave energy source frequently.
51. method according to claim 35, wherein, million frequently acoustic wave energies from million frequently sound wave nozzles, the vibrating mass that directly contact with at least a portion of substrate and fluid media (medium) through contacting substrate transmit million frequency acoustic wave energies vibrating mass at least one be transported to substrate.
52. an equipment that is configured to million frequency acoustic wave energy treatment substrates, this equipment comprises:
Treatment region is configured to receive the processing fluid;
Mobile parts is configured to be controlled at the path of the processing fluid in the groove; And
Be configured to apply million frequency acoustic wave energies to groove, thereby the direction of million frequency acoustic wave energies is consistent with the path in million frequency acoustic wave energy sources.
53. according to the described equipment of claim 52, wherein, mobile parts presents the streamline cross-sectional profiles, this profile is configured to allow fluid to arrive substrate by parts.
54. according to the described equipment of claim 53, wherein, mobile parts comprises substrate support portion, box parts, electrode, vibrating mass, polishing pad and scrubs in the brush at least one.
55. according to the described equipment of claim 52, wherein, mobile parts comprises one that is configured to facing in the inclined surface of substrate reflection fluid and the curved surface.
56. one kind with million acoustic wave energy treatment substrate methods frequently, this method comprises:
The processing fluid is flowed in comprising the groove of substrate; With
Apply million frequency acoustic wave energies for groove, thereby the direction of million frequency acoustic wave energies is consistent with the path of handling fluid.
57. according to the described method of claim 56, wherein, million frequently acoustic wave energies with around mobile consistent to the processing fluid of substrate of the parts with streamline cross-sectional profiles.
58. according to the described method of claim 56, wherein, million frequently acoustic wave energies and mobile consistent of reflecting from one of inclined surface and curved surface to the processing fluid of substrate.
59. an equipment that is configured to million frequency acoustic wave energy treatment substrates, this equipment comprises:
Treatment region is configured to receive the processing fluid;
Million frequency acoustic wave energy sources; And
The wedge-like vibrating mass, have with million frequently the acoustic wave energy sources contact and is configured to first front from its received energy, and have with respect to the first positive angled orientation and be configured to the energy from million acoustic wave energy sources receptions frequently is transmitted into second front of the substrate that is positioned in the treatment region.
60. according to the described equipment of claim 59, wherein, the wedge-like vibrating mass presents triangular cross section.
61. according to the described equipment of claim 59, wherein, the wedge-like vibrating mass presents the cross section except that triangular cross section.
62. according to the described equipment of claim 59, wherein, the wedge-like vibrating mass comprises internal chamber.
63. according to the described equipment of claim 62, wherein, internal chamber is communicated with the aperture fluid that is arranged in second front.
64. according to the described equipment of claim 59, wherein, the wedge-like vibrating mass comprises five or more a plurality of front.
65. according to the described equipment of claim 59, also comprise the second wedge-like vibrating mass, this second wedge-like vibrating mass have with the 2,000,000 frequently the acoustic wave energy source contact and be configured to first front from its received energy, and have with respect to the first positive angled orientation and be configured to from the 2,000,000 frequently the energy that receives of acoustic wave energy source be transmitted into second front of substrate.
66. the method for a treatment substrate comprises step:
Substrate is placed in the treatment region;
At least a processing fluid is incorporated into substrate;
Make at least a portion in first front of at least a portion contact wedge shape vibrating mass of the vibrating mass that comprises plate; And
Million frequently acoustic wave energies be applied on the substrate from second front of wedge shape vibrating mass, wherein introduce handle the fluid step before, during and after at least one of them, the step of million frequency acoustic wave energies appears applying.
67. according to the described method of claim 66, wherein, substrate contacts with second front.
68. according to the described method of claim 66, wherein, the angle between first positive and second front of wedge shape vibrating mass is between first critical angle and second critical angle.
69. according to the described method of claim 68, wherein, first critical angle is 90 ° or littler.
70., wherein, when applying million frequency acoustic wave energies, at least a of shearing wavelength and surface wave is formed on second front in first front of wedge shape vibrating mass and the angle between second front according to the described method of claim 66.
71. according to the described method of claim 66, wherein, substrate and panel vibration parts space are opened, and be held in parallel or angled with the panel vibration parts the two one of.
72. according to the described method of claim 71, wherein, at least a portion in the gap between substrate and panel vibration parts is filled with the processing fluid.
73., wherein, handle fluid and comprise at least a in gas, liquid, solid and the combination thereof according to the described method of claim 66.
74. according to the described method of claim 71, wherein, the gap is less than 1 meter.
75. according to the described method of claim 66, wherein, handle fluid by the submergence substrate, spray substrate, reach at least a portion of steam or the condensation of gas at least a moistening substrate in the substrate.
76. according to the described method of claim 66, wherein, million frequently before the applying of acoustic wave energies, during, and after one of them pressurized treatments container at least.
77. according to the described method of claim 76, wherein, container handling is pressurized between about 1 to 500 atmospheric pressure.
78. according to the described method of claim 66, wherein, apply million frequently before the acoustic wave energies, during, and after one of them applies radiation at least.
79. according to the described method of claim 78, wherein, radiation comprises at least a of microwave, ultraviolet ray, infrared ray and electromagnetic induction.
80. according to the described method of claim 66, wherein, apply million frequently before the acoustic wave energies, during, and after one of them generation electrochemical treatments at least.
81. according to the described method of claim 66, wherein, apply million frequently before the acoustic wave energies, during, and after at least one of them, take place that chemical mechanical polish process, brush are scrubbed, the aerosol jet cleans, and the laser-impact processing is at least a.
82. an equipment that is configured to million frequency acoustic wave energy treatment substrates comprises:
Treatment region is configured to receive the processing fluid;
Vibrating mass, with million frequently acoustic wave energy source physics contact, and be configured on first direction supporting substrate the treatment region in the near field of million frequency acoustic wave energies of vibrating mass incident; And
Element is configured to million frequency acoustic wave energies are directed to the near field from the second direction different with first direction.
83. 2 described equipment according to Claim 8, wherein, element comprises and being configured to million surfaces in acoustic wave energy reflected back near fields frequently.
84. 3 described equipment according to Claim 8, wherein, that the surface comprises is processing, that be shaped, and the surface of roughening at least a.
85. 3 described equipment according to Claim 8, wherein, the surface comprises twisted plate.
86. 3 described equipment according to Claim 8, wherein, the surface comprises the gas/liquid interface.
87. 3 described equipment according to Claim 8, wherein, the surface is configured to move with respect to substrate.
88. 2 described equipment according to Claim 8, wherein, element is included on the second direction the directed the 2,000,000 acoustic wave energy source frequently.
89. an equipment that is configured to million frequency acoustic wave energy treatment substrates comprises:
Treatment region is configured to receive the processing fluid; With
Million acoustic wave energy sources frequently, be configured to have the user control with variable frequency, power, and pulse width at least one million frequently acoustic wave energies output on the substrate that in treatment region, exists.
90. 9 described equipment according to Claim 8, also comprise the 2,000,000 acoustic wave energy source frequently that is communicated with the substrate sound wave, thus from the bump substrate in second source million frequently the overlapping bump in far field of acoustic wave energies from first source leave million near fields of acoustic wave energies frequently of substrate.
91. 9 described equipment according to Claim 8, wherein, million frequently the acoustic wave energy source structures become, according to sinusoidal signal, random fluctuation signal, and stepped sequence near at least a amplitude that the set-point, changes driving voltage, frequency, and pulse width at least one.
92. the method for a treatment substrate comprises:
Change from first energy source be applied to and handle the contacted on-chip ultrasonic energy of fluid frequency, power, and pulse width at least one, thereby at least one in zero point improved the uniformity of the energy in the near field region by mobile high energy node and low energy, make the difference between the amplitude of high and low-yield point minimum, and postpone the formation of high and low-yield point.
93. according to the described method of claim 92, wherein, handle fluid to small part substrate contact, this processings fluid comprises liquid, steam, gas, reach a kind of in the solid.
94., also comprise according to the described method of claim 92:
Acoustic wave energy from second energy source be applied to handle the substrate that fluid contacts on, thereby from the overlapping near field region, far field of the energy of second energy source from first energy source.
95. according to the described method of claim 92, wherein, the frequency that is applied to the driving voltage at least one vibrating mass circulates near the frequency set-point.
96. according to the described method of claim 95, wherein, the frequency shift of circulation driving voltage generates the amplitude of acoustic wave energy in treatment trough.
97. according to the described method of claim 95, wherein, according to sinusoidal signal, random fluctuation signal, and predetermined stepped sequence at least a, the frequency of driving voltage circulates near the frequency set-point.
98. according to the described method of claim 92, wherein, according to sinusoidal signal, random fluctuation signal, and stepped sequence at least a, near the voltage magnitude set-point, change the amplitude of the driving voltage that is applied at least one vibrating mass.
99. according to the described method of claim 92, wherein, by means of sinusoidal signal, random fluctuation signal, and stepped sequence at least a, at least one of the pulse width that applies voltage by circulating the pulse width set-point near changes the pulse width that is applied to the driving voltage at least one vibrating mass.
100. according to the described method of claim 92, wherein, by means of sinusoidal signal, random fluctuation signal, and predetermined stepped sequence at least a, by changing the pulse width that is applied to the driving voltage at least one vibrating mass, change the amplitude of generation acoustic wave energy in treatment trough and at least one in the characteristic near the pulse width that changes the driving voltage that applies the pulse width set-point.
101. according to the described method of claim 92, wherein, less than, greater than and equal to handle under at least a situation of atmospheric pressure.
102. according to the described method of claim 92, wherein, at least two independent vibrating elements are by sequential energisation.
103. according to the described method of claim 92, wherein, at least two independent vibrating elements are encouraged simultaneously.
104. according to the described method of claim 103, wherein, according to sinusoidal signal, random fluctuation signal, and stepped sequence is at least a near the phase angle that changes the phase angle set-point between the driving voltage that is being applied on the vibrating elements.
105. according to the described method of claim 104, wherein, the phase angle set-point is greater than 0 ° and less than 180 °.
106. according to the described method of claim 105, wherein, the phase angle set-point is changing greater than under 1/10th hertz and the speed less than 10 megahertzes.
107. according to the described method of claim 105, wherein, the rate of change of the frequency of the driving voltage that applies and apply in the rate of change of pulse width of driving voltage at least one greater than 1/10th hertz and less than 10 megahertzes.
108. according to the described method of claim 92, wherein, pulse width is greater than a psec.
109. according to the described method of claim 92, also be included in before the applying of acoustic wave energy, during, and after one of them applies microwave energy at least.
110. the method with million frequency acoustic wave energy treatment substrates, this method comprises:
Substrate is arranged to and handles fluid and contact;
Million frequency acoustic wave energies are applied on the substrate, to set up point near the constructive and destruction interference of substrate surface; And
Change the position of the point of constructive and destruction interference, so that strengthen the uniformity that substrate is exposed to acoustic wave energy.
111. according to the described method of claim 110, wherein, by change apply ground million frequently acoustic wave energies frequency, power, phase angle, and pulse width at least one, changes the position of the point of constructive and destruction interference.
112. according to the described method of claim 111, wherein, according to sinusoidal signal, random fluctuation signal, and stepped sequence is at least a, changes million amplitude, frequency, phase angle, and at least one of pulse width of the driving voltage in acoustic wave energy sources frequently near the set-point.
113. according to the described method of claim 111, wherein, by greater than about 0.1Hz and less than the speed of about 10MHz, change million frequency acoustic wave energies frequency, power, phase angle, reach at least one rate of change of pulse width.
114., wherein,, change the position of the point of constructive and destruction interference by the orientation of change substrate with respect to the source that applies million frequency acoustic wave energies according to the described method of claim 110.
115., wherein,, change the position of the point of constructive and destruction interference by the distance of change substrate with respect to the source that applies million frequency acoustic wave energies according to the described method of claim 110.
116. according to the described method of claim 110, wherein, in million positions that frequently change the point of constructive and destruction interference in the near field region in the source of acoustic wave energies.
117. according to the described method of claim 110, wherein, in million positions that frequently change the point of constructive and destruction interference in the far-field region in the source of acoustic wave energies.
118. the method for a treatment substrate comprises step:
Substrate support in support;
Be adjacent to positioning substrate with the part of processing unit;
Before the substrate treatment step, during or afterwards at least one of them, cause relatively moving between substrate and processing unit;
Substrate and processing unit surface contact and closely separate one at least;
Solid, fluid, and a kind of of mixture place between substrate and the processing unit; And
Energy is transferred to interface between substrate and processing unit, to revise the processing of substrate surface.
119. according to the described method of claim 118, wherein, the substrate treatment step is selected from comprising that grinding, polishing, grinding, chemical mechanical planarization (CMP), electrochemistry processing, cleaning, brush are scrubbed, reached the group of modification substrate surface.
120. according to the described method of claim 118, wherein, the modification substrate surface comprises plating, electropolishing, material is added to substrate surface, removes material, reaches at least a of chemical modification substrate surface from substrate surface.
121. according to the described method of claim 118, wherein, emitted energy comprises at least a of sound wave and microwave energy.
122. according to the described method of claim 118, wherein, the surface of processing unit be grind, level and smooth, irregular, become profile, and these combination of features is at least a.
123. according to the described method of claim 118, wherein, at least a portion of energy is passed thickness and along substrate surface, base sheet rack, electrode, grinding band, and a kind of transmission of polishing pad.
124. according to the described method of claim 118, wherein, the applying of energy causes pressure distribution, fluid velocity to distribute, fluid concentrations distributes and stride across at least a modification that the surface concentration of a substrate part distributes.
125., wherein, apply energy in the scope between about 1kHz and 20MHz according to the described method of claim 121.
126., wherein, apply energy in the scope between about 0.10Hz and 1kHz according to the described method of claim 121.
127., wherein, under frequency, apply energy greater than about 20MHz according to the described method of claim 121.
128. according to the described method of claim 118, wherein, substrate and processing unit separate about 10cm or littler.
129. according to the described method of claim 118, wherein, fluid comprises air, inert gas, reacting gas, fluid, solid, cream, emulsion, mixture, water, CMP cream, surfactant, abrasive grains, surface charge modified chemical goods, lubricant, acid, reaches at least a of alkali.
130. according to the described method of claim 118, wherein, the sand grains that the applying of energy makes accumulation is from the surface removal of processing unit.
131. according to the described method of claim 118, also be included in before first treatment step, during, and after one of them carries out second treatment step at least.
132. treatment facility:
Container is configured to comprise the electrochemistry fluid;
Voltage source;
The support portion is configured to location and the contacted substrate of electrochemistry fluid, the first terminal electrical communication of one of support portion and substrate and voltage source;
Electrode, with the electrochemistry bath and with the second terminal electrical communication of voltage source; And
The acoustic wave energy source is passed electrode, passes substrate, is reached along one of substrate front, with the substrate electrical communication.
133. according to the described treatment facility of claim 132, wherein, electrode has the quarter-wave thickness of the even-multiple that is approximately equal to the acoustic wave energy that applies.
134. according to the described equipment of claim 132, wherein, electrode and substrate one contacts with acoustic wave energy source direct physical, and a quarter-wave even-multiple that is approximately equal to the acoustic wave energy that applies in the combination thickness in thickness of electrode and substrate and acoustic wave energy source.
135. according to the described equipment of claim 132, wherein, electrode limits opening to allow to handle at least a the flowing of fluid and acoustic wave energy.
136. according to the described equipment of claim 132, wherein, electrode presents hydrodynamic profiles with respect to the direction that applies acoustic energy.
137. according to the described equipment of claim 132, wherein, acoustic wave energy applies from the vibrating mass in bath.
138. according to the described equipment of claim 137, wherein, vibrating mass contacts with a direct physical of electrode and substrate.
139. the method for a treatment substrate comprises step:
Electrode with electrochemistry bath electrical communication is provided;
Substrate is arranged in the electrochemistry bath;
Pass the electrochemistry bath and between substrate and electrode, apply potential difference; And
Passing one of electrode and substrate is applied to acoustic wave energy on the surface of substrate.
140., also be included in and make the mobile pulsation of fluid in the electrochemistry bath according to the described method of claim 139.
141. according to the described method of claim 139, also be included in electrochemistry bath and substrate, electrode and with the vibrating mass of acoustic wave energy source acoustic connection in produce between at least one mobile.
142. according to the described method of claim 139, also be included in keep during the small part electrochemical treatments raising, reduce and atmospheric pressure in one.
143., also be included in the flowing velocity degree pulsation that makes the liquid in chemical bath during the applying of acoustic wave energy according to the described method of claim 139.
144. liquid that is used for the acoustic wave energy treatment substrate, this liquid comprises the gas that is dissolved in the liquid component, and the solubility that this gas presents in liquid component is the same big with the solubility of this gas in deionized water under equal temperature and pressure condition at least.
145. according to the described liquid of claim 144, wherein, from alcohol, ketone, and organic solvent select liquid component.
146. according to the described liquid of claim 144, wherein, liquid component presents the thermal capacity that is not more than deionized water.
147., also comprise deionized water according to the described liquid of claim 144.
148. liquid that is used for the acoustic wave energy treatment substrate, this liquid comprises the gas that is dissolved in the liquid component, and the solubility that this gas presents in liquid component is the same big with the solubility of air in deionized water under equal temperature and pressure condition at least.
149. according to the described liquid of claim 148, wherein, from acid, alkali, alcohol, ketone, and organic solvent select liquid component.
150. according to the described liquid of claim 148, wherein, liquid component presents the thermal capacity that is not more than deionized water.
151., also comprise deionized water according to the described liquid of claim 148.
152. the method with the acoustic wave energy treatment substrate, this method comprises:
Gas is dissolved in the liquid component, and this composition presents Gas Solubility, and this solubility is the same big with the solubility of this gas in deionized water under equal temperature and pressure condition at least;
Substrate is exposed to liquid component; And
Acoustic wave energy is applied on the substrate in liquid component.
153., also comprise bubble introduced in the liquid according to the described method of claim 152.
154., also comprise changing a kind of of temperature and pressure, to improve the solubility of gas in composition according to the described method of claim 152.
155., also comprise surfactant introduced in the liquid according to the described method of claim 152.
156. a treatment facility comprises:
Container is configured to supporting substrate;
The source is configured to the surface that comprises the jet alignment substrate of energy or material; And
The vibrational energy source is communicated with substrate.
157. according to the described equipment of claim 156, wherein, container can be pressurized to 10 -4-10 4Pressure in the psi scope.
158. according to the described equipment of claim 157, wherein, container comprises fluid, and fluid reaches supercriticality.
159. according to the described equipment of claim 158, wherein, jet comprises supercritical carbon dioxide.
160. according to the described equipment of claim 156, wherein, the thickness of vibrating mass is the odd-multiple quarter-wave that applies vibrational energy.
161. according to the described equipment of claim 156, wherein, jet comprises low temperature aerosol, liquid injection, gas injection, laser, reaches at least a of induced with laser blast.
162. the method for a treatment substrate, this method comprises:
Substrate is arranged in the container handling;
The surface of the jet alignment substrate that comprises energy or material; And
Before the applying of jet, during or afterwards at least one of them, acoustic wave energy is applied on the substrate.
163. according to the described method of claim 162, wherein, directed jet comprises the jet of directed supercritical carbon dioxide.
164. according to the described method of claim 162, wherein, directed jet comprises the jet of directed low temperature aerosol, this low temperature aerosol comprises snow shape thing, dry ice, reaches at least a of nitrogen.
165. an equipment that is used for utilizing the ultrasonic energy treatment substrate, this equipment comprises:
Groove has wall, is configured to comprise the liquid bath;
Energy source is communicated with liquid bath sound wave; And
Base sheet rack is configured to be supported on the substrate in the liquid bath, and at least one of the parts of base sheet rack and the feature of groove is between the part of energy source and substrate; With
Reflecting surface contacts with the liquid bath, and is configured to receive from the source with the ultrasonic energy greater than 26 ° angle incident, and ultrasonic energy is reflexed to substrate sections.
166. according to the described equipment of claim 165, wherein, that reflecting surface presents is the plane, epirelief, and recessed shape is at least a.
167. according to the described equipment of claim 166, wherein, the curved shape of reflecting surface presents constant and changes a kind of of radius of curvature.
168., wherein, contact with fluid bath groove near first side of the reflecting surface of energy source, and second side of the reflecting surface relative with first side contacts with gas according to the described equipment of claim 165.
169. according to the described equipment of claim 168, wherein, reflecting surface comprises the part of cell wall.
170. according to the described equipment of claim 168, wherein, reflecting surface comprises hollow-core construction.
171. according to the described equipment of claim 165, wherein:
First side near the reflecting surface of energy source contacts with fluid bath groove;
Second side of the reflecting surface relative with first side contacts with liquid; And
Acoustic wave energy with 58 ° or more wide-angle incide first side.
172. according to the described equipment of claim 171, wherein, reflecting surface comprises quartz.
173. a method of utilizing the ultrasonic energy treatment substrate, this method comprises:
The liquid bath is provided in having the groove of wall;
The substrate support in the liquid bath on support;
And ultrasonic energy is directed to substrate, thereby at least one of the part of the part of groove and base sheet rack is between the part of energy source and substrate; And
Reflection is incided lip-deep ultrasonic energy with the angle greater than 26 °, with the contact substrate sections.
174. according to the described method of claim 173, wherein, the ultrasonic energy reflecting surface plane, epirelief by having, that reach one of recessed shape reflects.
175. according to the described method of claim 173, wherein, ultrasonic energy is reflected by reflecting surface, this reflecting surface has the reflecting surface that contacts with fluid bath groove first side near energy source, and second side that with gas contact relative with first side.
176. according to the described method of claim 173, wherein, ultrasonic energy is by the part reflection of cell wall.
177. according to the described method of claim 173, wherein, reflecting surface comprises quartz.
178. substrate box that is immersed in the million frequency sonicated baths, comprise the side support portion, this side support portion comprises panel element, this panel element has with respect to the incidence surface of million frequency acoustic wave transducers with the critical angle inclination, allows to pass the side support portion from the ultrasonic energy that million frequency acoustic wave transducers apply thus and is transmitted into substrate.
179. according to the described substrate box of claim 178, wherein, critical angle is between about 18 ° and 58 °.
180. according to the described substrate box of claim 178, also comprise a pair of end plate that contacts with side support portion physics, end plate be configured to allow between at least one offside supports be obedient to mobile, restrained when wherein the substrate that is kept by box is compelled to together in the side support portion a little, and can remove from box when being compelled to separately a little in the side support portion.
181. according to the described substrate box of claim 178, wherein, side supports and comprises two panel elements, these two panel elements form the structure of V-shaped cross section along an edge join.
182. according to the described substrate box of claim 181, wherein, the summit of V-arrangement cross section is configured to contact substrate edge with one of side.
183. according to the described substrate box of claim 178, wherein, the thickness of at least one of side support portion is not equal to the even-multiple quarter-wave that applies million frequency acoustic wave energies.
184. according to the described substrate box of claim 178, wherein, transducer flatly is positioned at the place, bottom of groove, and panel element tilts with respect to trench bottom.
185. according to the described substrate box of claim 178, wherein, transducer is positioned on the inclined wall of groove, and panel element with respect to the surface of the liquid in the groove vertically, flatly or angled ground at least one be directed.
186. the method for a dry substrate comprises:
Substrate is positioned in the treatment chamber;
By being incorporated into the gas in the chamber and at least a pressurized treatments chamber of steam and treat liquid;
At least a portion of moistening substrate surface comprises that submergence, injection and coagulating liq are to on-chip wherein a kind of;
Surface tension is lowered into branch concentrates on the gas-liquid interface place;
With respect to one in mobile substrate one of in substrate and the gas-liquid interface and the treat liquid liquid level, thereby produce surface tension gradient between the remainder of liquid that is included in the meniscus on the substrate surface and treat liquid, wherein surface tension gradient is drawn onto liquid a large amount of treat liquid from substrate surface;
Radiation is applied to substrate a part at least one and at least one of the treat liquid on the substrate surface;
Wherein pressurization steps appear at radiation apply before the step, afterwards or during in one; And
Wherein apply irradiation step appear at before the mobile step, afterwards or during in one.
187., wherein, reduce composition in the surface tension of concentrating to the meniscus that forms between the small part substrate surface and treat liquid according to the described method of claim 186.
188. according to the described method of claim 187, wherein, surface tension reduces composition and is introduced in the treatment chamber, as gas-pressurized, steam under pressure, liquid injection, mist, and dust in a kind of.
189., wherein, comprise that the surface tension of the liquid of meniscus is reduced by the radiation that applies according to the described method of claim 186.
190. according to the described method of claim 186, wherein, the radiation that applies comprise microwave, UV, IR, RF, and electromagnetic induction at least a.
191. the method for a dry substrate comprises:
Substrate is positioned in the treatment chamber;
By at least a pressurized treatments chamber that is incorporated into gas and treat liquid in the chamber;
At least a portion of moistening substrate surface comprises a kind of during submergence, injection and coagulating liq are to the substrate;
With respect to one in one of substrate and gas-liquid interface mobile substrate and the liquid level;
Radiation is applied at least one of the part of substrate and meniscus and liquid film, meniscus and liquid film all comprise at least a composition of the treat liquid that contacts substrate surface;
Wherein pressurization steps appear at apply before the irradiation step, afterwards or with its one in simultaneously; And
Wherein apply irradiation step appear at before the mobile step, afterwards or during in one.
192., wherein, apply radiation and cause at least a of a heated substrate part and heating and decompose at least a composition of the two one treat liquid according to the described method of claim 191.
193., wherein, comprise that the surface tension of the liquid of meniscus is reduced by the radiation that applies according to the described method of claim 191.
194. according to the described method of claim 191, wherein, the radiation that applies comprises microwave, ultraviolet ray (UV), infrared ray (IR), radio frequency (RF), reaches a kind of of electromagnetic induction.
195. according to the described method of claim 191, wherein, ultrasonic energy be applied to treatment chamber, treat liquid, substrate, and at least one of the substrate support parts that contact with substrate on.
196. the method for a dry substrate comprises:
Substrate is positioned in the treatment chamber;
By at least a pressurized treatments chamber that is incorporated into gas and treat liquid in the chamber;
At least a portion of moistening substrate surface, a kind of in comprising submergence, liquid is sprayed and being agglomerated on the substrate;
Ultrasonic energy is applied at least one of the part of substrate and treat liquid, the liquid that contacts with substrate is evaporated and overflow at least a.
197. according to the described method of claim 196, wherein, million frequently acoustic wave energies be applied to treatment chamber, treat liquid, substrate, and at least one of the substrate support parts that contact with substrate on.
198. according to the described method of claim 196, wherein, at least one surface of substrate directly contacts with the ultrasonic vibration parts.
199. according to the described method of claim 196, also comprise and regulate the RF frequency that drives transducer assemblies, be approximately equal to the odd-multiple quarter-wave of the ultrasonic energy of vibrating mass thickness and substrate thickness sum with generation.
200. according to the described method of claim 196, wherein, thin liquid level coated substrates is as condensing in on-chip a kind of result with drop injection and gaseous state composition.
201. the method for a dry substrate comprises:
Substrate is positioned in the treatment chamber;
By at least a of gas, treat liquid and flushing liquid that is incorporated in the chamber treatment chamber is pressurized to greater than atmospheric pressure;
Moistening to the small part substrate surface, a kind of in comprising submergence, liquid is sprayed and being agglomerated on the substrate;
With respect to the gas-liquid interface mobile substrate; And
Apply radiation with heated substrate layer and in on-chip liquid film at least one, cause liquid with a kind of substrate that leaves in evaporation and the vaporization to small part, wherein pressurization steps appear at apply before the irradiation step, afterwards and with its in simultaneously one of locate.
202. a method that is used for carrying out the processing of semiconductor chip comprises:
Substrate is inserted in the treatment chamber;
By gas, mist, steam, dust, processing chemicals, handle fluid, and flush fluid at least a be incorporated into pressurized treatments chamber in the chamber; And
Apply radiation to heat at least one at least one substrate layer and the flush fluid, reduce surface tension thus at substrate surface place flush fluid.
203. according to the described method of claim 202, wherein, the radiation that applies comprises microwave, UV, IR, reaches at least a of electromagnetic induction.
204. according to the described method of claim 203, wherein, the submergence substrate.
205. according to the described method of claim 203, wherein, to the small part substrate apply with contact at least one of them, described coating is meant and applies and contact at least a in flush fluid film and layer and the processing fluid layer with contacting.
206. according to the described method of claim 203, wherein, million frequency acoustic wave energies are applied on the substrate.
207. according to the described method of claim 203, wherein, substrate contacts with vibrating mass.
208. according to the described method of claim 207, wherein, vibrating mass and thickness substrate apply million frequently in the quarter-wave pact of odd number ± 30% of acoustic wave energies.
CN 200480020178 2003-06-06 2004-06-04 Method and apparatus to process substrates with megasonic energy Pending CN1822905A (en)

Applications Claiming Priority (9)

Application Number Priority Date Filing Date Title
US47684503P 2003-06-06 2003-06-06
US60/476,845 2003-06-06
US60/476,527 2003-06-06
US60/510,054 2003-10-08
US60/517,255 2003-11-03
US60/525,435 2003-11-26
US60/528,941 2003-12-10
US60/530,194 2003-12-16
US60/546,383 2004-02-20

Publications (1)

Publication Number Publication Date
CN1822905A true CN1822905A (en) 2006-08-23

Family

ID=36923774

Family Applications (1)

Application Number Title Priority Date Filing Date
CN 200480020178 Pending CN1822905A (en) 2003-06-06 2004-06-04 Method and apparatus to process substrates with megasonic energy

Country Status (1)

Country Link
CN (1) CN1822905A (en)

Cited By (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101791616A (en) * 2010-04-06 2010-08-04 惠州益伸电子有限公司 Ultrasonic cleaning equipment
CN102114482A (en) * 2010-12-06 2011-07-06 中国科学院西安光学精密机械研究所 Scale cleaning method for single crystal silicon furnace
CN102641869A (en) * 2012-04-17 2012-08-22 北京七星华创电子股份有限公司 Wafer cleaning device and cleaning method
CN102641823A (en) * 2012-05-14 2012-08-22 中国科学院微电子研究所 Microwave photoresist uniformizing device and photoresist uniformizing method
EP2629344A2 (en) * 2012-02-20 2013-08-21 Airbus Operations Limited Wireless power transmission
CN103537453A (en) * 2013-08-20 2014-01-29 曾锡强 Method for ultrasonic cleaning of polished sapphire substrate wafer
CN104117878A (en) * 2014-07-28 2014-10-29 辽宁工业大学 Ultrasonic polishing method and device for transmitting megahertz-level vibration through liquid
TWI473668B (en) * 2010-09-24 2015-02-21 Lam Res Ag Improved ultrasonic cleaning method and apparatus
CN104492761A (en) * 2014-12-24 2015-04-08 京东方科技集团股份有限公司 Mask cleaning device and cleaning method thereof
CN104526538A (en) * 2014-11-18 2015-04-22 天津中环领先材料技术有限公司 Novel silicon wafer wax-polishing method
CN101947525B (en) * 2006-12-19 2015-09-23 朗姆研究公司 Million sound precision cleanings of semiconductor manufacturing equipment assembly and parts
CN105056409A (en) * 2015-08-14 2015-11-18 重庆德马光电技术有限公司 Radio frequency-ultrasonic cooperation member, treatment handle and treatment device
CN106141905A (en) * 2016-08-22 2016-11-23 上海华力微电子有限公司 A kind of grinding wafer head cleans device and cleaning method
CN106391557A (en) * 2015-07-28 2017-02-15 中国科学院微电子研究所 Megasonic cleaning device for semiconductor substrates
CN106404746A (en) * 2016-11-24 2017-02-15 中国科学院长春光学精密机械与物理研究所 Method for detecting damages of CaF2 optical substrate surface and sub-surface
CN108352723A (en) * 2015-09-10 2018-07-31 Cpg技术有限责任公司 Mobile guiding surface optical waveguide probe and receiver
CN108453618A (en) * 2017-02-22 2018-08-28 株式会社荏原制作所 The grinding device of substrate and the processing system of substrate
CN109702334A (en) * 2017-10-25 2019-05-03 株式会社迪思科 Laser processing device
CN109906121A (en) * 2016-08-22 2019-06-18 伊纳诺股份有限公司 For handling the method and system on surface
CN110560425A (en) * 2019-09-20 2019-12-13 深圳先进技术研究院 Ultrasonic cleaning device, cleaning method and application thereof
CN110729177A (en) * 2019-10-17 2020-01-24 深圳第三代半导体研究院 Cleaning method for effectively removing particle agglomeration on front and back surfaces of wafer
CN111867731A (en) * 2018-03-27 2020-10-30 罗伯特·博世有限公司 Microfluidic device and method for processing a liquid

Cited By (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101947525B (en) * 2006-12-19 2015-09-23 朗姆研究公司 Million sound precision cleanings of semiconductor manufacturing equipment assembly and parts
CN101791616B (en) * 2010-04-06 2012-07-04 惠州益伸电子有限公司 Ultrasonic cleaning equipment
CN101791616A (en) * 2010-04-06 2010-08-04 惠州益伸电子有限公司 Ultrasonic cleaning equipment
US9662686B2 (en) 2010-09-24 2017-05-30 Lam Research Ag Ultrasonic cleaning method and apparatus
TWI473668B (en) * 2010-09-24 2015-02-21 Lam Res Ag Improved ultrasonic cleaning method and apparatus
CN102114482A (en) * 2010-12-06 2011-07-06 中国科学院西安光学精密机械研究所 Scale cleaning method for single crystal silicon furnace
EP2629344A2 (en) * 2012-02-20 2013-08-21 Airbus Operations Limited Wireless power transmission
EP2629344A3 (en) * 2012-02-20 2014-09-17 Airbus Operations Limited Wireless power transmission
US9729973B2 (en) 2012-02-20 2017-08-08 Airbus Operations Limited Wireless power transmission
CN102641869A (en) * 2012-04-17 2012-08-22 北京七星华创电子股份有限公司 Wafer cleaning device and cleaning method
CN102641823A (en) * 2012-05-14 2012-08-22 中国科学院微电子研究所 Microwave photoresist uniformizing device and photoresist uniformizing method
CN102641823B (en) * 2012-05-14 2015-10-28 中国科学院微电子研究所 A kind of even adhesive dispenser of microwave and even gluing method
CN103537453B (en) * 2013-08-20 2015-06-10 曾锡强 Method for ultrasonic cleaning of polished sapphire substrate wafer
CN103537453A (en) * 2013-08-20 2014-01-29 曾锡强 Method for ultrasonic cleaning of polished sapphire substrate wafer
CN104117878A (en) * 2014-07-28 2014-10-29 辽宁工业大学 Ultrasonic polishing method and device for transmitting megahertz-level vibration through liquid
CN104117878B (en) * 2014-07-28 2017-01-18 辽宁工业大学 Ultrasonic polishing method and device for transmitting megahertz-level vibration through liquid
CN104526538A (en) * 2014-11-18 2015-04-22 天津中环领先材料技术有限公司 Novel silicon wafer wax-polishing method
US9636718B2 (en) 2014-12-24 2017-05-02 Boe Technology Group Co., Ltd. Mask cleaning apparatus and mask cleaning method
CN104492761A (en) * 2014-12-24 2015-04-08 京东方科技集团股份有限公司 Mask cleaning device and cleaning method thereof
CN106391557A (en) * 2015-07-28 2017-02-15 中国科学院微电子研究所 Megasonic cleaning device for semiconductor substrates
CN105056409B (en) * 2015-08-14 2018-11-16 重庆半岛医疗科技有限公司 A kind of component, treatment handle and the therapeutic equipment of rf ultrasound's synergistic effect
CN105056409A (en) * 2015-08-14 2015-11-18 重庆德马光电技术有限公司 Radio frequency-ultrasonic cooperation member, treatment handle and treatment device
CN108352723A (en) * 2015-09-10 2018-07-31 Cpg技术有限责任公司 Mobile guiding surface optical waveguide probe and receiver
CN109906121A (en) * 2016-08-22 2019-06-18 伊纳诺股份有限公司 For handling the method and system on surface
CN106141905B (en) * 2016-08-22 2018-06-29 上海华力微电子有限公司 A kind of grinding wafer head cleaning device and cleaning method
CN106141905A (en) * 2016-08-22 2016-11-23 上海华力微电子有限公司 A kind of grinding wafer head cleans device and cleaning method
US11161139B2 (en) 2016-08-22 2021-11-02 Mosshydro As Method and system for treating a surface
CN106404746A (en) * 2016-11-24 2017-02-15 中国科学院长春光学精密机械与物理研究所 Method for detecting damages of CaF2 optical substrate surface and sub-surface
CN106404746B (en) * 2016-11-24 2019-09-10 中国科学院长春光学精密机械与物理研究所 A kind of CaF2Optical substrate surface and sub-surface damage detection method
CN108453618A (en) * 2017-02-22 2018-08-28 株式会社荏原制作所 The grinding device of substrate and the processing system of substrate
CN109702334A (en) * 2017-10-25 2019-05-03 株式会社迪思科 Laser processing device
CN109702334B (en) * 2017-10-25 2021-12-28 株式会社迪思科 Laser processing apparatus
CN111867731A (en) * 2018-03-27 2020-10-30 罗伯特·博世有限公司 Microfluidic device and method for processing a liquid
CN110560425A (en) * 2019-09-20 2019-12-13 深圳先进技术研究院 Ultrasonic cleaning device, cleaning method and application thereof
CN110729177A (en) * 2019-10-17 2020-01-24 深圳第三代半导体研究院 Cleaning method for effectively removing particle agglomeration on front and back surfaces of wafer
CN110729177B (en) * 2019-10-17 2022-06-24 深圳第三代半导体研究院 Cleaning method for effectively removing particle agglomeration on front and back surfaces of wafer

Similar Documents

Publication Publication Date Title
CN1822905A (en) Method and apparatus to process substrates with megasonic energy
US7238085B2 (en) Method and apparatus to process substrates with megasonic energy
CN1096946C (en) Method for discharging liquid by communicating bubble with atmosphere, and apparatus
CN1149645C (en) Porous region removing method and semiconductor substrate manufacturing method
US8327861B2 (en) Megasonic precision cleaning of semiconductor process equipment components and parts
CN100346978C (en) Method and apparatus for detecting consumption of ink
CN1684834A (en) Method for manufacturing electrostatic attraction type liquid discharge head, method for manufacturing nozzle plate, method for driving electrostatic attraction type liquid discharge head, electrostat
CN1276306C (en) Processing method, mfg. method and processing device for semiconductor
US9662686B2 (en) Ultrasonic cleaning method and apparatus
KR101317736B1 (en) Generating method and generator for generating mist or fine-bubble by using surface acoustic waves
CN101061574A (en) Substrate treatment apparatus
US20150360261A1 (en) System and method for the sonic-assisted cleaning of substrates utilizing a sonic-treated liquid
CN1578900A (en) Ultrasonic transmitter-receiver and ultrasonic flowmeter
CN1856392A (en) Substrate dicing system, substrate manufacturing apparatus, and substrate dicing method
CN102725824A (en) Improved ultrasonic cleaning fluid, method and apparatus
CN1080201C (en) Liquid discharging method accompanied by displacement of movable member, liquid jet head for implementing such method, and liquid jet apparatus for implementation thereof
US8486199B2 (en) Ultrasonic cleaning method and apparatus
CN1684833A (en) Liquid jetting device
CN1089691C (en) Liquid discharging head, head cartridge, liquid discharging device and fabrication process of liquid discharging head
CN1511333A (en) Plasma surface treatment method and device for carrying out said method
CN1579005A (en) Apparatus and method for depositing and planarizing thin films of semiconductor wafers
JP5063103B2 (en) Substrate processing apparatus, substrate processing method, program, and recording medium
CN1877457A (en) Processing method, manufacturing method of semiconductor device, and processing apparatus
CN1241241C (en) Spraying nozzle for wet processing, wet processing apparatus and method
CN1726348A (en) Valve unit and fluid control chip

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Open date: 20060823