CN1787170A - Gas supply unit, substrate processing apparatus, and supply gas setting method - Google Patents

Gas supply unit, substrate processing apparatus, and supply gas setting method Download PDF

Info

Publication number
CN1787170A
CN1787170A CNA2005101303873A CN200510130387A CN1787170A CN 1787170 A CN1787170 A CN 1787170A CN A2005101303873 A CNA2005101303873 A CN A2005101303873A CN 200510130387 A CN200510130387 A CN 200510130387A CN 1787170 A CN1787170 A CN 1787170A
Authority
CN
China
Prior art keywords
gas
lateral
additional gas
mist
supply
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2005101303873A
Other languages
Chinese (zh)
Other versions
CN100390933C (en
Inventor
水泽兼悦
伊藤惠贵
伊藤昌秀
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN1787170A publication Critical patent/CN1787170A/en
Application granted granted Critical
Publication of CN100390933C publication Critical patent/CN100390933C/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

A gas supply device 100 is equipped with a first gas box 111 including a plurality of gas supply sources, and a second gas box 113 including a plurality of additional gas supply sources. A mixing pipe 120 is connected to each gas supply source, and branch pipes 122 and 123 communicating with different buffer chambers 63a and 63b are connected to the mixing pipe 120. Each of the branch pipes is equipped with a pressure regulator and a ratio in pressures is regulated by a pressure ratio controller 126. An additional gas supply pipe 130 communicating with the second gas box 113 is connected to the branch pipe 123 on the downstream of the pressure regulating part. Respective gases in the first gas box 111 are mixed in the mixing pipe 120 and branched via the branch pipes to be supplied to the respective buffer chambers. An additional gas in the second gas box 113 is added to the branch pipe 123, and a different mixture gas from that of the buffer 63a is supplied to the buffer chamber 63b. The simple piping structure supplys an arbitrary mixture gas to a plurality of locations in a treatment vessel.

Description

Gas supply device, substrate board treatment and supply gas setting method
Technical field
The present invention relates to the gas supply device to the container handling supply gas, substrate board treatment and the supply gas setting method that is connected with this gas supply device.
Background technology
In the manufacturing process of electronic equipments such as semiconductor device and liquid crystal indicator, the etch processes etc. that the film forming of for example carrying out on the surface of substrate forming the film of conductivity or dielectric film is handled and the film that forms is carried out etching on substrate.
In above-mentioned etch processes, for example be extensive use of plasma-etching apparatus.Plasma-etching apparatus comprises the lower electrode of mounting substrate and the spray head that sprays gas to the substrate of lower electrode in containing the container handling of substrate.Spray head constitutes upper electrode.Etch processes is under the state of the mist of stipulating from the spray head ejection, applies high frequency between two electrodes, comes the film on the substrate is carried out etching by generate plasma in container handling.
Yet the etching characteristic of etching speed and etching selectivity etc. can be subjected to being supplied to the influence of the gas concentration on the substrate.And, make the etching characteristic homogenizing in the real estate, improving the interior etched uniformity of real estate is the important topic of studying present stage.Therefore, proposed the inside of spray head is divided into a plurality of gas compartments, each gas compartment is connected gas introduction tube independently, each several part in the real estate is supplied with any kind or flow gas method (for example, refer to Patent Document 1), thus, can adjust the gas concentration in the real estate partly, improve the uniformity in the etched real estate.
But, employed mist in the etch processes, for example constitute, select according to etched material and process conditions by the combination that can give direct etched gas, be used to control multiple gases such as the gas of accumulation of reaction product and inert gas.Therefore, when in spray head, being divided into a plurality of gas compartments, each gas compartment being connected under the situation of gas introduction tube, for example, open shown in Figure 1 in the flat 9-45624 communique (patent documentation 2) (Japan Patent) spy, on each gas introduction tube, be connected with pipeline by a plurality of gas supply sources, and each pipeline is provided with mass flow controller.Therefore, make the pipeline configuration of gas supply system complicated, the control of the gas flow of each pipeline is also complicated.So, need bigger pipeline space, and the burden of apparatus control system increases also.
Patent documentation 1:(Japan Patent) spy opens flat 8-158072 communique
Patent documentation 2:(Japan Patent) spy opens flat 9-45624 communique
Summary of the invention
The present invention proposes in view of the above problems, when its purpose is to provide a kind of many places at the container handling in the substrate board treatment of Etaching device etc. to supply with arbitrarily mist, can realize the supply gas setting method of the gas supply device of pipeline configuration, substrate board treatment and using gases feedway simply with the container handling that is connected with gas supply device.
To achieve these goals, the invention is characterized in, be a kind of in the container handling of treatment substrate the gas supply device of supply gas, comprise: a plurality of gas supply sources, the mixing duct that will mix from the multiple gases that above-mentioned a plurality of gas supply sources are supplied with, to shunt and supply to a plurality of laterals of the many places of container handling by the gas that above-mentioned mixing duct mixed, and the mist that is flow through is supplied with the additional gas feedway of the additional gas of regulation at least one lateral.
According to the present invention, the gas of a plurality of gas supply sources mixes in mixing duct, is diverted to each lateral thereafter.And, in specific lateral, the additional gas of additional regulation, the gas componant and the flow of adjustment mist.In the lateral of not additional above-mentioned additional gas, intactly supply to container handling from the mist of mixing duct.In this case, owing to for example in mixing duct, generate the common mist of gas componant, and in each lateral, can adjust the gas componant and the flow of mist as required, so, just enough by the number of tubes of the necessary limit of minimum.Consequently, can realize supplying with mist arbitrarily by simple pipeline configuration to the many places of container handling.
Above-mentioned gas supply device, each lateral is provided with valve and the pressure gauge that is used to adjust gas flow, also comprise the switching degree of adjusting above-mentioned valve based on above-mentioned manometric measurement result, thereby the mist that makes above-mentioned mixing duct is diverted to the pressure ratio control device of above-mentioned lateral with the pressure ratio of regulation.In this case, because the flow of lateral can control based on pressure ratio (voltage ratio), so, even under the low situation of the pressure for example in lateral, also can correctly carry out the flow control of lateral.
Above-mentioned gas supply device has the additional gas service that is communicated with above-mentioned lateral, and above-mentioned additional gas service is connected in the downstream of above-mentioned pressure gauge and above-mentioned valve.
Above-mentioned pressure ratio control device, can not supply with under the state of above-mentioned additional gas at above-mentioned additional gas feedway to lateral yet, the pressure ratio that will be diverted to the mist of above-mentioned each lateral by above-mentioned valve is adjusted into the pressure ratio of regulation, the switching degree of fixing above-mentioned valve under this state.
Above-mentioned gas supply device also can also comprise control part, after the mist of above-mentioned lateral being adjusted into the pressure ratio of regulation by above-mentioned pressure ratio control device, from above-mentioned additional gas feedway to above-mentioned lateral feeding additional gas.
According to the present invention of another viewpoint, the substrate board treatment that is provided with the container handling that is connected in the lateral in the above-mentioned gas feedway can be provided, also can the decompression processing device of treatment substrate under decompression state in this substrate board treatment.
Above-mentioned substrate board treatment has the loading part of mounting substrate, to the central portion and the peripheral part of the substrate that is loaded into above-mentioned loading part, by different lateral supply gas.
The present invention according to another viewpoint, be to use the supply gas setting method of above-mentioned gas feedway, comprise: do not supply with under the state of above-mentioned additional gas to lateral at above-mentioned additional gas feedway, the pressure ratio adjustment that is diverted to the mist of above-mentioned each lateral is adjusted into the pressure ratio of regulation by above-mentioned valve, under this state, fix above-mentioned valve the switching degree operation and from the operation of above-mentioned additional gas feedway to above-mentioned lateral feeding additional gas.
According to the present invention, can realize simplifying pipeline configuration, reduce the purpose of pipeline space and flow control burden.
Description of drawings
Fig. 1 is the concise and to the point longitudinal section that is used to illustrate the plasma etching structure.
Fig. 2 is the longitudinal section of inner upper electrode.
Fig. 3 is the concise and to the point ideograph of explanation gas supply device structure.
Flow chart when Fig. 4 is supply gas setting.
Fig. 5 is the concise and to the point ideograph of expression to the structure of the gas supply device of three places of container handling supply mist.
Fig. 6 is the concise and to the point ideograph from the structure of the gas supply device of the side supply mist of container handling.
Symbol description:
1: plasma-etching apparatus
10: container handling
38: inner upper electrode
63: surge chamber
90: apparatus control portion
100: gas supply device
111: the first gas cabinet
113: the second gas cabinet
120: mixing duct
122: the first laterals
123: the second laterals
124,125: the pressure adjustment part
130: the additional gas service
126: the pressure ratio control device
W: wafer
Embodiment
Below, the optimum execution mode of the present invention is illustrated.Fig. 1 is the concise and to the point longitudinal section that is used to illustrate as the formation of the plasma-etching apparatus 1 of the substrate board treatment that gas supply device was suitable for of present embodiment.
Plasma-etching apparatus 1 is the capacitive coupling plasma Etaching device of parallel plate-type electrode structure.Plasma-etching apparatus 1 has and roughly is container handling 10 cylindraceous.Container handling 10 is for example formed by aluminium alloy, and internal face is covered by pellumina or iridium oxide membrane.Container handling 10 ground connection.
Center bottom in container handling 10 is provided with columned base supports platform 14 by insulation board 12.On base supports platform 14, be supported with loading as the loading part of the wafer W of substrate, be pedestal 16.Pedestal 16 constitutes the lower electrode of parallel plate-type electrode structure.Pedestal 16 for example is made of aluminium alloy.
On the top of pedestal 16, be provided with the electrostatic chuck 18 that keeps wafer W.The inside of electrostatic chuck 18 has electrode 20.Electrode 20 is electrically connected with DC power supply 22.Produce the Coulomb force by applying direct voltage to electrode 20 from DC power supply 22, and on pedestal 16 the absorption wafer W.
On the pedestal 16 around the electrostatic chuck 18, be provided with focusing ring 24.On the outer peripheral face of pedestal 16 and base supports platform 14, for example be pasted with the inwall parts 26 cylindraceous that constitute by quartz.
In the inside of base supports platform 14, form the cryogen chamber 28 of ring-type.Cryogen chamber 28 is connected in the cooling unit (not shown) of the outside that is arranged on container handling 10 by pipeline 30a, 30b.By pipeline 30a, 30b circulation the supply system cryogen or cooling water in cryogen chamber 28, can control the temperature of the wafer W on the pedestal 16 by this circulation supply, on electrostatic chuck 18, be communicated with by the gas supply pipe line 32 in pedestal 16 and the base supports platform 14, between wafer W and electrostatic chuck 18, supply with the heat-conducting gas of He gas etc.
Above pedestal 16, be provided with and pedestal 16 parallel relative upper electrodes 34.Between pedestal 16 and upper electrode 34, be formed with plasma span PS.
Upper electrode 34 comprises the outer upper electrode 36 of ring-type and the discoideus inner upper electrode 38 of its inboard.Between outer upper electrode 36 and inner upper electrode 38, have the dielectric 42 of ring-type.Between the internal perisporium of outer upper electrode 36 and container handling 10, for example hermetic have the insulating properties shield member 44 of the ring-type that constitutes by aluminium oxide etc.
On outer upper electrode 36, be electrically connected first high frequency electric source 54 by adaptation 46, top feeder rod used therein 48, connector 50 and power supply tube 52.First high frequency electric source, 54 output 40MHz are above, the high frequency voltage of for example 60MHz.
It is cylindric that power supply tube 52 for example forms the cardinal principle of lower aperture, and the bottom is connected with outer upper electrode 36.Central portion on power supply tube 52 is electrically connected by the bottom of connector 50 with top feeder rod used therein 48.The upper end of top feeder rod used therein 48 is connected with the outlet side of adaptation 46.Adaptation 46 is connected with first high frequency electric source 54, can mate the internal driving and the load impedance of first high frequency electric source 54.The outside of power supply tube 52 is covered by the earthing conductor 10a cylindraceous that has with the sidewall of container handling 10 same diameter.The bottom of earthing conductor 10a is connected with the top of the sidewall of container handling 10.In the upper central portion of earthing conductor 10a, connect above-mentioned top feeder rod used therein 48, the contact site at earthing conductor 10a and top feeder rod used therein 48 has insulating element 56.
Inner upper electrode 38 constitutes the spray head of the mist of ejection regulation on the wafer W that is loaded on the pedestal 16.Inner upper electrode 38 comprises: have a plurality of gas squit hole 60a circle battery lead plate 60 and can be freely support the electrode support 62 of the upper face side of battery lead plate 60 with loading and unloading.Electrode support 62 forms the disc-shape with battery lead plate 60 same diameters, is formed with discoid surge chamber 63 in inside.In surge chamber 63, as shown in Figure 2, for example be provided with by O shape and encircle formed ring-type dividing-wall component 64, surge chamber 63 is divided into first surge chamber 63a of central part side and the second surge chamber 63b of peripheral part side.The central portion of the wafer W on the first surge chamber 63a and the pedestal 16 is relative, and the peripheral part of the wafer W on the second surge chamber 63b and the pedestal 16 is relative.Below each surge chamber 63a, 63b, 60a is connected with the gas squit hole, and sprays the mist of regulation to the peripheral part of wafer W to the central portion of wafer W, from the second surge chamber 63b from the first surge chamber 63a.Wherein, about supply with the gas supply device 100 of the mist of regulation to each surge chamber 63, narrate in the back.
As shown in Figure 1, on electrode support 62, electrically be connected with the bottom power supply tube 70 that is connected with top feeder rod used therein 48.Bottom power supply tube 70 is provided with variable capacitor 72.Variable capacitor 72 can be adjusted by the high frequency voltage of first high frequency electric source 54 relative scale in formed electric field strength under the outer upper electrode 36 and the electric field strength that forms under inner upper electrode 38.
Exhaust outlet 74 is formed on the bottom at container handling 10.Exhaust outlet 74 is connected with the exhaust apparatus 78 that is provided with vacuum pump etc. by blast pipe 76.Desirable vacuum degree will be decompressed to by exhaust apparatus 78 in the container handling 10.
On pedestal 16, be electrically connected second high frequency electric source 82 by adaptation 80.Second high frequency electric source 82 is exported for example scope of 2MHz~20MHz, for example high frequency voltage of 20MHz.
On inner upper electrode 38, be connected with the high frequency that is used to shield from first high frequency electric source 54, make from the high frequency of second high frequency electric source 82 low pass filter 84 by ground.On pedestal 16, be connected with and be used to make the high pass filter 86 that passes through ground from the high frequency of first high frequency electric source 54.
On plasma-etching apparatus 1, be provided with the control part 90 of the action of each unit that is used to control the etch processes of carrying out DC power supply 22, first high frequency electric source 54 and second high frequency electric source 82 etc.
Then, the gas supply device 100 of the inner upper electrode 38 supply mists of subtend plasma-etching apparatus 1 is illustrated.
As shown in Figure 3, gas supply device 100 for example comprises: accommodate first gas cabinet 111 of three gas supply source 110a, 110b, 110c and contain a plurality of, second gas cabinet 113 of two additional gas supply source 112a, 112b for example.In the present embodiment, in gas supply source 110a, enclose the fluoride that has as for example fluorine carbon system of etching gas, for example CF 4, C 4F 6, C 4F 8, C 5F 8Deng C XF YGas; In gas supply source 110b, enclose the gas of the accumulation that the reaction product that is as control CF is arranged, for example O 2Gas; In gas supply source 110c, enclose the rare gas that has as vector gas, for example argon gas.And in additional gas supply source 112a, for example inclosure can promote etched C XF YGas is enclosed the gas of the accumulation of the reactant that can control CF system, for example O in additional gas supply source 112b 2Gas.
On each gas supply source 110a~110c of first gas cabinet 111, be connected with the mixing duct 120 that all gases from each gas supply source 110a~110c is collaborated to mix.On mixing duct 120, each gas supply source is provided with the mass flow controller 121 of adjustment from the gas flow of each gas supply source 110a~110c.On mixing duct 120, be connected with first lateral 122 and second lateral 123 that the gas that mixes is shunted in mixing duct 120.First lateral 122 is connected with the first surge chamber 63a of the medial electrode 38 of above-mentioned container handling 10.Second branched pipe 123 is connected with the second surge chamber 63b of inner upper electrode 38.
First lateral 122 is provided with pressure adjustment part 124.Equally, second lateral 123 is provided with pressure adjustment part 125.Pressure adjustment part 124 is provided with pressure gauge 124a and valve 124b.Equally, pressure adjustment part 125 is provided with pressure gauge 125a and valve 125b.The measurement result of the measurement result of the pressure gauge 124a of pressure adjustment part 124 and the pressure gauge 125a of pressure adjustment part 125 outputs to pressure ratio control device 126.Pressure ratio control device 126 can be adjusted the switching degree of each valve 124b, 125b according to the measurement result of pressure gauge 124a, 125a, control in first lateral 122 and second lateral 123 mist of shunting pressure ratio, be flow-rate ratio.And, pressure ratio control device 126 is when the design of supply gas, from second gas cabinet 113 described later not under the state of second lateral, 123 feeding additional gases, the pressure ratio that will flow through the mist of first lateral 122 and second lateral 123 is adjusted into the goal pressure of regulation, the switching degree of standing valve 124b, 125b under this state.
On each additional gas supply source 112a, 112b of second gas cabinet 113, be connected with the additional gas service 130 that for example is communicated with second lateral 123.For example, additional gas service 130 is connected with each additional gas supply source 112a, 112b, gathers halfway and is connected in second lateral 123.Additional gas supply pipe 130 is connected in the downstream of pressure adjustment part 125.On additional gas supply pipe 130, each additional gas supply source is provided with the mass flow controller 131 of adjustment from the flow of the additional gas of each additional gas supply source 112a, 112b.According to this structure, can select or mix the additional gas of second gas cabinet 113, supply to second lateral 123.Wherein, in the present embodiment, constitute the additional gas feedway by second gas cabinet 113, additional gas supply source 112a, 112b, additional gas service 130 and mass flow controller 131.
The action of the mass flow controller 131 in the mass flow controller 121 in first gas cabinet 111 and second gas cabinet 113 is for example controlled by the apparatus control portion 90 of plasma-etching apparatus 1.Therefore, by apparatus control portion 90 can control from the beginning of the supply of all gases of first gas cabinet 111 and second gas cabinet 113 with stop and the flow of all gases.
Then, the action to the gas supply device 100 of above structure is illustrated.Flow chart when Fig. 4 is the gas componant of the mist in setting supplies to container handling 10 and flow.At first, according to the index signal of apparatus control portion 90, make the flow inflow mixing duct 120 (operation S1 in Fig. 4) of predefined gas to stipulate in first gas cabinet 111.For example, be supplied to the C of gas supply source 110a~110c respectively with the flow of regulation XF YGas, O 2Gas and argon gas mix in mixing duct 120, generate the C by the regulation mixed proportion XF YGas, O 2The mist that gas and argon gas constituted.Then, by pressure ratio control device 126, measurement result based on pressure gauge 124a, 125a, adjust the switching degree of valve 124b, 125b, thereby the pressure ratio of the mist that flows through in first lateral 122 and second lateral 123 is adjusted into goal pressure than (the operation S2 among Fig. 4).Thus, can set gas componant (mixing ratio) and the flow that supplies to the mist of the first surge chamber 63a by first lateral 122.And, in the second surge chamber 63b that second lateral 123 is passed through, carve at least at this moment and supply with and the identical mist of the first surge chamber 63a, promptly supply with the mist that can carry out etch processes.
And, after the mist that will flow through is adjusted into the goal pressure ratio and stablizes, fix the switching degree (the operation S3 of Fig. 4) of valve 124b, the 125b of pressure control part 124,125 by pressure control device 126 in first lateral 122 and second lateral 123.The switching degree of expectation valve 124b, 125b is fixed, and according to the index signal of apparatus control portion 90, makes predefined additional gas flow to additional gas service 130 (the operation S4 of Fig. 4) from second gas cabinet 113 with the flow of stipulating.Be used to begin the index signal from the supply of the additional gas of this second gas cabinet 113, predefined setting-up time is through out-of-date and send in apparatus control portion 90.For example, can promote etched C from additional gas supply source 112a with the flow supply of stipulating XF YGas, for example CF 4Gas, and flow to second branched pipe 123.Thus, in the second surge chamber 63b that second lateral 123 is communicated with, supply with the CF that manys than the first surge chamber 63a 4Gas.Like this, just can set the gas componant and the flow of the mist that supplies to the second surge chamber 63b.Wherein, though by make the pressure ratio change of first lateral 122 and second lateral 123 to these second lateral, 123 feeding additional gases, but, owing to valve 124b, 125b fix, so the first surge chamber 63a is still supplied with the mist of initial flow.
And, in plasma-etching apparatus 1, under reduced atmosphere, near the central part of the wafer W on the pedestal 16, supply with mist from the first surge chamber 63a, at the peripheral part of wafer W, supply with CF from the second surge chamber 63b 4The polyhybird gas of gas.Thus, the etching characteristic of the peripheral part of wafer W is done relative adjustment with respect to the central part of wafer W, makes the etching characteristic in the wafer W face even.
According to above execution mode, multiple gases from first gas cabinet is mixed in mixing duct 120, this mist is diverted to first lateral 122 and second lateral 123, and supplies to the first surge chamber 63a and the second surge chamber 63b of container handling 10.Second lateral 123 is supplied with the additional gas that is used to adjust etching characteristic, to the mist of second surge chamber 63b supply with the flow of the first surge chamber 63a heterogeneity.Like this, can at random adjust the composition and the flow of the mist of the first surge chamber 63a that supplies in the container handling 10 and the second surge chamber 63b with simple pipeline configuration.
And, owing to can adjust the flow of first branched pipe 122 and second lateral 123 by pressure adjustment part 124,125, so, even under the extremely low situation of the pressure of supply place of plasma-etching apparatus 1 such gas, also can suitably carry out the flow adjustment of service.
According to above execution mode, can promote etched CF to 123 supplies of second lateral 4Gas still, for example compares with central part at the peripheral part of wafer W that the accumulation of reaction product of CF system is many, under the situation, also can supply with removal CF to second lateral 123 be the O of reaction product slowly in etching 2Gas.And, can also supply with by CF to second lateral 123 4Gas and O 2The gas that gas mixes by certain mixed proportion.
In the above-described embodiment, from the moment of second gas cabinet 113 to second lateral, 123 feeding additional gases, be predefined by the setting-up time in the apparatus control portion 90, but, it for example also can be apparatus control portion 90 is come monitor pressures meter 124a, 125b by pressure ratio control device 126 measured value, than the stable moment, index signal is sent to second gas cabinet 113 in desirable goal pressure, the supply of beginning additional gas.
And, can also be each additional gas sources 112a, 112b with second gas cabinet 113, be connected in first lateral, 122 1 sides by additional gas supply pipe 130.Thus, in the case of necessary, also can carry out trace adjustment to the gas componant and the flow of the mist that supplies to first surge chamber 63.
In second gas cabinet 113 of Ji Shuing, be to be provided with CF in the above embodiment 4Gas and O 2The additional gas supply source of gas, but other additional gas supply source also can be set for example promotes or restrains etched other additional gas, as promoting etched gas, CHF is for example arranged 3, CH 2F 2, CH 3C such as F XH YF ZGas; CF is the gas of reaction product as control, and N is for example arranged 2Gas CO gas etc.; As diluent gas, Xe and He gas etc. are for example arranged.In addition, the kind and the quantity of the gas of being accommodated in first gas cabinet of recording and narrating in the above execution mode 111 and second gas cabinet 113 also can be selected arbitrarily according to etched material and process conditions.
Gas supply device 100 described in the above execution mode is that two places of the first surge chamber 63a and the second surge chamber 63b supply with mist in container handling 10, still, also can be to supply with mist more than three places of container handling 10.Fig. 5 is the such example of expression, for example on inner upper electrode 38, forms three surge chambers 63 of concentric circles.That is, be formed with the 3rd surge chamber 63c of ring-type in the more lateral of the second surge chamber 63b of inner upper electrode 38.In this case, in mixing duct 120, except first, second lateral 122,123, and then also has the 3rd lateral 150.The 3rd lateral 150 is connected with the 3rd surge chamber 63c.On the 3rd lateral 150, same with other lateral 122,123, be provided with pressure control chamber 151, pressure gauge 151a and valve 151b.And, in this routine gas supply device 100, be provided with the 3rd gas cabinet 152 that is used for supplying with the additional gas of regulation to the 3rd lateral 150.The 3rd gas cabinet 152 for example has the structure same with second gas cabinet 113, is provided with CF 4Additional gas supply source 153a and O 2The additional gas supply source 153b of gas.Each additional gas supply source 153a, 153b are connected with the 3rd lateral 150 by additional gas supply pipe 154, in additional gas supply pipe 154, each additional gas supply source are provided with mass flow controller 155.Wherein, the structure of other parts is owing to all same with above-mentioned execution mode, so its explanation is omitted.
And when each surge chamber 63a~63c supplies with mist, the gas of for example gas supply source 110a~110c of first gas cabinet 111 is fed into mixing duct 120, and after mixing, this mist is diverted to three laterals 122,123 and 150.By pressure ratio control device 126, the pressure ratio of lateral 122,123,150 is adjusted into the goal pressure ratio of regulation, thereafter, the switching degree of standing valve 124b, 125b, 151b.Thus, can set the gas componant and the flow of the mist of the first surge chamber 63a that first lateral 122 is communicated with.From second gas cabinet 133 by additional gas feedway 130 to second lateral 123 supply with the additional gas of regulation kind, regulation flow thereafter.And, supply with the additional gas of stipulating kind, regulation flow from the 3rd gas cabinet 152 to the 3rd lateral 150 by additional gas supply pipe 154.Like this, can set the gas componant and the flow of the mist of supplying with to the second surge chamber 63b, the 3rd surge chamber 63c.In this case, also can supply with mist arbitrarily to three places of container handling 10 by simple pipeline configuration.
In the above embodiment, mist from gas supply device 100 supplies, being that top from container handling 10 sprays to wafer W, still, also can be from the other parts of container handling 10, for example the plasma from container handling 10 forms the side ejection mist of space PS.In this case, for example, as shown in Figure 6, above-mentioned the 3rd lateral 150 is connected in the two sides of container handling 10, for example, from the nozzle of the two sides ground connection of container handling 10 to plasma span PS ejection gas.In this case, owing to be the mist of supplying with regulation respectively from top and the sidepiece of plasma span PS,, improve the uniformity of the etching characteristic in the wafer W face so can adjust the gas concentration in the plasma span PS.
In the above embodiment, be the flow of adjusting lateral by the pressure adjustment part, but the chamber, also can the service quality flow control device.And, the gas supply device of recording and narrating in the above execution mode 100, it is device from mist to plasma-etching apparatus 1 that supply with, but the present invention also goes for supplying with other substrate board treatment of mist, for example film formation devices such as plasma CVD equipment, sputter equipment, thermal oxidation apparatus.And the present invention can also be applicable to other substrate board treatment such as the mask groove that FPD (surface plate device) photomask beyond the wafer is used and MEMS (microelectromechanical systems) manufacturing installation etc.
The present invention is useful when the many places of processing substrate container being supplied with arbitrarily mist.

Claims (14)

1. a gas supply device is to the gas supply device of the container handling supply gas that substrate is handled, and it is characterized in that, comprising:
A plurality of gas supply sources;
The mixing duct that will mix from the multiple gases that described a plurality of gas supply sources are supplied with;
To shunt and supply to a plurality of laterals of the many places of container handling by the mist that described mixing duct mixed; With
Supply with the additional gas feedway of the additional gas of regulation to the mist that at least one lateral, is flow through.
2. gas supply device according to claim 1 is characterized in that:
Each lateral is provided with adjusts valve and the pressure gauge that gas flow is used,
Also comprise the pressure ratio control device, adjust the switching degree of described valve, thereby make the mist of described mixing duct be diverted to described lateral with the pressure ratio of stipulating based on described manometric measurement result.
3. gas supply device according to claim 2 is characterized in that:
Described additional gas feedway has the additional gas service that is communicated with described lateral,
Described additional gas service is connected in the downstream of described pressure gauge and described valve.
4. gas supply device according to claim 3 is characterized in that:
Described pressure ratio control device, do not supplying with under the state of described additional gas to lateral from described additional gas feedway, the pressure ratio that will be diverted to the mist of described each lateral by described valve is adjusted into the pressure ratio of regulation, the switching degree of fixing described valve under this state.
5. gas supply device according to claim 4 is characterized in that:
Also comprise control part, after the mist of described lateral being adjusted into the pressure ratio of regulation by described pressure ratio control device, from described additional gas feedway to described lateral feeding additional gas.
6. a substrate board treatment is characterized in that, comprising:
Accommodate the container handling of substrate;
A plurality of gas supply sources;
The mixing duct that will mix from the multiple gases that described a plurality of gas supply sources are supplied with;
To shunt and supply to a plurality of laterals of the many places of described container handling by the mist that described mixing duct mixed; With
Supply with the additional gas feedway of the additional gas of regulation to the mist that at least one lateral, is flow through.
7. substrate board treatment according to claim 6 is characterized in that:
Each lateral is provided with valve and the pressure gauge that is used to adjust gas flow,
Also comprise the pressure ratio control device, adjust the switching degree of described valve, thereby make the mist of described mixing duct be diverted to described lateral with the pressure ratio of stipulating based on described manometric measurement result.
8. substrate board treatment according to claim 7 is characterized in that:
Described additional gas feedway has the additional gas service that is communicated with described lateral,
Described additional gas service is connected in the downstream of described pressure gauge and described valve.
9. substrate board treatment according to claim 8 is characterized in that:
Described pressure ratio control device, do not supplying with under the state of described additional gas to lateral from described additional gas feedway, the pressure ratio that will be diverted to the mist of described each lateral by described valve is adjusted into the pressure ratio of regulation, the switching degree of fixing described valve under this state.
10. substrate board treatment according to claim 9 is characterized in that:
Also comprise control part, after the mist of described lateral being adjusted into the pressure ratio of regulation by described pressure ratio control device, from described additional gas feedway to described lateral feeding additional gas.
11. substrate board treatment according to claim 6 is characterized in that:
Have be configured in the described container handling, spray head that the processing space in described container handling spues gas,
Described a plurality of lateral is connected with described spray head.
12. substrate board treatment according to claim 11 is characterized in that:
Described a plurality of lateral is two,
Described two laterals are connected with first, second surge chamber of being distinguished with concentric circles in described spray head inside respectively.
13. substrate board treatment according to claim 12 is characterized in that:
Described first surge chamber is configured in spray head central part side, described second surge chamber is configured in described spray head peripheral part side,
The lateral that is connected with described additional gas feedway is connected with described second surge chamber.
14. a supply gas setting method is characterized in that:
Be to use the supply gas setting method of gas supply device, wherein, described gas supply device comprises:
A plurality of gas supply sources;
The mixing duct that will mix from the multiple gases that described a plurality of gas supply sources are supplied with;
To shunt and supply to a plurality of laterals of the many places of container handling by the mist that described mixing duct mixed; With
Supply with the additional gas feedway of the additional gas of regulation to the mist that at least one lateral, flow through, and,
Have on each lateral and adjust valve and the pressure gauge that gas flow is used, wherein, described supply gas setting method comprises:
Not from described additional gas feedway under the state of described lateral feeding additional gas, to be adjusted into the pressure ratio of regulation from the pressure ratio that described mixing duct is diverted to the mist of each lateral by described valve, thereafter, the operation of the switching degree of the described valve of fixing described lateral and
Then, supply with the operation of the additional gas of regulation flow to the described lateral of regulation from described additional gas feedway.
CNB2005101303873A 2004-12-09 2005-12-09 Gas supply unit, substrate processing apparatus, and supply gas setting method Active CN100390933C (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2004357292 2004-12-09
JP2004357292A JP4358727B2 (en) 2004-12-09 2004-12-09 Gas supply apparatus, substrate processing apparatus, and supply gas setting method

Publications (2)

Publication Number Publication Date
CN1787170A true CN1787170A (en) 2006-06-14
CN100390933C CN100390933C (en) 2008-05-28

Family

ID=36667053

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2005101303873A Active CN100390933C (en) 2004-12-09 2005-12-09 Gas supply unit, substrate processing apparatus, and supply gas setting method

Country Status (4)

Country Link
JP (1) JP4358727B2 (en)
KR (1) KR100753692B1 (en)
CN (1) CN100390933C (en)
TW (1) TWI441254B (en)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101587814B (en) * 2008-05-22 2012-05-09 东京毅力科创株式会社 A plasma processing apparatus and a processed air supply apparatus used therefor
US8430962B2 (en) 2007-11-02 2013-04-30 Tokyo Electron Limited Gas supply device, substrate processing apparatus and substrate processing method
CN104350585A (en) * 2012-06-20 2015-02-11 东京毅力科创株式会社 Plasma processing apparatus and plasma processing method
CN106158658A (en) * 2014-09-30 2016-11-23 台湾积体电路制造股份有限公司 Manufacture the apparatus and method of Fin-FET device
CN107709953A (en) * 2015-07-29 2018-02-16 东京毅力科创株式会社 The method for checking the valve leakage of gas supply system
CN107771353A (en) * 2015-05-17 2018-03-06 恩特格里斯公司 Gas holder
WO2023231084A1 (en) * 2022-06-01 2023-12-07 长鑫存储技术有限公司 Gas distribution device, and plasma treatment device and method

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4550507B2 (en) 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ Plasma processing equipment
JP4895167B2 (en) * 2006-01-31 2012-03-14 東京エレクトロン株式会社 Gas supply apparatus, substrate processing apparatus, and gas supply method
JP5211450B2 (en) * 2006-08-15 2013-06-12 東京エレクトロン株式会社 Substrate processing apparatus, substrate processing method, and storage medium
US20080078746A1 (en) 2006-08-15 2008-04-03 Noriiki Masuda Substrate processing system, gas supply unit, method of substrate processing, computer program, and storage medium
JP5452133B2 (en) * 2009-08-27 2014-03-26 株式会社日立ハイテクノロジーズ Plasma processing apparatus and plasma processing method
JP5562712B2 (en) * 2010-04-30 2014-07-30 東京エレクトロン株式会社 Gas supply equipment for semiconductor manufacturing equipment
JP5689294B2 (en) 2010-11-25 2015-03-25 東京エレクトロン株式会社 Processing equipment
JP5792563B2 (en) 2011-08-31 2015-10-14 東京エレクトロン株式会社 Plasma etching method and plasma etching apparatus
JP6034655B2 (en) 2012-10-25 2016-11-30 東京エレクトロン株式会社 Plasma processing equipment
JP6030994B2 (en) 2013-05-15 2016-11-24 東京エレクトロン株式会社 Plasma etching apparatus and plasma etching method
JP7073710B2 (en) 2017-01-20 2022-05-24 東京エレクトロン株式会社 Plasma processing equipment
CH713539A1 (en) * 2017-03-03 2018-09-14 Pelco Sarl Automatic gas mixer.
JP7296854B2 (en) * 2019-11-07 2023-06-23 東京エレクトロン株式会社 Gas supply method and substrate processing apparatus
CN114774887A (en) * 2022-06-22 2022-07-22 拓荆科技(北京)有限公司 Gas delivery device, method and semiconductor deposition equipment

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05136098A (en) * 1991-11-15 1993-06-01 Seiko Epson Corp Apparatus and method for manufacturing semiconductor device
US5916369A (en) * 1995-06-07 1999-06-29 Applied Materials, Inc. Gas inlets for wafer processing chamber
JPH09289170A (en) * 1996-04-23 1997-11-04 Sony Corp Semiconductor manufacturing equipment
US6210482B1 (en) * 1999-04-22 2001-04-03 Fujikin Incorporated Apparatus for feeding gases for use in semiconductor manufacturing
CN1240113C (en) * 2002-08-20 2006-02-01 东京毅力科创株式会社 Plasma etching method and device
JP4127779B2 (en) * 2002-08-28 2008-07-30 株式会社神戸製鋼所 Hot isostatic pressurizing device and hot isostatic pressurizing method
US20040050326A1 (en) * 2002-09-12 2004-03-18 Thilderkvist Karin Anna Lena Apparatus and method for automatically controlling gas flow in a substrate processing system

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8430962B2 (en) 2007-11-02 2013-04-30 Tokyo Electron Limited Gas supply device, substrate processing apparatus and substrate processing method
CN103325652A (en) * 2007-11-02 2013-09-25 东京毅力科创株式会社 Substrate processing equipment
US8679255B2 (en) 2007-11-02 2014-03-25 Tokyo Electron Limited Gas supply device, substrate processing apparatus and substrate processing method
CN103325652B (en) * 2007-11-02 2016-05-25 东京毅力科创株式会社 Substrate board treatment
CN101587814B (en) * 2008-05-22 2012-05-09 东京毅力科创株式会社 A plasma processing apparatus and a processed air supply apparatus used therefor
CN104350585A (en) * 2012-06-20 2015-02-11 东京毅力科创株式会社 Plasma processing apparatus and plasma processing method
US10692701B2 (en) 2014-09-30 2020-06-23 Taiwan Semiconductor Manufacturing Co., Ltd. Dry etching apparatus
CN106158658B (en) * 2014-09-30 2019-04-23 台湾积体电路制造股份有限公司 The device and method for manufacturing Fin-FET device
CN106158658A (en) * 2014-09-30 2016-11-23 台湾积体电路制造股份有限公司 Manufacture the apparatus and method of Fin-FET device
US11120974B2 (en) 2014-09-30 2021-09-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device
CN107771353A (en) * 2015-05-17 2018-03-06 恩特格里斯公司 Gas holder
CN107771353B (en) * 2015-05-17 2022-02-01 恩特格里斯公司 Gas holder
CN107709953A (en) * 2015-07-29 2018-02-16 东京毅力科创株式会社 The method for checking the valve leakage of gas supply system
CN107709953B (en) * 2015-07-29 2019-12-06 东京毅力科创株式会社 Method for checking valve leakage of gas supply system
TWI688726B (en) * 2015-07-29 2020-03-21 日商東京威力科創股份有限公司 Inspection method for valve body leakage of gas supply system
WO2023231084A1 (en) * 2022-06-01 2023-12-07 长鑫存储技术有限公司 Gas distribution device, and plasma treatment device and method

Also Published As

Publication number Publication date
JP2006165399A (en) 2006-06-22
KR100753692B1 (en) 2007-08-30
TW200633049A (en) 2006-09-16
TWI441254B (en) 2014-06-11
CN100390933C (en) 2008-05-28
JP4358727B2 (en) 2009-11-04
KR20060065510A (en) 2006-06-14

Similar Documents

Publication Publication Date Title
CN1787170A (en) Gas supply unit, substrate processing apparatus, and supply gas setting method
US9441791B2 (en) Gas supply unit, substrate processing apparatus and supply gas setting method
CN102084469B (en) Plasma processing device
CN101017771A (en) Gas supply apparatus, substrate processing apparatus and gas supply method
CN101615575B (en) Baffle plate and substrate processing apparatus
EP3195926A1 (en) Device and method for manufacturing gas-dissolved water
KR100276093B1 (en) Plasma etching system
CN102693892B (en) Plasma processing apparatus and plasma processing method
CN101533764A (en) Shower head and substrate processing apparatus
CN1881543A (en) Method and apparatus for forming silicon oxide film and program
CN102347231B (en) Plasma processing method and plasma processing apparatus
CN101013660A (en) Gas supply device, substrate processing apparatus and gas supply method
CN1777695A (en) Film-forming apparatus and film-forming method
CN1821448A (en) Plasma processing apparatus and components thereof, and method for detecting life span of the components
CN101047113A (en) Plasma processing apparatus and plasma processing method
US20210060622A1 (en) Nozzle, substrate processing apparatus including the same, and substrate processing method
CN1705079A (en) Plasma processing device and impedance adjusting method
CN102272896A (en) Plasma processing apparatus
JP5548028B2 (en) Deposition chamber remote cleaning method
CN101048029A (en) Microwave plasma processing apparatus, method for manufacturing microwave plasma processing apparatus and plasma processing method
KR20180014656A (en) Substrate processing apparatus and substrate processing method
JPH11260810A (en) Substrate processing method and substrate processor
CN101042991A (en) Plasma processing apparatus
WO2013022134A1 (en) Apparatus for treating surfaces of fine particles
KR101138609B1 (en) Plasma generation apparatus for making radical effectively

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant