CN1716760A - 数控振荡器及操作方法 - Google Patents

数控振荡器及操作方法 Download PDF

Info

Publication number
CN1716760A
CN1716760A CN200510072068.1A CN200510072068A CN1716760A CN 1716760 A CN1716760 A CN 1716760A CN 200510072068 A CN200510072068 A CN 200510072068A CN 1716760 A CN1716760 A CN 1716760A
Authority
CN
China
Prior art keywords
phase
digital
signal
value
phase signal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN200510072068.1A
Other languages
English (en)
Inventor
布雷恩·M·米勒
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Agilent Technologies Inc
Original Assignee
Agilent Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Agilent Technologies Inc filed Critical Agilent Technologies Inc
Publication of CN1716760A publication Critical patent/CN1716760A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/02Digital function generators
    • G06F1/03Digital function generators working, at least partly, by table look-up
    • G06F1/035Reduction of table size
    • G06F1/0353Reduction of table size by using symmetrical properties of the function, e.g. using most significant bits for quadrant control
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/02Digital function generators
    • G06F1/03Digital function generators working, at least partly, by table look-up
    • G06F1/0321Waveform generators, i.e. devices for generating periodical functions of time, e.g. direct digital synthesizers
    • G06F1/0342Waveform generators, i.e. devices for generating periodical functions of time, e.g. direct digital synthesizers for generating simultaneously two or more related waveforms, e.g. with different phase angles only

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Stabilization Of Oscillater, Synchronisation, Frequency Synthesizers (AREA)

Abstract

在一个实施例中,本发明关注于数控振荡器。该数控振荡器包括:相位累加器,用于接收输入的数字字;以及相位振幅转换器,该相位振幅转换器操作上耦合到相位累加器,以接收第一相位信号和第二相位信号,所述相位振幅转换器计算第一和第二相位信号中的每一个的正弦值和余弦值,所述相位振幅转换器产生(i)第一相位信号的正弦值和第二相位信号的余弦值的乘积与(ii)第二相位信号的正弦值和第一相位信号的余弦值的乘积这两者之和。

Description

数控振荡器及操作方法
技术领域
本发明涉及数控振荡器。
背景技术
数控振荡器是用于响应于数字信号来合成一系列频率的电子系统。由数控振荡器产生的频率范围与输入的数字信号的位分辨率以及用于控制数控振荡器的时钟有关。具体而言,作为奈奎斯特定理的结果,所产生的频率小于用于控制数控振荡器的时钟频率的一半。
图1示出了由N位频率字102(Freq(k))控制的数控振荡器100。具体而言,频率字102定义数控振荡器100所需的操作频率。频率字102被提供到相位累加器101,该相位累加器101由时钟控制以定义振荡器100的时基。相位累加器101将输入的频率数据转换成相位信号103(Φ(k))。相位信号103被提供到相位振幅转换器104。数字相位信号103通常在只读存储器(ROM)结构中用作存储位置的索引。ROM结构在各个位置上的内容包含对应于sin(Φ(k))的数字值,以产生数字振幅信号105。数字振幅信号105被提供到数模转换器106,从而产生其频率与频率字102相关的模拟波形。
如果需要较高的精确度,用于执行相位到振幅转换的ROM结构的使用则需要略微大量的存储器。通常,相位振幅转换器104的实现方式截短相位信号103(即丢掉多个最低有效的位),从而减少必需的存储器数量。相位信号103的截短会在数字振幅信号105中产生误差。数字振幅信号105中的该误差还会在模拟输出信号中产生杂散信号(spur)。
已经实现了许多机制来尝试减轻由相位信号103的截短而产生的误差。例如,如美国专利No.4,855,946所描述的,可以利用加法校正因子对附加的ROM进行编程。可替换地,如美国专利No.4,905,177所描述的,可以使用幂级数展开来近似误差。如1984年8月的IEEE Journal of Solid-State Circuits,VOL SC-19,No.4中由David Sutherland等人编著的“CMOS/SOS Frequency Synthesizer LSI Circuit for Spread SpectrumCommunications”中所描述的,可以应用三角展开来构建改良的近似,其中三角展开的组合项被放置在各个ROM中以用于求和。而且,如美国专利No.5,757,253所描述的,可以应用重复算法(repetitive algorithm)来产生改良的近似。虽然所有这些机制减轻了与截短相关联的误差,但是在数字振幅信号105中误差的阶数保持不变。误差传播到模拟输出信号。
发明内容
代表性实施例关注于数控振荡器以及操作方法。代表性实施例能够通过利用三角恒等式来减少数控振荡器的ROM单元所使用的存储器总量。在一个代表性实施例中,相位振幅转换器接收来自相位累加器的数字相位信号(用Φ代表)。接收到的数字相位信号可以是来自相位累加器的所有位的截短,或者可以包括来自相位累加器的所有位。所述相位振幅转换器包括用于存储对应于Φ的最高有效位(用θc代表)的正弦和余弦值的第一组ROM单元。所述相位振幅转换器包括用于存储对应于Φ的较低有效位(用θf代表)的正弦和余弦值的第二组ROM单元。由ROM单元获得的正弦和余弦值被根据sin(θc)·cos(θf)+sin(θf)·cos(θc)而组合起来,从而产生数字振幅信号。此外,为了减少与ROM单元相关联的存储器量而使用三角恒等式,这不会降低相位到振幅转换的精确度。具体而言,三角恒等式的使用不包含任意算术误差。
附图说明
图1示出了已知的数控振荡器。
图2示出了根据一个代表性实施例的数控振荡器。
图3示出了根据另一代表性实施例的IQ数控振荡器。
具体实施方式
现在参考图2示出的一个代表性实施例,数控振荡器200接收输入数字信号102(Freq(k))来控制振荡器的操作频率。输入数字信号102使用位宽48位的总线来传输。相位累加器101对输入数字信号102进行累加,从而产生相位信号103(Φ(k))。相位信号103在位宽48位的总线210上传输。
如图2所示,相位信号103被截短。具体而言,对应于位[27:0]的总线210的线路被终止,而没有连接到相位振幅转换器250。被截短的信号212(Φ′(k))对应于位[47:28]。该截短是根据与后续相位到振幅转换相关联的所需精确度水平而发生的。在可替换的实施例中,可以将来自相位累加器101的所有位都用于相位到振幅转换。如下面将更详细描述的,假设用于相位到振幅转换的位数相同,代表性实施例可以减少ROM存储器的数量,而不会出现任意算术误差。
此外,截短信号212被分成“粗糙”部分(θc)和“精细”部分(θf)。总线210的对应于“粗糙”位[47:38]的线路和对应于“精细”位[37:28]的线路被提供到相位振幅转换器250内分离的组件。
相位振幅转换器250能够减小ROM单元201-204的尺寸,而不会降低数字振幅信号211(V(k))的计算精度。具体而言,相位振幅转换器250使用等式(1)的三角恒等式。
(1)sin(Φ′)=sin(θcf)=sin(θc)·cos(θf)+sin(θf)·cos(θc),其中Φ′=θcf
在图2所示的实施例中,相位信号103的位[47:28]由Φ′代表,Φ′中的最高有效位由θc代表,并且Φ′中的最低有效位由θf代表。ROM单元201存储了与Φ′的最高有效位(θc)所定义的值相对应的正弦值。同样,ROM单元202存储了与Φ′的最高有效位(θc)所定义的值相对应的余弦值。因此,总线210的与位[47:38]相关联的线路205被耦合到ROM单元201和202,以使用被传送的位作为索引来获得适当的正弦和余弦值。ROM单元203和204分别存储与Φ′的最低有效位(θf)相关联的正弦和余弦值。总线210的与位[37:28]相关联的线路206被耦合到ROM单元203和204,以使用被传送的位作为索引来获得适当的正弦和余弦值。
使用等式(1)的三角恒等式,来自ROM单元201的正弦值和来自ROM单元204的余弦值被提供到乘法器207。来自ROM单元203的正弦值和来自ROM单元202的余弦值被提供到乘法器208。来自乘法器207和208的乘积由加法器209求和,从而产生数字振幅信号211(V(k))。数模转换器(DAC)106将数字振幅信号211转换成模拟信号。可替换地,在数模转换之前,可能发生其他处理。例如,如果特定应用需要,则可以在数字域中执行振幅调制。相似地,可以通过适当地处理相位信号103来执行相位调制。可以通过适当地处理频率输入数字信号102来执行频率调制。
通过根据图2所示的代表性实施例来实现相位振幅转换器250,ROM单元201-204包括了略微少量的存储器。具体而言,如果采用单个ROM单元来提供对N位相位信号的相位到振幅转换,该单个ROM则需要2N个条目。相位振幅转换器250的实现方式使用四个分离的ROM单元。这四个ROM单元中的每一个都包括2N/2个条目。这样,存储器节省总量为0.25×2N/2=2N/2-2。对于N=20,在存储器总量中的减少因子是256。而且,除代表性实施例之外,还可以使用已知的用于使用正弦函数在0到2π上的对称性来减小ROM单元的存储器需求的技术。
此外,存储器总量的减少不会带来精确度的下降。具体而言,由相位振幅转换器250使用的等式(1)是三角恒等式,并且不是一种近似。这样,与ROM单元204-204相关联的存储器量的减少不会导致相位到振幅转换中的任意误差。相反,减少用于相位到振幅转换的存储器数量的已知近似方法包含固有的算术误差。通过实现图2所示的数控振荡器200,并且通过利用合适的特性(精确度、线性、稳定特性、时间特性等等)使用DAC 106,对于100MHz或更高频率的操作,可以获得在-90dBc数量级上或者更好的杂散电平。图2所示的各种数字信号的位分辨率对于杂散电平的降低不重要。根据适合于特定应用的代表性实施例,可以做出其他合适的位选择。
图3示出了根据一个代表性实施例的IQ数控振荡器300。IQ数控振荡器300的前端与数控振荡器200的前端相似。IQ数控振荡器300的输出与数控振荡器200的输出有所不同。具体而言,IQ数控振荡器300提供了一条Q信道(Vsin(k)307)和一条I信道(Vcos(k)308)。因为不需要附加的ROM单元来产生I和Q信道,因此IQ数控振荡器300的配置是高效的。通过使用相同的ROM单元来创建I和Q信道,与单个ROM查找实现方式相比的存储器减少可以进一步提高到2N/2-1
为了在数字域中计算I和Q信道,ROM单元201和203的输出被提供到乘法器301。ROM单元201和204的输出被提供到乘法器302。而且,ROM单元202和ROM单元203的输出被提供到乘法器303。ROM单元204和ROM单元202的输出被提供到乘法器304。Q信道(Vsin(k)307)由加法器305使用乘法器302和303的输出来产生。I信道(Vcos(k)308)由加法器306产生,该加法器306将乘法器301的输出的负值与乘法器304的输出相加。利用DAC 106a对Q信道执行数模转换。同样,由DAC 106b对I信道执行数模转换。适应于特定应用,在数模转换之前,可能在数字域中出现其他合适的信号处理。
代表性实施例能够减少用于数控振荡器的ROM单元的存储器总量。代表性实施例还能够通过用三角恒等式取代数值近似,来以更精确的方式执行相位到振幅转换。此外,与相位到振幅转换相关联的精确度减轻了在从数控振荡器中得到的模拟信号中出现的杂散信号的影响。

Claims (20)

1.一种数控振荡器,包括:
相位累加器,用于接收输入的数字字;以及
相位振幅转换器,该相位振幅转换器操作上耦合到所述相位累加器,以接收第一相位信号和第二相位信号,所述相位振幅转换器计算所述第一和第二相位信号中的每一个的正弦值和余弦值,所述相位振幅转换器产生(i)所述第一相位信号的正弦值和所述第二相位信号的余弦值的乘积与(ii)所述第二相位信号的正弦值和所述第一相位信号的余弦值的乘积的和,其中所述相位振幅转换器将所述和作为振幅信号输出。
2.如权利要求1所述的数控振荡器,其中所述第一和第二相位信号是从所述相位累加器的输出信号中获得。
3.如权利要求2所述的数控振荡器,其中所述第一和第二相位信号是从来自所述相位累加器的所述输出信号的截短中获得。
4.如权利要求2所述的数控振荡器,其中所述第一相位信号包括来自所述相位累加器的所述输出信号的多个最高有效位,并且所述第二相位信号包括来自所述相位累加器的所述输出信号的多个较低有效位。
5.如权利要求1所述的数控振荡器,其中所述相位振幅转换器包括:
多个只读存储器单元,用于获得正弦和余弦值。
6.一种使用数字电路来产生振荡器信号的方法,包括:
响应于输入的数字信号来操作累加器逻辑,从而产生第一数字相位信号;
将所述第一数字相位信号划分成第二和第三数字相位信号;
计算对于所述第二数字相位信号的第一正弦和余弦值;
计算对于所述第三数字相位信号的第二正弦和余弦值;并且
操作加法器对(i)所述第一正弦值和所述第二余弦值的乘积与(ii)所述第二正弦值和所述第一余弦值的乘积求和,来产生输出数字值,从而产生数字振幅信号。
7.如权利要求6所述的方法,还包括:
操作数模转换器对所述输出数字值进行转换,从而产生所述振荡器信号。
8.如权利要求6所述的方法,其中所述划分步骤包括选择第一组位作为所述第二数字相位信号,所述第一组位是所述第一数字相位信号的最高有效位。
9.如权利要求8所述的方法,其中所述划分步骤包括选择所述第一数字相位信号中与所述最高有效位相邻的第二组位作为所述第三数字相位信号。
10.如权利要求9所述的方法,其中所述计算第一正弦和余弦值和计算第二正弦和余弦值的步骤包括使用所述第二和第三相位信号作为指向只读存储器单元内位置的索引,来获得在所述只读存储器单元中存储的值。
11.一种数控振荡器,包括:
用于累加数字信号,从而产生第一数字相位信号的装置;以及
用于使用从所述第一数字相位信号中获得的第二和第三数字相位信号来产生数字振幅信号的装置,其中所述用于产生的装置将所述数字振幅信号作为(i)所述第二数字相位信号的正弦值和所述第三数字相位信号的余弦值的乘积与(ii)所述第三数字相位信号的正弦值和所述第二数字相位信号的余弦值的乘积的和来计算。
12.如权利要求11所述的数控振荡器,还包括:
耦合到所述用于累加的装置的总线,该总线用于传输所述第一数字相位信号。
13.如权利要求12所述的数控振荡器,其中所述总线中的第一组线路被耦合到第一正弦计算元件和第一余弦计算元件,并且所述总线中的第二组线路被耦合到第二正弦计算元件和第二余弦计算元件。
14.如权利要求13所述的数控振荡器,其中所述第一和第二正弦计算元件和所述第一和第二余弦计算元件包括各自的只读存储器单元。
15.如权利要求14所述的数控振荡器,其中所述第一和第二正弦计算元件和所述第一和第二余弦计算元件使用在所述总线的所述第一和第二组线路上接收到的位作为索引来获得所述只读存储器单元的位置中所存储的值。
16.一种系统,包括:
相位累加器,用于接收输入的数字字;以及
相位振幅转换器,该相位振幅转换器操作上耦合到所述相位累加器,以接收第一相位信号和第二相位信号,所述相位振幅转换器计算所述第一相位信号的第一正弦和余弦值,以及所述第二相位信号的第二正弦和余弦值,所述相位振幅转换器对(i)所述第一正弦值和所述第二余弦值的乘积与(ii)所述第二正弦值和所述第一余弦值的乘积求和,从而产生第一输出信号,所述相位振幅转换器将(i)所述第一和第二正弦值的乘积从(ii)所述第一和第二余弦值的乘积中减去,从而产生第二输出信号。
17.如权利要求16所述的系统,还包括:
存储了正弦和余弦值的多个只读存储器单元。
18.如权利要求17所述的系统,其中所述第一相位信号由来自所述相位累加器的输出信号的多个最高有效位来定义,并且所述第二相位信号由来自所述相位累加器的所述输出信号中的多个较低有效位来定义。
19.如权利要求18所述的系统,其中所述多个只读存储器单元中的第一对只读存储器单元存储了对应于所述最高有效位的正弦和余弦值,并且所述多个只读存储器单元中的第二对只读存储器单元存储了对应于所述较低有效位的正弦和余弦值。
20.如权利要求16所述的系统,还包括:
第一数模转换器,用于将所述第一输出信号转换成模拟信号;
第二数模转换器,用于将所述第二输出信号转换成模拟信号。
CN200510072068.1A 2004-06-14 2005-05-25 数控振荡器及操作方法 Pending CN1716760A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/867,025 2004-06-14
US10/867,025 US7437391B2 (en) 2004-06-14 2004-06-14 Numerically controlled oscillator and method of operation

Publications (1)

Publication Number Publication Date
CN1716760A true CN1716760A (zh) 2006-01-04

Family

ID=34839069

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200510072068.1A Pending CN1716760A (zh) 2004-06-14 2005-05-25 数控振荡器及操作方法

Country Status (3)

Country Link
US (1) US7437391B2 (zh)
CN (1) CN1716760A (zh)
GB (1) GB2415845B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102098470B (zh) * 2009-12-11 2013-01-16 无锡华润矽科微电子有限公司 一种查表优化方法及数字控制振荡器
CN106095706A (zh) * 2015-04-08 2016-11-09 美高森美半导体无限责任公司 具有主设备和从设备的pll系统

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4554431B2 (ja) * 2004-05-18 2010-09-29 ローム株式会社 Dtmf信号生成回路、音信号生成回路、ならびに通信装置
EP1794966B1 (en) * 2004-09-30 2013-05-08 Efficient Channel Coding, Inc. Frame-based carrier frequency and phase recovery system and method
US8510589B2 (en) * 2008-08-29 2013-08-13 Intel Mobile Communications GmbH Apparatus and method using first and second clocks
US9048846B2 (en) 2008-08-29 2015-06-02 Intel Mobile Communications, Gmbh Device having digitally controlled oscillator
US8271568B2 (en) 2008-08-29 2012-09-18 Infineon Technologies Ag Digital filter
US8076977B2 (en) * 2008-08-29 2011-12-13 Infineon Technologies Ag Device having digitally controlled oscillator
KR101085107B1 (ko) * 2009-12-02 2011-11-21 (주)자람테크놀로지 가변 사인파 가중 디지털 아날로그 변환기를 이용한 직접 디지털 주파수 합성기 및 그 방법
CN102571083B (zh) * 2010-12-24 2016-01-20 北京普源精电科技有限公司 数字控制振荡器、正交载波的产生方法及正交调幅调制系统
US9377907B2 (en) 2012-09-21 2016-06-28 Apple Inc. Self capacitance implementation method
US8884664B1 (en) * 2013-03-15 2014-11-11 Anritsu Company Systems and methods for generating low band frequency sine waves
US10061444B2 (en) 2013-07-31 2018-08-28 Apple Inc. Self capacitance touch sensing
CN104639160B (zh) * 2013-11-13 2019-01-11 上海联影医疗科技有限公司 数字控制振荡器及磁共振成像系统
US9904311B1 (en) 2016-08-09 2018-02-27 Stmicroelectronics S.R.L. System and a method for a waveform generator
CN114779876B (zh) * 2022-04-15 2024-03-22 中国电子科技集团公司第五十八研究所 一种高速高精度数控振荡器

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4855946A (en) * 1987-10-22 1989-08-08 Motorola, Inc. Reduced size phase-to-amplitude converter in a numerically controlled oscillator
US4905177A (en) * 1988-01-19 1990-02-27 Qualcomm, Inc. High resolution phase to sine amplitude conversion
US5321642A (en) * 1991-03-20 1994-06-14 Sciteq Electronics, Inc. Source of quantized samples for synthesizing sine waves
US5276633A (en) * 1992-08-14 1994-01-04 Harris Corporation Sine/cosine generator and method
JPH0763124B2 (ja) * 1993-02-24 1995-07-05 日本電気株式会社 直接デジタル周波数シンセサイザ
US5467294A (en) * 1994-03-09 1995-11-14 Hu; Vince High speed, low power direct digital synthesizer
US5737253A (en) * 1995-08-30 1998-04-07 Pentomics, Inc. Method and apparatus for direct digital frequency synthesizer
US6269117B1 (en) * 1998-06-29 2001-07-31 Sony Corporation System and method for enhancing downsampling operations
US6587862B1 (en) * 1999-09-07 2003-07-01 Spectral Logic Design Apparatus and method for direct digital frequency synthesis
US6333649B1 (en) * 2000-08-31 2001-12-25 Xilinx, Inc. Error feed-forward direct digital synthesis
US6807554B2 (en) * 2001-08-10 2004-10-19 Hughes Electronics Corporation Method, system and computer program product for digitally generating a function
US6867625B1 (en) * 2003-09-24 2005-03-15 Itt Manufacturing Enterprises, Inc. Method and apparatus for high frequency digital carrier synthesis from plural intermediate carrier waveforms

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102098470B (zh) * 2009-12-11 2013-01-16 无锡华润矽科微电子有限公司 一种查表优化方法及数字控制振荡器
CN106095706A (zh) * 2015-04-08 2016-11-09 美高森美半导体无限责任公司 具有主设备和从设备的pll系统
CN106095706B (zh) * 2015-04-08 2019-01-15 美高森美半导体无限责任公司 具有主设备和从设备的pll系统

Also Published As

Publication number Publication date
GB0511691D0 (en) 2005-07-13
US20050278403A1 (en) 2005-12-15
US7437391B2 (en) 2008-10-14
GB2415845A (en) 2006-01-04
GB2415845B (en) 2007-11-14

Similar Documents

Publication Publication Date Title
CN1716760A (zh) 数控振荡器及操作方法
Vankka Methods of mapping from phase to sine amplitude in direct digital synthesis
EP0398910B1 (en) High resolution phase to sine amplitude conversion
EP0102784B1 (en) Method and apparatus for sine function generation
CN103488245B (zh) Dds中的相位幅度转换方法以及装置
US7440987B1 (en) 16 bit quadrature direct digital frequency synthesizer using interpolative angle rotation
US6640237B1 (en) Method and system for generating a trigonometric function
US6867625B1 (en) Method and apparatus for high frequency digital carrier synthesis from plural intermediate carrier waveforms
US6657573B2 (en) Phase to sine amplitude conversion system and method
US4855946A (en) Reduced size phase-to-amplitude converter in a numerically controlled oscillator
CN115001485A (zh) 基于泰勒多项式逼近的直接数字频率合成器
Cardells-Tormo et al. Optimisation of direct digital frequency synthesisers based on CORDIC
CN107943204B (zh) 数字频率合成方法以及装置
CN210006029U (zh) 数据处理器
US8473534B2 (en) Method for use in a digital frequency synthesizer
KR100233828B1 (ko) 직접 디지털 주파수 합성기
KR20000031136A (ko) 직접 디지털 주파수 합성기
EP0912921B1 (en) Method of generating signal amplitude responsive to desired function, and converter
CN109857980A (zh) 一种快速傅里叶分析算法
US5684730A (en) Booth multiplier for trigonometric functions
CN218630164U (zh) 一种时域p1码信号发生装置
CN210006084U (zh) 乘法器
Gan et al. The design of direct digital frequency synthesis based on ROM lookup table
CN118523768A (zh) 一种直接数字频率合成方法及合成器
Soudris et al. Alternative direct digital frequency synthesizer architectures with reduced memory size

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication