CN1274395A - Dual frequency excitation of plasma for film deposition - Google Patents

Dual frequency excitation of plasma for film deposition Download PDF

Info

Publication number
CN1274395A
CN1274395A CN98809989A CN98809989A CN1274395A CN 1274395 A CN1274395 A CN 1274395A CN 98809989 A CN98809989 A CN 98809989A CN 98809989 A CN98809989 A CN 98809989A CN 1274395 A CN1274395 A CN 1274395A
Authority
CN
China
Prior art keywords
susceptor
frequency power
output
treatment chamber
method described
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN98809989A
Other languages
Chinese (zh)
Other versions
CN1113978C (en
Inventor
坎姆·S·劳
罗伯特·M·罗伯森
上泉元
杰弗·奥尔森
卡尔·索伦森
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
AKT Inc
Original Assignee
Applied Komatsu Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=25487591&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=CN1274395(A) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Applied Komatsu Technology Inc filed Critical Applied Komatsu Technology Inc
Publication of CN1274395A publication Critical patent/CN1274395A/en
Application granted granted Critical
Publication of CN1113978C publication Critical patent/CN1113978C/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/517Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using a combination of discharges covered by two or more of groups C23C16/503 - C23C16/515

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

An apparatus deposits a high quality film onto a transparent substrate in a reactor. The transparent substrate may be made of glass, quartz or a polymer such as plastic. The transparent substrate is heated in a process chamber and a process gas stream is introduced into the process chamber. The apparatus generates a high frequency power output and a low frequency power output from a high frequency power supply and a low frequency power supply, respectively. The high frequency power output is generated at a frequency of about thirteen megahertz or more, and at a power from about one to five kilowatts, while the low frequency power output is generated at a frequency of about two megahertz or less, and at a power from about 300 to two kilowatts. The high frequency power output and the low frequency power output are superimposed and used to excite a plasma from the process gas stream at a pressure between about 0.4 Torr and 3 Torr, and at a temperature between about 250 DEG C and 450 DEG C to deposit a smooth thin film onto the transparent substrate.

Description

The dual frequency excitation of plasma that is used for deposit film
The present invention relates to the system and method for deposit film, more specifically, relate to the improvement system and method for fast deposition high-quality thin film on the large-area transparent substrate.
In recent years, developed the liquid crystal cell of the high quality displayer that is used in light weight, little power consumption.One deck liquid crystal material that liquid crystal cell generally comprises two glass substrate and is clipped in the middle.Conductive film composition on two-layer substrate forms as thin film transistor circuit elements such as (TFT).Substrate can be connected with power supply to change the orientation of liquid crystal material, is each zone energising of liquid-crystal display selectively thereby can utilize thin film transistor.
Compare with silicon substrate, need on glass substrate, generate the layer of semiconductor channel material at deposition circuit element on the glass substrate.On glass substrate, deposit the conductive path that leads to grid then.Especially, for the back lane device, TFT need deposit one deck gate dielectric on the gate metal layer of composition.Continuously, can be at gate dielectric top deposited amorphous body silicon layer (a-Si).On this amorphous silicon layer, metal contact layer can be precipitated, the engagement capacity of the metal that the adulterated non-crystalline silicon of skim is used to improve and cover can be deposited on the amorphous silicon layer.Also can be on amorphous silicon layer deposited silicon nitride (SiN) or silicon oxide (SiO) layer as etch stopper.
With the reaction chamber of thin film deposition on the large-area glass substrate, adopt the plasma-enhanced chemical vapor deposition method usually, this reaction chamber adopts single high frequency electric source to cause decomposing gas in the treatment chamber.Although the high-energy that high frequency electric source produces can fully heat film top layer, the ion energy that produces is not enough to generate highly smooth film.In addition, because glass substrate is usually than big many of silicon substrate, the size of electrode can be near the wavelength under this supply frequency.This can make the strength of discharge skewness of glass substrate surface.This uneven distribution will cause the deposition of substrate surface upper film inhomogeneous.
Owing to above reason, the film surface that is deposited on the glass substrate is obviously coarse.And coarse film will reduce film quality.And the coarse of deposit film can influence electronic mobility, thereby finally causes the reduction of display performance.
The invention provides a kind of in reaction chamber on transparent substrate the equipment of depositing high-quality film.Described transparent substrate can be made of glass, quartz or polymkeric substance such as plastics etc.In treatment chamber with transparent substrate heating and in treatment chamber, feed flow of process air.Described equipment produces high frequency electric source output and low-frequency power output respectively from the high and low frequency power supply.High frequency electric source output and low-frequency power output are superimposed, and excite plasma to be approximately under 0.4 torr is approximately 250 ℃ to 450 ℃ to 3 torrs, temperature the condition at pressure from flow of process air and deposit slick film in transparent substrate.
According to this bright aspect, the high frequency electric source among the present invention all comprises impedance matching circuit and the wave filter that is connected with this impedance matching circuit with low-frequency power.
On the other hand bright according to this, the high frequency output among the present invention produces at about 13 megahertzes or higher frequency, and power is greatly between 1 to 5 kilowatt.And low frequency output produces being about under 2 megahertzes or the lower frequency, and power is about 300 watts to 2 kilowatts.
On the other hand bright according to this, handling gas can be the mixed gas of silane and oxygen, silane and nitrogen oxide, TEOS and oxygen or TEOS and nitrogen oxide.The binding substances that also can use silane, nitrogen and ammonia is as handling gas.
According to this bright another aspect, between substrate is placed in one on the susceptor of regional ground connection.Also can be with four corner-of-delta groundings of susceptor.
Bright more on the one hand according to this, substrate is at susceptor and gas can be fed between the shower nozzle of treatment chamber.Susceptor selectively with low frequency and high frequency electric source in one be connected, and shower nozzle and low frequency are connected with in the high frequency electric source another.
Advantage of the present invention is as follows.The film that generates with dual frequency excitation of plasma is very smooth.Thereby slick film provides better interface to improve the mobility of electronics for deposition subsequently.The raising of electronic mobility has also improved the electric property of indicating meter.The final film that generates is more stable.Other film characteristics such as density and stress also are improved, thereby sedimentation rate is improved.
Other characteristics of the present invention and advantage from following description (comprising accompanying drawing and claim) as can be seen.
Fig. 1 is the cross-sectional view of reaction chamber among the present invention.
Fig. 2 is for adopting the process flow sheet of dual frequency power supplies deposit film on the large-area transparent substrate.
Fig. 3 A, 3B and 3C are the layout structure sketch of the various mode of connection of dual frequency power supplies circuit in the reaction chamber.
Fig. 4 A, 4B and 4C are that the three-dimensional perspective performance of dual frequency power supplies reaction chamber and various single-frequency power supply reaction chambers institute's deposit film on the large-area transparent substrate compares.
Usually, in the operation of the present invention, transparent substrate is placed in the vacuum deposition process chamber, be heated to hundreds of degree centigrade (℃).In treatment chamber, inject deposition gases, and excite generation plasma reinforced chemical vapour deposition (PECVD) thereby reaction deposit thin film layers on transparent substrate by the dual frequency power supplies system.Sedimentary thin film layer can be dielectric layer (as SiN or SiO) or semiconductor layer (as a-Si).
The present invention can adopt the PECVD system by Applied KomatsuTechnology (AKT) the company manufacturing of California Santa Clara, also can adopt other commercially available depositing systems.Transparent substrate can be made with glass, quartz or polymkeric substance such as plastics etc.Substrate dimension commonly used is about 550 * 650 millimeters (mm).
As shown in fig. 1, PECVD device 130 comprises the susceptor 135 with bar 137.Susceptor 135 is positioned at the central authorities of vacuum deposition process chamber 133.Susceptor 135 with transparent substrate 38 as glass sheet support in substrate processing or conversion zone 141, have a hoisting appliance (not drawing among the figure) that susceptor 135 is raise or reduce.The instruction action that hoisting appliance sends according to controller (not drawing).Substrate 38 is sent to by the opening 142 on the sidewall 134 of chamber 133 with automatic saw blade (robot blade) (not drawing) and to be sent chamber 133.Substrate 38 is heated to about 250 to 400 ℃ by well heater 70, and well heater can be the resistance heater that is embedded in the susceptor 135.Also can adopt valve heater (lamp heater) or other suitable well heaters well known in the art.
Deposition process gases flows into chamber 133 by source of the gas collecting tubule 161 and gas inlet manifold 126.Source of the gas collecting tubule 61 is from supplying silane (SiH 4), tetraethyl orthosilicate (TEOS), oxygen (O 2), nitrogen oxide (N 2O), nitrogen (N 2) and ammonia (NH 3) source of the gas 56-59 receiver gases.Gas mainfold 61 can produce silane and oxygen, silane and nitrogen oxide (N 2O), the gas mixture of TEOS and oxygen or TEOS and nitrogen oxide is as handling gas.In addition, handle the binding substances that gas also can adopt silane, nitrogen and ammonia.Handle gas stream and cross the blocking template 124 of boring and the many holes 121 in processing gas distribution face plate or the shower nozzle 122.Can adopt various forms of shower nozzles, comprise the shower nozzle described in U.S. Patent No. 4,854.263,5,611.865 cited herein and 5,366.585.Distance between electrode space or substrate surface and panel 122 flux surfaces is about 400 to 1500 mils.Flow of process air is with shown in the small arrow in the substrate processing zone 141 among Fig. 1.In treating processes, chamber 133 keep-ups pressure at about 0.4 torr usually between 3 torrs, and temperature is between about 250 to 450 ℃.
In the chamber as shown in Figure 1, adopted plasma to strengthen depositing operation.Correspondingly, need suitable plasma triggering circuit, adopt dual band radio frequency (RF) power-supply system relatively good.Double frequency RF power-supply system comprises low frequency (LF) RF power supply 50 and high frequency (HF) RF power supply 60 is powered between gas distribution panel 122 and inductor block 135 to excite combination treatment gas to generate plasma.Low frequency RF power supply 50 range of frequency are approximately equal to or less than 2MHz greatly, are preferably in about 200kHz between the 500kHz.High-frequency RF power supply 60 power ranges approximately are equal to or greater than 13MHz, are preferably about 13.56MHz or its harmonic frequency.The RF power supply can be a fixed frequency, thereby or adopts the adjustable frequency can be tuning to installing 130.
The output of high-frequency RF power supply enters impedance matching network 62, and impedance matching network 62 is connected with the wave filter 64 of filter out noise.When only using high frequency electric source 60, the ion energy of generation is not enough to form very slick film.Increase low frequency RF power supply 50 and relevant impedance matching network 52, wave filter 54, can increase ion energy.The increase of ion energy can improve the deposit film configuration of surface.
Supply power is that about 1 to 5 kilowatt high-frequency RF power supply and power are that about 300 watts to 2 kilowatts low frequency RF power supply is to produce plasma on panel 122.Low frequency and high frequency electric source 50 and 60 make the reaction of plasma composition, deposit film on transparent substrate 38 together.
It may be noted that the RF power difference that different big or small substrates need.Therefore, above-mentioned particular power source power is applicable to and is of a size of about 550 * 650 millimeters substrate.It is more powerful that bigger substrate needs.For example, in same supply frequency, more large-area substrate need increase by two power supplys.Deposition process gases can enter exhaust chest 150 by the grooved hole 131 around substrate processing zone 141 from treatment chamber.Air-flow in the exhaust chest 150 enters the exhaust outlet 152 that is connected with the external vacuum pump (not shown) through vacuum shut-off valve 154.
The gaseous tension that pressure warning unit 63 is measured in the treatment chamber 133.Certainly, pressure warning unit can replace with the pressure transmitter of many other types.For example, can use the measuring ion meter.Can in evacuation circuit, place setter 136 and regulate total pressure in the treatment chamber 133.The signal of pressure warning unit 63 can be used as the input of electric controller of setter 136 to keep total chamber pressure constant.
Figure 2 shows that the process flow sheet that adopts dual frequency power supplies 50 and 60 deposit film on transparent substrate 38.At first, transparent substrate 38 is placed on the susceptor 135 (step 200); Then, with substrate heater 70 transparent substrate 38 is heated (step 202); Subsequently, the processing gas of processing source of the gas generation reaches balance (step 204) in reaction chamber; Connect high frequency electric source 60 and low-frequency power 50,, make deposit film (step 206) on the transparent substrate 38 at reaction chamber internal excitation plasma.Preferably connect high frequency electric source 60 earlier.But, also can connect the high and low frequency power supply simultaneously, perhaps also can at first connect low-frequency power as required.After thin film deposition is on transparent substrate, close the high and low frequency power supply, preferably close simultaneously (step 208).
Fig. 3 A, 3B and 3C have schematically illustrated the various electric connecting modes of dual frequency power supplies and shower nozzle 122 and susceptor 135.The output of Fig. 3 A medium and low frequency and high frequency electric source is superimposed and represents with voltage source 210.Voltage source 210 is connected to a bit on the shower nozzle 122.To be electrically connected and support bar 137 ground connection of susceptor 135 with susceptor 135, with the formation return path that made on the susceptor 135 the effusive electricity of accumulative electronics.
For big substrate, preferably adopt the mode of connection of Fig. 3 B.In this mode of connection, the voltage source 220 of low frequency and high frequency electric source stack output is carried in the center of shower nozzle 122.At the angle 230 of susceptor 135 and 232 places and bar 137 places a plurality of electronics return paths are set, and, locate also to be provided with the electronics return path at all the other two angles (not drawing) of tetragon susceptor.Therefore, four angles of all of susceptor 135 ground connection all.A plurality of electronics return paths make electronics thoroughly flow out from susceptor 135.
Although adopt the superimposed voltage source to be connected on the shower nozzle 122 among Fig. 3 A and the 3B, the present invention also considered can be on shower nozzle 122 and susceptor 135 situations that are connected different electrical power respectively.Among Fig. 3 C, the power supply 240 with first frequency is connected on the impedance matching circuit 242.Matching circuit 242 is connected to again on the wave filter 244 that is connected with shower nozzle 122.Correspondingly, the power supply 250 with second frequency is connected on the impedance matching circuit 252, and matching circuit 252 is connected to again on the wave filter 254 that is connected with susceptor 135.If first frequency is a high frequency, second frequency is a low frequency so; If first frequency is a low frequency, second frequency is a high frequency so.
Therefore, the high and low frequency power supply can superpose and be connected on the shower nozzle 122.In addition, also one of them of high and low frequency power supply can be connected to shower nozzle 122, and remaining one is connected on the susceptor 135.
Sedimentary film is better, as shown in table 1 with the sedimentary film quality of the inductor block of single high frequency electric source than routine according to the present invention.Preceding two tabulations are shown and are adopted power to be respectively single high frequency (HF) power supply of 4000W and 4800W in the table 1, and temperature is approximately 320 ℃, the characteristic of the silicon nitride film that pressure obtains when being about 20 torrs.Last is classified as and adopts medium and low frequency of the present invention and high frequency electric source system and take mode of connection shown in Fig. 3 B, the high and low frequency supply frequency is respectively about 400kHz and about 13.6MHz, the power supply total power is 4700W, temperature is about 320 ℃, and pressure is approximately the result who obtains under the condition of 2.0 torrs.
Table 1
The 4000W high frequency The 4800W high frequency 4000W high frequency and 700W low frequency
Sedimentation velocity 3700 dusts/minute 4000 dusts/minute 4000 dusts/minute
Use -0.8E9 dynes per centimeter 2 -4.5E9 dynes per centimeter 2 -6.5E9 dynes per centimeter 2
???WER 512 dusts/minute 344 dusts/minute 234 dusts/minute
Roughness (rms) 1.0 nanometer 1.74 nanometer 0.73 nanometer
As shown in Table, sedimentation rate and the employing power that adopts the reactor of dual frequency power supplies system is that the sedimentation rate of single high frequency electric source of 4800W is identical, all be 4000 dusts/minute.In these specific example, the stress of the film that the single-frequency power-supply system that the stress ratio that the film that adopts the dual frequency power supplies system to produce records adopts power to be respectively 4000W and 4800W is produced is big.Such stress value and the low wet etching speed (WER) and the high smooth degree that adopt dual frequency power supplies system deposit film to be had show that film is stable, high-quality.
Importantly, the roughness that records with rootmean-square (rms) mean value of the film that adopts dual frequency power supplies system deposition to obtain is better than adopting the roughness of the resulting film of single-frequency power-supply system.Roughness is big more, and electronics is big more by the resistance of sedimentary grid.Therefore, the smooth film that adopts dual frequency power supplies system deposition to obtain has higher electronic mobility, thereby has better display performance.
Adopt various reactors to deposit the surfaceness schematic three dimensional views of the film that obtains shown in Fig. 4 A-4C.Fig. 4 A and 4B are respectively shown in the table 1 and adopt power is the schematic three dimensional views of reactor institute deposit film of the single high frequency electric source system of 4000W and 4800W.Fig. 4 A surface ratio is more coarse, and its r.m.s. roughness is 1.00nm.More unfairness of Fig. 4 B, its r.m.s. roughness are 1.74nm.
On the contrary, shown in Fig. 4 C, the employing total power is that the film surface r.m.s. roughness that the dual frequency power supplies system deposition of 4700W obtains is 0.73nm.Therefore, although the power of total power and 4800W power supply is approaching, the film that the dual frequency power supplies reactor produces is more smooth more than 50% than the film that adopts 4800W single-frequency power supply to obtain.
The smooth film that adopts double frequency to excite plasma to obtain makes settled layer combination subsequently better, thereby has improved electronic mobility.The raising of electronic mobility has improved the electric property of indicating meter.Resulting film is also more stable.
Although describe the present invention according to specific embodiment and order above,, only otherwise depart from essence of the present invention and just can carry out various changes.The present invention can be used for various types of CVD system and adopt the system of different deposition methods with other.Mixed gas, temperature and pressure can change.For power supply, can not change supply frequency and impedance matching circuit is adjusted.In addition, although above-mentioned electrode space also can adopt other suitable interval between 400 to 1500 mils.And, can adopt various heating sequence and power cycle according to film and sedimentary sequence needs.
Above-mentioned various change is very clearly for those skilled in the art, and protection scope of the present invention is limited by claim subsequently.

Claims (22)

1. the method for a deposit film comprises the following steps:
In treatment chamber, transparent substrate is heated; Flow of process air is fed treatment chamber; The output of generation high frequency electric source; The output of generation low-frequency power; With described high frequency electric source output and low-frequency power output stack; And from handle gas, excite plasma with the output of synergetic high and low frequency power supply, with under the temperature between the pressure between about 0.4 to 3 torr and about 250 to 450 ℃ with thin film deposition on transparent substrate.
2. the method described in claim 1, wherein, the transparent substrate in the treatment chamber is a glass substrate.
3. the method described in claim 1, wherein, the transparent substrate in the treatment chamber is a quartz substrate.
4. the method described in claim 1, wherein, the air-flow that feeds in the treatment chamber comprises silane and oxygen.
5. the method described in claim 1, wherein, the air-flow that feeds in the treatment chamber comprises ethyl orthosilicate (TEOS) and oxygen.
6. the method described in claim 1, wherein, the air-flow that feeds in the treatment chamber comprises silane and nitrous oxide.
7. the method described in claim 1, wherein, the air-flow that feeds in the treatment chamber comprises TEOS and nitrous oxide.
8. the method described in claim 1, wherein, the air-flow that feeds in the treatment chamber comprises silane, nitrogen and ammonia.
9. the method described in claim 1 also comprises the shower nozzle that its middle zone links to each other with synergetic power supply output.
10. the method described in claim 1, wherein, substrate places on the susceptor with a plurality of angles and a middle portion, and also comprises the step of susceptor each angle and pars intermedia office ground connection.
11. the method described in claim 1 wherein, produced high frequency electric source output before low-frequency power output.
12. the method described in claim 1, wherein, substrate places on the susceptor, and places below the shower nozzle, and the step of stack power supply output also comprises:
One in the high and low frequency power supply output is applied on the susceptor, and will be wherein another be applied on the shower nozzle.
13. the method described in claim 1, wherein, high frequency electric source output approximate or frequency greater than 13 megahertzes under produce, power is about 1 to 5 kilowatt.
14. the method described in claim 1, wherein, low-frequency power output produces under the frequency that is approximately equal to or less than 2 megahertzes, and power is about 300 watts to 2 kilowatts.
15. the method described in claim 1, wherein, high frequency electric source output approximate or frequency greater than 13 megahertzes under produce, power is about 1 to 5 kilowatt; Low-frequency power output produces under the frequency that is approximately equal to or less than 2 megahertzes, and power is about 300 watts to 2 kilowatts.
16. the equipment of a deposit film comprises:
Can place the vacuum chamber of pending transparent substrate, the pressure of this vacuum chamber can be controlled between about 0.4 to 3 torr; Be connected gas is fed the processing source of the gas of vacuum chamber with vacuum chamber; Transparent substrate in the treatment chamber can be heated to about 250 to the 450 ℃ well heater of temperature; High frequency electric source; And low-frequency power, the output stack of this high and low frequency power supply, the processing gas activated plasma with from treatment chamber deposits film former on transparent substrate.
17. the equipment described in claim 16, wherein, high frequency electric source and low-frequency power all comprise: impedance matching circuit; And the wave filter that is connected with this impedance matching circuit.
18. the equipment described in claim 16, wherein, substrate places on the susceptor, susceptor ground connection.
19. the equipment described in claim 18, wherein, four angles of susceptor and middle portion be ground connection all.
20. the equipment described in claim 16 also comprises: one in the susceptor of support substrates, this susceptor and low frequency and high frequency electric source is connected; And will handle the shower nozzle that gas feeds treatment chamber, this shower nozzle is connected with in the high and low frequency power supply another.
21. the equipment described in claim 16 further comprises: will handle the shower nozzle that gas feeds treatment chamber, this shower nozzle has a middle portion, and is being connected with the output of high and low frequency power near this pars intermedia office.
22. the equipment described in claim 16 further comprises: will handle the shower nozzle that gas feeds treatment chamber, this shower nozzle has a middle portion, and is being connected with the output of high and low frequency power supply near this pars intermedia office; And the susceptor that is used for supporting transparent substrate, this susceptor has four angles and a middle portion, and four angles of this susceptor and middle portion be ground connection all.
CN98809989A 1997-10-09 1998-10-06 Dual frequency excitation of plasma for film deposition Expired - Lifetime CN1113978C (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/948,279 US6024044A (en) 1997-10-09 1997-10-09 Dual frequency excitation of plasma for film deposition
US08/948,279 1997-10-09

Publications (2)

Publication Number Publication Date
CN1274395A true CN1274395A (en) 2000-11-22
CN1113978C CN1113978C (en) 2003-07-09

Family

ID=25487591

Family Applications (1)

Application Number Title Priority Date Filing Date
CN98809989A Expired - Lifetime CN1113978C (en) 1997-10-09 1998-10-06 Dual frequency excitation of plasma for film deposition

Country Status (7)

Country Link
US (1) US6024044A (en)
EP (1) EP1019563A1 (en)
JP (1) JP4371576B2 (en)
KR (2) KR100557666B1 (en)
CN (1) CN1113978C (en)
TW (1) TW438901B (en)
WO (1) WO1999019537A1 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100452945C (en) * 2007-06-20 2009-01-14 中微半导体设备(上海)有限公司 Decoupling reactive ion etching chamber containing multiple processing platforms
CN102422393A (en) * 2009-03-16 2012-04-18 奥塔装置公司 Showerhead for vapor deposition
CN103201845A (en) * 2010-09-22 2013-07-10 道康宁公司 Electronic article and method of forming

Families Citing this family (118)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6030288A (en) * 1997-09-02 2000-02-29 Quixotic Solutions Inc. Apparatus and process for verifying honest gaming transactions over a communications network
JP3066007B2 (en) * 1998-06-24 2000-07-17 株式会社日立製作所 Plasma processing apparatus and plasma processing method
US6642149B2 (en) * 1998-09-16 2003-11-04 Tokyo Electron Limited Plasma processing method
JP3818561B2 (en) * 1998-10-29 2006-09-06 エルジー フィリップス エルシーディー カンパニー リミテッド Method for forming silicon oxide film and method for manufacturing thin film transistor
US6772827B2 (en) * 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
US6857387B1 (en) 2000-05-03 2005-02-22 Applied Materials, Inc. Multiple frequency plasma chamber with grounding capacitor at cathode
US7087179B2 (en) * 2000-12-11 2006-08-08 Applied Materials, Inc. Optical integrated circuits (ICs)
US6770166B1 (en) * 2001-06-29 2004-08-03 Lam Research Corp. Apparatus and method for radio frequency de-coupling and bias voltage control in a plasma reactor
KR100415944B1 (en) * 2001-10-09 2004-01-24 주성엔지니어링(주) inductive coupled plasma generation source
JP4129855B2 (en) * 2001-12-13 2008-08-06 東京エレクトロン株式会社 Plasma processing equipment
US6901808B1 (en) * 2002-02-12 2005-06-07 Lam Research Corporation Capacitive manometer having reduced process drift
US7252011B2 (en) * 2002-03-11 2007-08-07 Mks Instruments, Inc. Surface area deposition trap
KR100915231B1 (en) * 2002-05-17 2009-09-02 삼성전자주식회사 Deposition method of insulating layers having low dielectric constant of semiconductor device, a thin film transistor substrate using the same and a method of manufacturing the same
US20040025791A1 (en) * 2002-08-09 2004-02-12 Applied Materials, Inc. Etch chamber with dual frequency biasing sources and a single frequency plasma generating source
JP3917508B2 (en) * 2002-12-05 2007-05-23 東京エレクトロン株式会社 Plasma deposition system
US7022611B1 (en) * 2003-04-28 2006-04-04 Lam Research Corporation Plasma in-situ treatment of chemically amplified resist
US8372205B2 (en) * 2003-05-09 2013-02-12 Applied Materials, Inc. Reducing electrostatic charge by roughening the susceptor
US20040221959A1 (en) * 2003-05-09 2004-11-11 Applied Materials, Inc. Anodized substrate support
US7083702B2 (en) * 2003-06-12 2006-08-01 Applied Materials, Inc. RF current return path for a large area substrate plasma reactor
US7107125B2 (en) * 2003-10-29 2006-09-12 Applied Materials, Inc. Method and apparatus for monitoring the position of a semiconductor processing robot
JP4698251B2 (en) * 2004-02-24 2011-06-08 アプライド マテリアルズ インコーポレイテッド Movable or flexible shower head mounting
US7079740B2 (en) * 2004-03-12 2006-07-18 Applied Materials, Inc. Use of amorphous carbon film as a hardmask in the fabrication of optical waveguides
US7358192B2 (en) * 2004-04-08 2008-04-15 Applied Materials, Inc. Method and apparatus for in-situ film stack processing
JP4550507B2 (en) * 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ Plasma processing equipment
US7534301B2 (en) * 2004-09-21 2009-05-19 Applied Materials, Inc. RF grounding of cathode in process chamber
US7641762B2 (en) * 2005-09-02 2010-01-05 Applied Materials, Inc. Gas sealing skirt for suspended showerhead in process chamber
US7695633B2 (en) * 2005-10-18 2010-04-13 Applied Materials, Inc. Independent control of ion density, ion energy distribution and ion dissociation in a plasma reactor
US8173228B2 (en) * 2006-01-27 2012-05-08 Applied Materials, Inc. Particle reduction on surfaces of chemical vapor deposition processing apparatus
US20070202636A1 (en) * 2006-02-22 2007-08-30 Applied Materials, Inc. Method of controlling the film thickness uniformity of PECVD-deposited silicon-comprising thin films
US20070245960A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion density
US20070246161A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with a toroidal plasma source and a VHF capacitively coupled plasma source with variable frequency
US20070246163A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and inductive plasma sources
US20070245961A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source for controlling plasma ion dissociation
US20070246443A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma process for controlling plasma ion dissociation
US20070245958A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source for controlling ion radial distribution
US7780864B2 (en) * 2006-04-24 2010-08-24 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
US7727413B2 (en) * 2006-04-24 2010-06-01 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source to control plasma ion density
US7645357B2 (en) * 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
US20070277734A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7825038B2 (en) * 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7902080B2 (en) * 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US7498273B2 (en) * 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7790634B2 (en) * 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
KR101197020B1 (en) * 2006-06-09 2012-11-06 주성엔지니어링(주) Substrate processing apparatus for uniform plasma discharge and method of adjusting strength of plasma discharge
US8232176B2 (en) * 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US7776178B2 (en) * 2006-10-25 2010-08-17 Applied Materials, Inc. Suspension for showerhead in process chamber
US8004293B2 (en) * 2006-11-20 2011-08-23 Applied Materials, Inc. Plasma processing chamber with ground member integrity indicator and method for using the same
US20080131622A1 (en) * 2006-12-01 2008-06-05 White John M Plasma reactor substrate mounting surface texturing
US8381677B2 (en) * 2006-12-20 2013-02-26 Applied Materials, Inc. Prevention of film deposition on PECVD process chamber wall
US7959735B2 (en) * 2007-02-08 2011-06-14 Applied Materials, Inc. Susceptor with insulative inserts
US7972470B2 (en) * 2007-05-03 2011-07-05 Applied Materials, Inc. Asymmetric grounding of rectangular susceptor
US7745352B2 (en) * 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
US7803722B2 (en) * 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7541297B2 (en) * 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7943531B2 (en) * 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US20090120368A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Rotating temperature controlled substrate pedestal for film uniformity
US7964040B2 (en) * 2007-11-08 2011-06-21 Applied Materials, Inc. Multi-port pumping system for substrate processing chambers
US20090120584A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Counter-balanced substrate support
US20090208668A1 (en) * 2008-02-19 2009-08-20 Soo Young Choi Formation of clean interfacial thin film solar cells
US8153348B2 (en) * 2008-02-20 2012-04-10 Applied Materials, Inc. Process sequence for formation of patterned hard mask film (RFP) without need for photoresist or dry etch
WO2009126846A1 (en) * 2008-04-11 2009-10-15 Sandisk 3D, Llc Damascene integration methods for graphitic films in three-dimensional memories and memories formed therefrom
FR2930561B1 (en) * 2008-04-28 2011-01-14 Altatech Semiconductor DEVICE AND METHOD FOR CHEMICAL TREATMENT IN STEAM PHASE.
US20090277587A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US8357435B2 (en) * 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US20100012914A1 (en) * 2008-07-18 2010-01-21 Sandisk 3D Llc Carbon-based resistivity-switching materials and methods of forming the same
US20100081293A1 (en) * 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
KR101641130B1 (en) * 2008-10-09 2016-07-20 어플라이드 머티어리얼스, 인코포레이티드 Rf return path for large plasma processing chamber
US20100136261A1 (en) * 2008-12-03 2010-06-03 Applied Materials, Inc. Modulation of rf returning straps for uniformity control
US9382621B2 (en) * 2009-02-04 2016-07-05 Applied Materials, Inc. Ground return for plasma processes
US8980382B2 (en) * 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) * 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US7935643B2 (en) * 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US7989365B2 (en) * 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US20110136347A1 (en) * 2009-10-21 2011-06-09 Applied Materials, Inc. Point-of-use silylamine generation
US8449942B2 (en) * 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
US20110318502A1 (en) * 2009-12-24 2011-12-29 Spp Process Technology Systems Uk Limited Methods of depositing sio2 films
JP2013516763A (en) 2009-12-30 2013-05-13 アプライド マテリアルズ インコーポレイテッド Dielectric film growth using radicals generated using a flexible nitrogen / hydrogen ratio
US8329262B2 (en) * 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
KR101528832B1 (en) 2010-01-06 2015-06-15 어플라이드 머티어리얼스, 인코포레이티드 Manufacturing method of flowable dielectric layer
WO2011084752A2 (en) 2010-01-07 2011-07-14 Applied Materials, Inc. In-situ ozone cure for radical-component cvd
US8563445B2 (en) * 2010-03-05 2013-10-22 Applied Materials, Inc. Conformal layers by radical-component CVD
US8236708B2 (en) 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
CN102834930A (en) 2010-03-30 2012-12-19 应用材料公司 Method of forming a negatively charged passivation layer over a diffused p-type region
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
KR101141070B1 (en) * 2010-04-06 2012-05-04 신웅철 Batch type ald
KR101158289B1 (en) * 2010-04-08 2012-06-19 세메스 주식회사 Plasma processing apparatus and method
US8476142B2 (en) 2010-04-12 2013-07-02 Applied Materials, Inc. Preferential dielectric gapfill
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
EP2426737A1 (en) 2010-09-03 2012-03-07 Applied Materials, Inc. Thin-film solar fabrication process, deposition method for solar cell precursor layer stack, and solar cell precursor layer stack
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
EP2439792A1 (en) 2010-10-05 2012-04-11 Applied Materials, Inc. Thin-film solar cell fabrication process, deposition method for solar cell precursor layer stack, and solar cell precursor layer stack
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
WO2012113441A1 (en) 2011-02-21 2012-08-30 Applied Materials, Inc. Thin-film solar fabrication process, deposition method for a layer stack of a solar cell, and solar cell precursor
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
EP2523227A1 (en) 2011-05-13 2012-11-14 Applied Materials, Inc. Thin-film solar fabrication process, deposition method for TCO layer, and solar cell precursor layer stack
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US9030101B2 (en) * 2012-02-22 2015-05-12 Lam Research Corporation Frequency enhanced impedance dependent power control for multi-frequency RF pulsing
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
JP6054249B2 (en) * 2013-05-27 2016-12-27 住友重機械工業株式会社 Deposition equipment
JP2015029004A (en) * 2013-07-30 2015-02-12 株式会社アルバック Plasma cvd system and film formation method
JP6277398B2 (en) * 2013-08-27 2018-02-14 株式会社ユーテック Plasma CVD apparatus and film forming method in piping
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US20170127506A1 (en) * 2016-01-23 2017-05-04 Hamid Reza Ghomi Marzdashty Generation of dielectric barrier discharge plasma using a modulated voltage
US10435789B2 (en) * 2016-12-06 2019-10-08 Asm Ip Holding B.V. Substrate treatment apparatus
US20200098562A1 (en) * 2018-09-26 2020-03-26 Lam Research Corporation Dual frequency silane-based silicon dioxide deposition to minimize film instability
US20210159048A1 (en) * 2019-11-25 2021-05-27 Applied Materials, Inc. Dual rf for controllable film deposition

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5930130B2 (en) * 1979-09-20 1984-07-25 富士通株式会社 Vapor phase growth method
US4854263B1 (en) * 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
JP2851765B2 (en) * 1992-03-31 1999-01-27 松下電器産業株式会社 Plasma generation method and apparatus
US5356722A (en) * 1992-06-10 1994-10-18 Applied Materials, Inc. Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
US5286297A (en) * 1992-06-24 1994-02-15 Texas Instruments Incorporated Multi-electrode plasma processing apparatus
US5271972A (en) * 1992-08-17 1993-12-21 Applied Materials, Inc. Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
EP0605980A3 (en) * 1993-01-07 1995-08-02 Ramtron Int Corp Method for depositing silicon nitride and silicon oxynitride films.
US5352294A (en) * 1993-01-28 1994-10-04 White John M Alignment of a shadow frame and large flat substrates on a support
JP2662365B2 (en) * 1993-01-28 1997-10-08 アプライド マテリアルズ インコーポレイテッド Single-substrate vacuum processing apparatus with improved discharge system
JP2875945B2 (en) * 1993-01-28 1999-03-31 アプライド マテリアルズ インコーポレイテッド Method of depositing silicon nitride thin film on large area glass substrate at high deposition rate by CVD
US5366585A (en) * 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
US5571571A (en) * 1993-06-16 1996-11-05 Applied Materials, Inc. Method of forming a thin film for a semiconductor device
TW262566B (en) * 1993-07-02 1995-11-11 Tokyo Electron Co Ltd
JP3174438B2 (en) * 1993-08-03 2001-06-11 松下電器産業株式会社 Plasma CVD method
JP2641385B2 (en) * 1993-09-24 1997-08-13 アプライド マテリアルズ インコーポレイテッド Film formation method
US5565036A (en) * 1994-01-19 1996-10-15 Tel America, Inc. Apparatus and method for igniting plasma in a process module
US5441768A (en) * 1994-02-08 1995-08-15 Applied Materials, Inc. Multi-step chemical vapor deposition method for thin film transistors
JPH07254592A (en) * 1994-03-16 1995-10-03 Fujitsu Ltd Manufacture of semiconductor device
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
JPH08162291A (en) * 1994-12-08 1996-06-21 Mitsubishi Electric Corp Plasma apparatus
JPH08306670A (en) * 1995-05-09 1996-11-22 Sony Corp Plasma ashing device
JPH1079350A (en) * 1996-09-04 1998-03-24 Kokusai Electric Co Ltd Plasma processor

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100452945C (en) * 2007-06-20 2009-01-14 中微半导体设备(上海)有限公司 Decoupling reactive ion etching chamber containing multiple processing platforms
CN102422393A (en) * 2009-03-16 2012-04-18 奥塔装置公司 Showerhead for vapor deposition
CN103201845A (en) * 2010-09-22 2013-07-10 道康宁公司 Electronic article and method of forming

Also Published As

Publication number Publication date
JP4371576B2 (en) 2009-11-25
CN1113978C (en) 2003-07-09
EP1019563A1 (en) 2000-07-19
US6024044A (en) 2000-02-15
TW438901B (en) 2001-06-07
JP2001520457A (en) 2001-10-30
WO1999019537A1 (en) 1999-04-22
WO1999019537A9 (en) 1999-07-29
KR100557666B1 (en) 2006-03-10
KR100783200B1 (en) 2007-12-06
KR20060007448A (en) 2006-01-24
KR20010030991A (en) 2001-04-16

Similar Documents

Publication Publication Date Title
CN1113978C (en) Dual frequency excitation of plasma for film deposition
EP3020850B1 (en) Apparatus for plasma processing
CN100524641C (en) Plasma processing device
US6359250B1 (en) RF matching network with distributed outputs
US20010003014A1 (en) Plasma CVD apparatus and plasma CVD method
EP0697467A1 (en) Method and apparatus for cleaning a deposition chamber
US20080139003A1 (en) Barrier coating deposition for thin film devices using plasma enhanced chemical vapor deposition process
CN101061256A (en) High-power dielectric seasoning for stable wafer-to-wafer thickness uniformity of dielectric CVD films
JP5659225B2 (en) Plasma deposition source and method for depositing thin films
KR20130095119A (en) Atomospheric pressure plasma generating apparatus
CN1313640C (en) Process for plasma strengthening type chemical vapour phase deposition treatment
TWI797134B (en) Plasma processing method and plasma processing apparatus
KR20150004651U (en) Plasma process chamber with separated gas feed lines
JP3068604B2 (en) Plasma CVD equipment
US20200098549A1 (en) Heat conductive spacer for plasma processing chamber
JPH0590939U (en) Plasma CVD equipment
US20230272530A1 (en) Large-area high-density plasma processing chamber for flat panel displays
JPH0891987A (en) Apparatus for plasma chemical vapor deposition
CN101442873A (en) Equipment and method for processing plasma
JP2018076604A (en) Plasma CVD apparatus and plasma CVD method
JPH0620978A (en) Glow discharge method and device thereof
JPS61216435A (en) Plasma cvd device
JP2018076547A (en) Method for manufacturing thin film, method for manufacturing solar cell, and plasma cvd apparatus
JP2000306910A (en) Manufacture of interlayer insulating film
JPH06283436A (en) Method and apparatus for plasma cvd

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CX01 Expiry of patent term
CX01 Expiry of patent term

Granted publication date: 20030709