CN1260763A - 高pH值下制造纳米孔介电膜的方法 - Google Patents

高pH值下制造纳米孔介电膜的方法 Download PDF

Info

Publication number
CN1260763A
CN1260763A CN98806267A CN98806267A CN1260763A CN 1260763 A CN1260763 A CN 1260763A CN 98806267 A CN98806267 A CN 98806267A CN 98806267 A CN98806267 A CN 98806267A CN 1260763 A CN1260763 A CN 1260763A
Authority
CN
China
Prior art keywords
volatility
make
mixture
alkali
organoalkoxysilane
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN98806267A
Other languages
English (en)
Inventor
S·瓦拉斯
D·M·史密斯
T·拉莫斯
K·H·罗德里克
J·S·德拉格
L·B·布伦加德特
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Honeywell International Inc
Original Assignee
AlliedSignal Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by AlliedSignal Inc filed Critical AlliedSignal Inc
Publication of CN1260763A publication Critical patent/CN1260763A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B33/00Silicon; Compounds thereof
    • C01B33/113Silicon oxides; Hydrates thereof
    • C01B33/12Silica; Hydrates thereof, e.g. lepidoic silicic acid
    • C01B33/14Colloidal silica, e.g. dispersions, gels, sols
    • C01B33/157After-treatment of gels
    • C01B33/158Purification; Drying; Dehydrating
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J13/00Colloid chemistry, e.g. the production of colloidal materials or their solutions, not otherwise provided for; Making microcapsules or microballoons
    • B01J13/0091Preparation of aerogels, e.g. xerogels
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B33/00Silicon; Compounds thereof
    • C01B33/113Silicon oxides; Hydrates thereof
    • C01B33/12Silica; Hydrates thereof, e.g. lepidoic silicic acid
    • C01B33/14Colloidal silica, e.g. dispersions, gels, sols
    • C01B33/157After-treatment of gels
    • C01B33/158Purification; Drying; Dehydrating
    • C01B33/1585Dehydration into aerogels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02343Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a liquid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y30/00Nanotechnology for materials or surface science, e.g. nanocomposites

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Dispersion Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Formation Of Insulating Films (AREA)
  • Silicon Compounds (AREA)

Abstract

本发明涉及纳米孔介电薄膜及其制造方法。这种膜适用于制造集成电路。将一种烷氧基硅烷前体物与低挥发性和高挥发性两种溶剂在pH值为约2~5范围内混合,再用一种低挥发性碱将pH值调高至约8或以上,然后将其沉积于一种半导体基材上。在将其暴露于大气中的湿气后,即在该基材上制成一种纳米孔介电薄膜。

Description

高pH值下制造纳米孔介电膜的方法
                对相关申请的交叉引证
本申请要求享有1997年4月17日提交的临时申请60/044,080的权益,该申请并入本文作为参考。
                      发明背景
发明领域
本发明涉及纳米孔介电膜及其制造方法。这类薄膜适用于生产集成电路。
先有技术说明
在集成电路的生产中,当线路尺寸接近0.25μm及以下时,互连RC延迟、电能消耗和串扰等问题变得更为明显。将低介电常数(K)材料用于层间介电和金属间介电时会部分缓和这些问题,但介电常数显著低于当前所用的致密级二氧化硅介电常数的每一种备选材料都有其缺点。大多数低介电常数材料的开发都采用玻璃旋转成膜技术和氟化等离子体化学气相沉积法制造的K>3的SiO2。某些有机和无机聚合物的介电常数在约2.2~3.5范围内,但是,它们也存在热稳定性低、力学性能不良、包括玻璃化转变温度低、样品漏气及长期可靠性疑虑等问题。
另一方面的探索在于应用介电常数能在约1~3范围内的纳米孔二氧化硅。多孔性二氧化硅之所以具有吸引力是因为它使用了类似于玻璃旋转成膜技术(SOG′s)和CVD SiO2中所用的前体物,例如四乙氧基硅烷(TEOS),而且还因为能够精确控制孔的大小和孔径分布。除了具备低介电常数外,纳米孔二氧化硅还提供了微电子学所需的其它优点,包括可达900℃的热稳定性、微小的孔径(<<微电子学线路尺寸)、所用的材料即二氧化硅和半导体工业中广泛使用的前体物、能在很宽的范围内协调介电常数并能使用常规的玻璃旋转成膜加工技术中所采用的类似器具进行沉积。
高的孔隙率导致较之相应的致密性材料更低的介电常数,且与较致密材料相比还可引入其它的组合物材料和加工方法。材料问题包括要求所有的孔都要远小于电路的布线尺寸,还包括与孔隙率有关的强度下降以及表面化学对介电常数和环境稳定性的影响等。密度(或反之,孔隙率)是控制纳米孔二氧化硅介电性这一重要性质的关键参数。纳米孔二氧化硅的性质可在一个连续谱的全范围内变化,从孔隙率为100%的气隙变到孔隙率为0%的致密性二氧化硅两个极端。随着密度的增高,介电常数和力学强度也增高,但孔径却变小。这说明,对于半导体应用而言,最佳的密度范围不是与K≈1有关的非常低的密度,而是相反,即能产生较高强度和较小孔径的较高密度。
纳米孔二氧化硅膜可采用溶剂与二氧化硅前体物的混合物来制成,即采用常规的旋转涂膜、浸渍涂膜等方法将该前体物沉积在硅片上。沉积之后,该前体物产生聚合反应,且产生的聚合物层具有足够强度因而在干燥期间不会收缩。薄膜的厚度和密度/介电常数可通过采用挥发性具有显著差别的两种溶剂的混合物独立地加以控制。挥发性较高的溶剂在前体物沉积过程中和沉积之后迅速蒸发。通常,二氧化硅前体物是TEOS部分水解和缩合的产物,通过化学的和/或加热的方法使之聚合直至生成凝胶层。然后通过升温以除去溶剂。假定凝胶化后不产生收缩,则最终的薄膜密度/介电常数是由低挥发性溶剂与二氧化硅的体积比所决定。EP专利申请EPO 775 669 A2(该内容并入本文作为参考)公开了一种在整个薄膜厚度方向上具有均匀密度的纳米孔二氧化硅薄膜的制作方法。制作纳米孔介电体的优选方法是采用溶胶技术,按照此技术由固体颗粒分散于液体中而成的胶状悬浮体即成溶胶,因其中的固体颗粒的长大和互连作用这种溶胶即转变成凝胶体。有一种理论认为,通过溶胶内的连续反应,溶胶内的一个或多个分子最终会达到宏观尺寸,从而形成固体网络结构,这种网络结构基本上扩展到整个溶胶内。在称之为凝胶点的这一点时,该物质就叫做凝胶体。按照这一定义,凝胶体是一种含有包容液体连续相的连续固体骨架的物质。因为这种骨架是多孔的,所以本文所用的术语“凝胶体”意指一种包容微孔流质的开孔性固体结构。
对于纳米孔二氧化硅而言,其原料是烷氧基硅烷一类的硅烷,因其具有高的挥发性和低的粘度,这类前体物首先在回流条件下用少量水和任选的酸催化剂使其水解。在室温或更低温度下,这些溶液具有足够稳定性,因此其贮存寿命超过六个月。为了从这种溶液制备凝胶体,要另外加入催化剂和反应物(水)。水解与缩合反应的相对速度同pH值的依赖关系极强。对水解反应而言,在pH≈2时其速度非常高,而在pH≈7时则呈指数下降至最低值。在较高pH值条件下,水解反应速度呈指数增加。对于缩合反应而言,在pH≈2时其速度最低,而在pH≈8~9时则增加至最高值。
现在已经有可能做到通过将两种流体混合在一起的方法在产生沉积作用之前不久立即加入催化剂/水,也可以通过在产生沉积作用之后暴露于气相中的方法加入催化剂/水。但是,如果催化剂是在沉积作用产生之前加入,则由于聚合物的生成以及每一基片上的少量前体物中必须加入催化剂/水这一事实,溶液粘度就要发生改变从而导致沉积作用的困难。通过气相法加入催化剂和水固然可以消除这些困难,但却又带来处理挥发性碱如氨气有关的安全性和设备方面的问题。
                      发明概述
本发明提供了在基材上形成纳米孔介电涂层的一种方法,该方法包含以下步骤:
(a)将至少一种烷氧基硅烷与一种挥发性相对高的溶剂组合物、一种挥发性相对低的溶剂组合物和任选的水混合由此制成pH值为约2~约5的混合物,并引发该烷氧基硅烷产生部分水解反应和部分缩合反应;
(b)向步骤(a)的产物中加入足量的一种碱,使该混合物的pH值升至约8或以上;
(c)将步骤(b)生成的、pH值升高后的混合物沉积在一种基材上并使至少一部分挥发性相对高的溶剂组合物蒸发;
(d)将步骤(c)的产物暴露于水汽中;及
(e)使挥发性相对低的溶剂组合物蒸发。
本发明还提供了由以下方法制成的一种半导体器件,该方法包含的步骤是:
(a)将至少一种烷氧基硅烷与一种挥发性相对高的溶剂组合物、一种挥发性相对低的溶剂组合物和任选的水混合由此制成pH值为约2~约5的混合物,并引发该烷氧基硅烷产生部分水解反应和部分缩合反应;
(b)向步骤(a)的产物中加入足量的一种碱,使该混合物的pH值升至约8或以上;
(c)将步骤(b)生成的、pH值升高后的混合物沉积在一种基材上并使至少一部分挥发性相对高的溶剂组合物蒸发;
(d)将步骤(c)的产物暴露于水汽中;及
(e)使挥发性相对低的溶剂组合物蒸发。
现已发现,低介电常数的纳米孔二氧化硅薄膜可以采取将沉积膜暴露于湿气如大气中的湿气中进行后沉积处理步骤进行制备。在这种操作方法中,将碱混合于溶胶中以便在足量水引入体系之后催化凝胶化作用并使反应成熟。所说的碱可以以胺的形式提供以维持其碱性,同时降低碱的挥发性。
本发明避免了沉积前要立即加入催化剂或在沉积之后在气相中加入催化剂的困难而又能维持良好的贮存寿命。本发明是按照特定的顺序完成各种化学反应的,这是有别于其它溶胶法的。按照本发明,通过加入低于化学计量的水和足量的酸以维持pH值在约2~5范围内(快速水解和缓慢缩合的条件)的方法使硅烷首先产生水解。然后将该溶液的pH值调高至缩合反应速度增高的范围(pH>8)。尽管产生一定程度的缩合,但因加水量不足该溶液不致凝胶化。该溶液在沉积之前保持稳定。在沉积过程中和沉积之后不久,该薄膜迅速吸收大气中的水,这种水将能促使沉积的溶液凝胶化。由于高挥发性溶剂迅速蒸发的结果导致膜状前体物的冷却,从而有助于该过程的完成。然后再通过一系列的加热步骤对凝胶化薄膜进行处理以除去残留的溶剂并制成低密度的纳米孔二氧化硅膜。这个方法可应用于金属引线处正要使用绝缘体的制好线路图的片基上,也可应用于镶嵌法用的空白片基上。
只需将沉积薄膜暴露于如空气中的湿气等潮气中就能制备低密度、低介电常数纳米孔二氧化硅,这正是人们所需要的方法。
                         附图简述
图1为说明薄膜孔隙率、介电常数和折光指数之间关系的解析图。
                     优选实施方案详述
本发明制备由至少一种烷氧基硅烷与一种挥发性相对高的溶剂组合物、一种挥发性相对低的溶剂组合物、任选的水及一种任选催化量的酸反应而成的一种产物,并使反应产物的pH值范围为约2~约5。最好引入水以便为烷氧基硅烷的部分水解提供一种介质。此后,加入一种低挥发性的碱如胺以使pH值升高至约8或以上。这种反应产物被涂于一种基材上。在该反应产物沉积过程中和紧接沉积之后,该高挥发性溶剂立即蒸发且该反应产物进一步水解并缩合直至生成凝胶层。然后升温以除去第二种溶剂。
本发明所用的烷氧基硅烷包括通式如下的化合物式中至少2个R基独立地是C1~C4烷氧基而其余基团(若有的话)则独立地选自氢、烷基、苯基、卤素和取代的苯基。对本发明而言,所说的烷氧基包括那些在接近室温的温度下经水解作用能够很容易从硅原子上断键的任何其它的有机基团。R基团可以是乙二醇氧基或丙二醇氧基等,但最好全部四个R基团都是甲氧基、乙氧基、丙氧基或丁氧基。最优选的烷氧基硅烷包括但不限于四乙氧基硅烷(TEOS)和四甲氧基硅烷。
挥发性相对高的溶剂组合物,其蒸发温度应低于、最好明显低于挥发性相对低的溶剂组合物的蒸发温度。挥发性相对高的溶剂组合物,其沸点最好为约120℃或以下,优选的为约100℃或以下。合适的高挥发性溶剂组合物包括但不限于甲醇、乙醇、正丙醇、异丙醇、正丁醇及其混合物。能与其它成分相容的挥发性相对高的其它溶剂组合物,本领域的技术人员都易于确定。
挥发性相对低的溶剂组合物,其蒸发温度应高于、最好明显高于挥发性相对高的溶剂组合物的蒸发温度。挥发性相对低的溶剂组合物,其沸点最好为约175℃或以上,优选的为约200℃或以上。合适的低挥发性溶剂组合物包括但不限于一元醇类和多元醇类,后者包括如乙二醇等.的二元醇类,1,4-丁二醇、1,5-戊二醇、1,2,4-丁三醇、1,2,3-丁三醇、2-甲基-丙三醇、2-(羟甲基)-1,3-丙二醇、1,4,1,4-丁二醇、2-甲基-1,3-丙二醇、四甘醇、三甘醇单甲醚、甘油及其混合物。能与其它成分相容的挥发性相对低的其它溶剂组合物,本领域的技术人员也易于确定。
任选的酸用作催化烷氧基硅烷与挥发性相对高的溶剂组合物、挥发性相对低的溶剂组合物及水之间的反应。合适的酸类有硝酸和挥发性与相容性好的有机酸,即在加工操作条件下能从所制得的反应产物中蒸发掉且又不会向反应产物中引入杂质的酸。
烷氧基硅烷组分的优选含量为整个混合物总重量的约3%~约50%。较优选的含量范围为约5%~约45%,最优选的含量范围为约10%~40%。
高挥发性溶剂组合物的优选含量为整个混合物总重量的约20%~约90%。较优选的含量范围为约30%~约70%,最优选的为约40%~约60%。
低挥发性溶剂组合物的优选含量为整个混合物总重量的约1%~约40%。较优选的含量范围为约3%~约30%,最优选的为约5%~约20%。
水对硅烷优选的摩尔比为约0~约2。较优选的范围为约0.1~约1.5,最优选的为约0.5~约1.2。
酸的催化含量很容易由本领域技术人员所确定。酸对硅烷优选的摩尔比为约0~约0.2、较优选的为约0.001~约0.05,最优选的为约0.005~约0.02。
在优选的实施方案中,烷氧基硅烷、挥发性低的和高的溶剂及任选的水和酸在回流条件下混合,然后令其冷却。随后加入足量的碱,使pH值升至约8或以上,优选的为约8~约12。在这些操作步骤完成过程中,不应使该前体物中水的含量超过一定限度,否则在数月的贮存期内会影响该前体物的稳定性。用于调节pH值的碱应具备低的挥发性,以便在随后进行水汽处理时该碱能留在薄膜中;所说的碱应能在高挥发性和低挥发性溶剂中迁移;应具有高的pKb值以加速反应/提高涂膜片产出率;在半导体加工的典型温度下应可除掉且不应残留对薄膜和半导体性质产生有害影响的杂质;而且应对前体物产生足够的稳定性。合适的碱包括但不限于胺类,如伯、仲、叔烷基胺类,芳香胺类,醇胺类及其混合物,它们的沸点为约100℃或更高,优选的为约125℃或更高,更优选的为约150℃或更高。优选的胺类有:单乙醇胺、二乙醇胺、三乙醇胺、单异丙醇胺、四亚乙基五胺、2-(2-氨基乙氧基)乙醇、2-(2-氨基乙氨基)乙醇及其混合物。胺接受水中质子的能力用碱性常数Kb表示,且pKb=-log Kb。在优选的实施方案中,碱的pKb值范围可为约小于0~约9。更优选的范围为约2~约6,最优选的范围为约4~约5。碱可在硅烷前体物起初水解和缩合期间,也可在后续步骤中加入到该前体物中。
所说高pH值反应产物随后被涂在基材上并在其表面上形成介电薄膜。典型的基材是那些适于加工成集成电路或其它微电子器件的基材。适于本发明的基材包括但不限于半导体类材料,如砷化镓(GaAs)、硅和含硅的组合物,如单晶硅、多晶硅、非晶硅、外延硅、二氧化硅(SiO2)及其混合物。在基材表面上可任选含有由凸线构成的线路图形,这些凸线例如是金属的、氧化物的、氮化物的或氮氧化物的线,系由众所周知的平版印刷技术制成的。这些线彼此的间距一般非常小,大约为20μm或更小,优选的为1μm或更小,更优选的为约0.05~约1μm。合适的材料包括二氧化硅、氮化硅、氮化钛、氮化钽、铝、铝合金、铜、钽、钨和氮氧化硅。
然后,将较高挥发性的那一层部分地蒸发掉。较高挥发性的溶剂在数秒或数分钟内蒸发掉。此时,该薄膜是二氧化硅前体物与较低挥发性溶剂构成的一种粘性液体。可任选采用稍微升高温度的方法以促进这一步骤的完成。此温度范围为约20℃~约80℃,优选的为约20℃~约50℃,更优选的为约20℃~约35℃。
接着将该涂层暴露于水汽中。按照本发明,该纳米孔二氧化硅前体物是在高pH值(>8)和远低于化学计量的水含量条件下制备的。因此,只要该前体物暴露于空气的潮气中就会立即聚合并凝胶化。原则上说,与前体物薄膜接触的空气中的湿含量越高,获得高质量、低密度薄膜所需的反应时间就越短。这种聚合/凝胶化反应可通过若干方法进行。例如,相对湿度约40~60%的环境水分足以引起凝胶化且该湿态的凝胶膜的强度足以产生低密度(介电常数<2.5)。但是,如果采用这种加湿方法,则会因难于控制室内湿度而导致薄膜性能的变化。或者,可以采用一种装置以控制加湿。
水汽引起烷氧基硅烷上的烷氧基团继续水解,而碱则催化水解后的烷氧基硅烷产生缩合反应并促进分子量的增长,直至该涂层凝胶化并最终增强凝胶体的强度。继续暴露于水中引起烷氧基团的继续水解生成硅烷醇且产生的挥发性醇离开涂层薄膜。然后用常规方法使挥发性较低的溶剂蒸发达到使该薄膜干燥而又不再收缩的效果。在这一步骤中可采用升温方法使涂层干燥。此温度范围为约20℃~约450℃,优选的为约50℃~约350℃,更优选的为约175℃~约320℃。
最后,在基材上制得低介电常数、含聚合物组合物的二氧化硅。含聚合物组合物的二氧化硅优选的介电常数为约1.1~约3.5,更优选的为约1.3~约3.0,最优选的为约1.5~约2.5。二氧化硅组合物的孔径为约1nm~约100nm,更优选的为约2nm~约30nm,最优选的为约3nm~约20nm。含组合物二氧化硅(其中包含孔)的密度为约0.1~约1.9g/cm2,更优选的为约0.25~约1.6g/cm2,最优选的为约0.4~约1.2g/cm2
当用高浓度碱(催化剂)和有限量水汽加工涂层时,二氧化硅聚合物聚合和凝胶化后会在二氧化硅内表面上残留下浓度相当高的烷氧基团。进行水解反应后,烷氧基团即行水解且产物醇就蒸发掉。介电常数(密度)取决于目标介电常数的配方,而该配方又取决于溶剂对二氧化硅的体积比、烷氧基团的大小以及在二氧化硅聚合物已经凝胶化这一点时每个硅原子所含烷氧基团的比值。当按照本发明方法进行加工时,在该凝胶点时OR/Si之比为约0.2~约2。例如,如果OR/Si之比为1,人们使用的又是乙氧基,且目标介电常数为2.5,则会观察到明显不同的介电常数。对于不同的烷氧基,随着烷氧基摩尔体积的增大(正丁氧基>乙氧基>甲氧基),介电常数差别的程度也增大,凝胶化后和最终固化之前产生的收缩程度乃是脱烷氧基造成体积变化的良好测度。
下列非限制性实施例的目的在于举例阐明本发明。
                      实施例1(对比例)
本实施例举例说明在前体物中不加胺的方法。该前体物旋转沉积于硅片上,在恒定相对湿度下进行老化处理不同时间并进行干燥。
在搅拌下,将122ml四乙氧基硅烷、61ml三甘醇单甲醚、9.74ml去离子水(去离子的)和0.4ml 1M的硝酸(浓硝酸稀释至1M)混合制成前体物。然后将该混合物在继续搅拌下回流1.5小时,之后令其冷却。接着在搅拌下将该前体物的一部分用乙醇稀释至50wt%浓度。将约1.5ml该稀释的前体物沉积在置于一旋转卡盘上的一块4英寸硅片上,在2500转/分的转速下旋转30秒钟。然后将该硅片置于一老化室内,同时将压缩空气送经一个鼓泡器并以2升/分钟的流速送入该老化室内以造成其中的相对湿度达75%。令该硅片在老化室内停留60秒钟,随后取出并将其置于一个170℃的炉内干燥3分钟,接着又转移至另一个320℃的炉内进行干燥。然后令该硅片冷却并用椭圆光度法测量该薄膜的厚度和折光指数。折光指数与薄膜孔隙率之间可以有相关性。1.0的折光指数为100%的孔隙率二氧化硅,而1.46的折光指数为致密级即0%孔隙率的二氧化硅。膜孔隙率、介电常数和折光指数三者之间的关系可从图1中估测到。采用稀释的溶胶再沉积出另外三个薄膜样品并按上述相同方法进行处理,所不同之处在于将这三个样品置于75%相对湿度老化室内处理不同的时间然后取出并干燥。该老化处理的时间分别为2分钟、3分钟和5分钟,结果列于下表中。老化时间(分钟)       厚度()            折光指数
    1                1250                1.414
    2                1250                1.424
    3                1150                1.404
    5                950                 1.418
                        实施例2
本实施例举例说明在前体物中加胺的方法。随后将该前体物旋转沉积于硅片上,在恒定相对湿度下老化不同时间并进行干燥。在搅拌下,将122ml四乙氧基硅烷、61ml三甘醇单甲醚、9.74ml去离子水和0.4ml 1M的硝酸进行混合以制成前体物。然后在继续搅拌下将该混合物回流1.5小时,随后令其冷却。接着,移出50ml该前体物并在搅拌下加入4.53ml单乙醇胺以制成溶胶。令此溶胶静置过夜使之稳定化。然后取一部分(约4ml)溶胶在搅拌下用乙醇稀释至50wt%浓度。接着取约1.5ml此稀释后的溶胶沉积于一置于旋转卡盘上的一块4英寸硅片上,并以2500转/分转速将其旋转30秒钟。然后将该硅片置于一老化室内,将流经一鼓泡器的压缩空气以2升/分钟的流速通入该老化室内以使其中产生75%的相对湿度。令该硅片在该老化室内停留60秒钟,然后从中取出并置于170℃的炉内干燥3分钟,随后又将其置于另一320℃的炉内进行干燥。然后令该硅片冷却并用椭圆光度法测量薄膜以确定其厚度和折光指数。用所说的稀释溶胶另沉积四个薄膜样品并按上述相同方法进行处理,所不同的是令这些样品在75%相对湿度的老化室内放置不同时间然后再取出并干燥。该老化时间分别为2分钟、3分钟、5分钟和10分钟。结果列于下表中。老化时间(分钟)             厚度()               折光指数
    1                     3200                    1.232
    2                     3700                    1.176
    3                     4150                    1.149
    5                     4850                    1.134
    10                    5600                    1.113
实施例3
本实施例举例说明在前体物中加胺的方法。该前体物随后被旋转沉积在硅片上,在恒定相对湿度下老化不同时间,然后或直接在170℃炉内干燥,或在炉内干燥前进行甲硅烷基化。在搅拌下,将184.5ml四乙氧基硅烷、75ml三甘醇单甲醚、14.8ml去离子水和0.61ml 1M硝酸混合制成前体物。然后在继续搅拌下将该混合物回流1.5小时,随后令其冷却。接着,移出50ml上述前体物,在搅拌下加入5.23ml单乙醇胺和2.88ml三甘醇单甲醚以制成溶胶。令该溶胶静置过夜使其稳定。然后取一部分(约4ml)该溶胶在搅拌下用乙醇将其稀释至50wt%浓度。取约1.5ml该稀释溶胶滴在置于旋转卡盘上的一块4英寸硅片上,并以2500转/分转速旋转30秒钟。按这种方法沉积四个薄膜样品。将硅片1和2置于一老化室内,用流经水鼓泡器的压缩空气以2升/分钟的流速通入该老化室内以获得75%的相对湿度,并令样品在其中分别老化3分钟和5分钟。此后,将这些样品置于170℃炉内干燥3分钟,接着移至第二个320℃的炉内干燥3分钟。用椭圆光度计进行测量,以便测定硅片冷却至室温后最终薄膜的厚度和折光指数。测量结果列于下表中。薄膜样品3和4按照前两个样品相同的方法进行老化处理(即在75%相对湿度下分别处理3分钟和5分钟)。老化处理后,将每一薄膜样品放回到旋转卡盘上并用30ml由15ml丙酮与15ml六甲基二硅氮烷混合组成的溶液冲洗。在冲洗薄膜的同时,以250转/分的转速使硅片旋转15秒钟,在转速升至1000转/分下再旋转15秒钟,令薄膜在卡盘上蒸发干燥。该溶液在使用之前至少要混合1小时,但使用前混合不要超过8小时。薄膜申洗毕,将该硅片置于170℃炉内3分钟,随后置于320℃炉内3分钟。然后取出该硅片并用椭圆光度法测量其厚度和折光指数。测量结果列于下表中。
硅片        老化时间       冲洗        厚度    折光指数
              (分)        (有/无)      ()
1              3            无          5100     1.191
2              5            无          5450     1.166
3              3            有          9700     1.176
4              5            有          10350    1.135
                           实施例4
本实施例举例说明在前体物中加胺的方法。然后将该前体物沉积于硅片上,在不同相对湿度下老化不同时间,且在170℃炉内直接干燥。在搅拌下,将122ml四乙氧基硅烷、61ml三甘醇单甲醚、9.74ml去离子水和0.4ml 1M硝酸混合以制成前体物。然后在继续搅拌下将该混合物回流1.5小时,随后令其冷却。接着,移出50ml上述前体物并在搅拌下加入3.57ml单乙醇胺以制成溶胶。令该溶胶静置过夜使其稳定。取一部分(约4ml)该溶胶在搅拌下用乙醇稀释至50wt%浓度。取约1.5ml该稀释溶胶滴在置于旋转卡盘上的一块4英寸硅片上,并以2500转/分转速旋转30秒钟。按此方法再沉积出2块这种薄膜样品。第一块硅片留在卡盘上老化3分钟,环境相对湿度为32%。此后将该硅片置于170℃炉内干燥3分钟,随后在320℃炉内干燥3分钟。将第二块硅片置于老化室内,并以2升/分的流速向其中通入流经水鼓泡器的压缩空气以获得75%的相对湿度,并使该硅片在其中老化处理3分钟。此后,将该硅片置于170℃炉内干燥3分钟,接着又在320℃炉内干燥3分钟。在每块硅片冷却之后,用椭圆光度法测量薄膜的厚度和折光指数。测量结果列于下表中。
老化相对湿度             厚度()                折光指数
    32%                   3750                    1.178
    75%                   4800                    1.139
                        实施例5
本实施例举例说明向前体物中加入不同含量胺的方法。然后将该前体物旋转沉积于硅片上,并在不同相对湿度下老化处理不同时间。在搅拌下,将184.5ml四乙氧基硅烷、75ml三甘醇单甲醚、14.8ml去离子水和0.61ml 1M硝酸混合以制成前体物。然后在继续搅拌下将该混合物回流1.5小时,随后令其冷却。接着,移出50ml上述前体物并在搅拌下加入2.91ml 2-(2-氨基乙氧基)乙醇和5.20ml三甘醇单甲醚以制成第一份溶胶。这样制成的溶胶中2-(2-氨基乙氧基)乙醇占未稀释溶胶体积的5%。令该溶胶静置过夜使之稳定。取一部分(约4ml)该溶胶,在搅拌下用乙醇稀释至50wt%浓度。然后取约1.5ml该稀释的溶胶滴在置于旋转卡盘上的一块4英寸硅片上,并以2500转/分转速旋转30秒钟。移出50ml上述前体物并在搅拌下加入5.23ml 2-(2-氨基乙氧基)乙醇和2.88ml三甘醇单甲醚以制成第二份溶胶。这样制成的溶胶中2-(2-氨基乙氧基)乙醇占未稀释溶胶体积的11%。令该溶胶静置过夜使之稳定。取一部分(约4ml)该溶胶,在搅拌下用乙醇稀释至50wt%浓度。取约1.5ml该稀释的溶胶滴在置于旋转卡盘上的一块4英寸硅片上,以2500转/分转速将其旋转30秒钟。移出50ml上述前体物,在搅拌下加入6.39ml 2-(2-氨基乙氧基)乙醇和1.72ml三甘醇单甲醚以制成第三份溶胶。这样制成的溶胶中2-(2-氨基乙氧基)乙醇占未稀释溶胶体积的11%。令该溶胶静置过夜使之稳定。然后取一部分(约4ml)溶胶,在搅拌下用乙醇稀释至50wt%浓度。取约1.5ml该稀释的溶胶滴在置于旋转卡盘上的一块4英寸硅片上,以2500转/分转速将其旋转30秒钟。然后将这三块硅片都放到老化室内,用流经水鼓泡器的压缩空气以2升/分流速通入该老化室中,通湿气20秒钟之后,关闭气流。这样做是为了获得45%的相对湿度,每块硅片在该室内老化处理3分钟。老化处理后,将每一块薄膜样品再放回旋转卡盘上并用30ml由15ml丙酮与15ml六甲基二硅氮烷混合而成的溶液进行冲洗。在冲洗薄膜的同时,以250转/分的转速旋转该硅片15秒钟,然后将转速升至1000转/分再旋转15秒钟,令薄膜在卡盘上蒸发干燥。这种溶液在使用之前至少要混合1小时,但在使用前混合时间决不要超过8小时。在冲洗完薄膜之后,将硅片置于170℃炉中3分钟,随后再置于320℃炉中3分钟。然后取出该硅片并用椭圆光度法测量厚度和折光指数。测量结果列于下表中。体积百分数                  厚度                   折光指数2-(2-氨基乙氧基)乙醇        ()
        5%               4750                    1.280
        9%               6300                    1.250
        11%              6550                    1.234
从以上这些实施例可以看到,低介电常数纳米孔二氧化硅薄膜可以采用将沉积膜暴露于湿气中的后沉积处理步骤进行制备。本发明避免了在沉积之前不久要立即加催化剂或在沉积之后在气相中加催化剂带来的难题,同时又能保持良好的贮存寿命。

Claims (24)

1.一种在基材上形成纳米孔介电涂层的方法,该方法包含如下步骤:
(a)将至少一种烷氧基硅烷与一种挥发性相对高的溶剂组合物、一种挥发性相对低的溶剂组合物和任选的水混合由此制成pH值为约2~约5的混合物,并引发该烷氧基硅烷产生部分水解反应和部分缩合反应;
(b)向步骤(a)的产物中加入足量的一种碱,使该混合物的pH值升至约8或以上;
(c)将步骤(b)生成的、pH值升高后的混合物沉积在一种基材上并使至少一部分挥发性相对高的溶剂组合物蒸发;
(d)将步骤(c)的产物暴露于水汽中;及
(e)使挥发性相对低的溶剂组合物蒸发。
2.权利要求1的方法,其中步骤(a)包含将水混入该混合物中。
3.权利要求1的方法,其中步骤(a)还包含将催化量的酸混入该混合物中。
4.权利要求1的方法,其中所说的烷氧基硅烷包含四乙氧基硅烷。
5.权利要求1的方法,其中所说的烷氧基硅烷包含四甲氧基硅烷。
6.权利要求1的方法,其中所说的挥发性相对高的溶剂组合物的沸点为约120℃或以下。
7.权利要求1的方法,其中所说的挥发性相对低的溶剂组合物的沸点为约175℃或以上。
8.权利要求1的方法,其中所说的挥发性相对高的溶剂组合物包含选自甲醇、乙醇、正丙醇、异丙醇、正丁醇及其混合物中之一种或几种组分。
9.权利要求1的方法,其中所说的挥发性相对低的溶剂组合物包含一元醇或多元醇。
10.权利要求1的方法,其中所说的碱包含至少一种胺。
11.权利要求1的方法,其中所说的碱选自沸点为约100℃或以上的伯、仲、叔烷基胺,芳基胺,醇胺及其混合物。
12.权利要求1的方法,其中所说的碱选自单乙醇胺、二乙醇胺、三乙醇胺、单异丙醇胺、四亚乙基五胺、2-(2-氨基乙氧基)乙醇、2-(2-氨基乙氨基)乙醇及其混合物。
13.权利要求1的方法,其中步骤(a)是在水存在下进行的,且水对硅烷的摩尔比为约0~约2。
14.权利要求1的方法,其中所说的碱的pKb值为约小于0~约9。
15.权利要求1的方法,其中所说的烷氧基硅烷具有如下通式:式中至少2个R基独立地是C1~C4烷氧基而其余基团(若有的话)则独立地选自氢、烷基、苯基、卤素和取代的苯基。
16.权利要求15的方法,其中每一个R是甲氧基、乙氧基或丙氧基。
17.权利要求1的方法,其中所形成的纳米孔涂层的介电常数为约1.1~约3.5。
18.权利要求1的方法,其中所形成的纳米孔涂层的孔径为约1nm~约100nm。
19.权利要求1的方法,其中的基材在其表面上包含凸起的线路图,该凸起的线路包含金属的、氧化物的、氮化物的和/或氮氧化物的材料。
20.权利要求1的方法,其中该基材包含半导体材料。
21.权利要求1的方法,其中该基材包含硅或砷化镓。
22.按照权利要求1的方法制造的纳米孔介电涂层基材。
23.一种通过下述步骤的方法制造的半导体器件,该方法包括下列步骤:
(a)将至少一种烷氧基硅烷与一种挥发性相对高的溶剂组合物、一种挥发性相对低的溶剂组合物和任选的水混合由此制成pH值为约2~约5的混合物,并引发该烷氧基硅烷产生部分水解反应和部分缩合反应;
(b)向步骤(a)的产物中加入足量的一种碱,使该混合物的pH值升至约8或以上;
(c)将步骤(b)生成的、pH值升高后的混合物沉积在一种基材上并使至少一部分挥发性相对高的溶剂组合物蒸发;
(d)将步骤(c)的产物暴露于水汽中;及
(e)使挥发性相对低的溶剂组合物蒸发。
24.按照权利要求23的方法制造的半导体器件,其中所说的基材包含硅或砷化镓。
CN98806267A 1997-04-17 1998-04-03 高pH值下制造纳米孔介电膜的方法 Pending CN1260763A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US4408097P 1997-04-17 1997-04-17
US60/044,080 1997-04-17

Publications (1)

Publication Number Publication Date
CN1260763A true CN1260763A (zh) 2000-07-19

Family

ID=21930431

Family Applications (1)

Application Number Title Priority Date Filing Date
CN98806267A Pending CN1260763A (zh) 1997-04-17 1998-04-03 高pH值下制造纳米孔介电膜的方法

Country Status (9)

Country Link
US (2) US6503850B1 (zh)
EP (1) EP0975548B1 (zh)
JP (1) JP2002501674A (zh)
KR (1) KR100532239B1 (zh)
CN (1) CN1260763A (zh)
AU (1) AU6878498A (zh)
DE (1) DE69830398T2 (zh)
TW (1) TW533189B (zh)
WO (1) WO1998046526A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106277824A (zh) * 2015-05-25 2017-01-04 吉永新技有限公司 二氧化硅膜的制造方法
CN111033688A (zh) * 2017-08-24 2020-04-17 株式会社Lg化学 二氧化硅膜的制造方法

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6042994A (en) * 1998-01-20 2000-03-28 Alliedsignal Inc. Nanoporous silica dielectric films modified by electron beam exposure and having low dielectric constant and low water content
JP2921759B1 (ja) 1998-03-31 1999-07-19 株式会社半導体理工学研究センター 半導体装置の製造方法
US6395651B1 (en) * 1998-07-07 2002-05-28 Alliedsignal Simplified process for producing nanoporous silica
US6231989B1 (en) 1998-11-20 2001-05-15 Dow Corning Corporation Method of forming coatings
US6495479B1 (en) * 2000-05-05 2002-12-17 Honeywell International, Inc. Simplified method to produce nanoporous silicon-based films
US6548892B1 (en) * 2000-08-31 2003-04-15 Agere Systems Inc. Low k dielectric insulator and method of forming semiconductor circuit structures
US6764809B2 (en) 2000-10-12 2004-07-20 North Carolina State University CO2-processes photoresists, polymers, and photoactive compounds for microlithography
JP2003089514A (ja) * 2001-09-12 2003-03-28 Mitsui Chemicals Inc 表面が平滑な多孔質シリカフィルムの製造方法
US20030106487A1 (en) * 2001-12-10 2003-06-12 Wen-Chiang Huang Photonic crystals and method for producing same
US7153754B2 (en) * 2002-08-29 2006-12-26 Micron Technology, Inc. Methods for forming porous insulators from “void” creating materials and structures and semiconductor devices including same
US6699797B1 (en) * 2002-12-17 2004-03-02 Intel Corporation Method of fabrication of low dielectric constant porous metal silicate films
JP4217103B2 (ja) 2003-04-25 2009-01-28 東京エレクトロン株式会社 熱処理方法及び熱処理装置
FR2870143B1 (fr) * 2004-05-12 2006-07-14 Commissariat Energie Atomique Procede sol-gel de fonctionnalisation d'une surface d'un substrat solide.
KR100826208B1 (ko) * 2004-10-29 2008-04-30 주식회사 엘지화학 유기실록산 중합체의 제조방법, 및 이를 이용한 절연막의제조방법
US8368220B2 (en) * 2005-10-18 2013-02-05 Taiwan Semiconductor Manufacturing Co. Ltd. Anchored damascene structures
US7977121B2 (en) * 2006-11-17 2011-07-12 Air Products And Chemicals, Inc. Method and composition for restoring dielectric properties of porous dielectric materials
US20080173541A1 (en) * 2007-01-22 2008-07-24 Eal Lee Target designs and related methods for reduced eddy currents, increased resistance and resistivity, and enhanced cooling
US20090026924A1 (en) * 2007-07-23 2009-01-29 Leung Roger Y Methods of making low-refractive index and/or low-k organosilicate coatings
US8702919B2 (en) * 2007-08-13 2014-04-22 Honeywell International Inc. Target designs and related methods for coupled target assemblies, methods of production and uses thereof
JP5126508B2 (ja) * 2008-03-25 2013-01-23 Jsr株式会社 絶縁膜形成用組成物、絶縁膜の製造方法、及びそれによって得られる絶縁膜
US8557877B2 (en) * 2009-06-10 2013-10-15 Honeywell International Inc. Anti-reflective coatings for optically transparent substrates
US8864898B2 (en) 2011-05-31 2014-10-21 Honeywell International Inc. Coating formulations for optical elements
US10822807B2 (en) 2019-02-18 2020-11-03 Royal Building Products (Usa) Inc. Assembly for improved insulation

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5409683A (en) 1990-08-23 1995-04-25 Regents Of The University Of California Method for producing metal oxide aerogels
DE69128073T2 (de) 1990-08-23 1998-02-26 Univ California As Represented Verfahren zur herstellung von metalloxidaerogelen mit dichte weniger als 0,02 g/cm3
US5736425A (en) * 1995-11-16 1998-04-07 Texas Instruments Incorporated Glycol-based method for forming a thin-film nanoporous dielectric
EP0775669B1 (en) 1995-11-16 2001-05-02 Texas Instruments Incorporated Low volatility solvent-based precursors for nanoporous aerogels

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106277824A (zh) * 2015-05-25 2017-01-04 吉永新技有限公司 二氧化硅膜的制造方法
CN111033688A (zh) * 2017-08-24 2020-04-17 株式会社Lg化学 二氧化硅膜的制造方法
CN111033688B (zh) * 2017-08-24 2024-02-23 株式会社Lg化学 二氧化硅膜的制造方法
US11975977B2 (en) 2017-08-24 2024-05-07 Lg Chem, Ltd. Production method of silica film

Also Published As

Publication number Publication date
EP0975548A1 (en) 2000-02-02
US20030119335A1 (en) 2003-06-26
DE69830398T2 (de) 2006-01-26
JP2002501674A (ja) 2002-01-15
AU6878498A (en) 1998-11-11
US6503850B1 (en) 2003-01-07
WO1998046526A1 (en) 1998-10-22
KR20010006357A (ko) 2001-01-26
TW533189B (en) 2003-05-21
EP0975548B1 (en) 2005-06-01
KR100532239B1 (ko) 2005-11-30
DE69830398D1 (de) 2005-07-07

Similar Documents

Publication Publication Date Title
CN1260763A (zh) 高pH值下制造纳米孔介电膜的方法
US6037275A (en) Nanoporous silica via combined stream deposition
TWI284140B (en) Method for forming porous silica film
CN1229383C (zh) 用于生产多纳孔二氧化硅薄膜的醇基处理器
US6048804A (en) Process for producing nanoporous silica thin films
KR100613682B1 (ko) 저 유전 물질 함유 용매를 제조하기 위한 조성물
US7405459B2 (en) Semiconductor device comprising porous film
US6319855B1 (en) Deposition of nanoporous silic films using a closed cup coater
WO1999036953A1 (en) Nanoporous silica dielectric films modified by electron beam exposure and having low dielectric constant and low water content
CN1507653A (zh) 用于窄隙填充用途的介电薄膜
US20080118737A1 (en) Composition for forming porous film, porous film and method for forming the same, interlevel insulator film, and semiconductor device
JP4021131B2 (ja) 低誘電率シリカ系被膜形成用塗布液および低誘電率シリカ系被膜付基板
CN1355858A (zh) 由聚合物的分解获得的低介电纳米孔材料
CN1146964C (zh) 使纳米级微孔二氧化硅机械强度最优化的方法
EP0976153A1 (en) Nanoporous dielectric films with graded density and process for making such films
US6670022B1 (en) Nanoporous dielectric films with graded density and process for making such films
US20080248328A1 (en) Process for Preparing a Zeolite-Containing Film
US6455130B1 (en) Nanoporous dielectric films with graded density and process for making such films
TWI580815B (zh) 用於形成二氧化矽層的組成物、二氧化矽層及其製造方法以及包含二氧化矽層的電子裝置
WO2003069672A1 (en) Nanoporous dielectric films with graded density and process for making such films

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C01 Deemed withdrawal of patent application (patent law 1993)
WD01 Invention patent application deemed withdrawn after publication