CN117828807A - 用于评估电源设计的方法、装置、设备和存储介质 - Google Patents

用于评估电源设计的方法、装置、设备和存储介质 Download PDF

Info

Publication number
CN117828807A
CN117828807A CN202211193069.1A CN202211193069A CN117828807A CN 117828807 A CN117828807 A CN 117828807A CN 202211193069 A CN202211193069 A CN 202211193069A CN 117828807 A CN117828807 A CN 117828807A
Authority
CN
China
Prior art keywords
power
network
chip
power supply
location
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202211193069.1A
Other languages
English (en)
Inventor
金亮亮
顾俊
李继峰
王剑
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Beijing Youzhuju Network Technology Co Ltd
Original Assignee
Beijing Youzhuju Network Technology Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Beijing Youzhuju Network Technology Co Ltd filed Critical Beijing Youzhuju Network Technology Co Ltd
Priority to CN202211193069.1A priority Critical patent/CN117828807A/zh
Priority to PCT/CN2023/114798 priority patent/WO2024066835A1/zh
Publication of CN117828807A publication Critical patent/CN117828807A/zh
Pending legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/02Reliability analysis or reliability optimisation; Failure analysis, e.g. worst case scenario performance, failure mode and effects analysis [FMEA]

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

根据本公开的实施例,提供了用于评估电源设计的方法、装置、设备和存储介质。该方法包括确定为芯片设计的电源网络,电源网络包括用于功率传输的多个电源线并且指示多个电源线各自在芯片中的位置和样式。该方法包括为电源网络生成至少与芯片的预定功耗相关联的电学配置。该方法包括确定具有电学配置的电源网络的不同位置处的预测电压损失。以此方式,可以实现电源方案的快速评估和验证,从而有助于缩短芯片设计的周期。

Description

用于评估电源设计的方法、装置、设备和存储介质
技术领域
本公开的示例实施例总体涉及半导体领域,特别地涉及用于评估电源设计的方法、装置、设备和计算机可读存储介质。
背景技术
电源完整性是指电源波形的质量,所关注的是电源分配网络(PDN)。电源完整性旨在从系统供电网络综合考虑,消除或者减弱噪声对电源的影响。电源完整性的设计目标是把电源噪声控制在运行的范围内,为芯片提供干净稳定的电压。随着芯片工艺的演进,器件的集成度越来越高,电源分配网络也越来越复杂。电源方案的完整性问题会导致芯片的性能降低,严重时甚至导致芯片失效。因此,需要在完整性方面评估电源方案。
发明内容
在本公开的第一方面,提供了一种评估电源设计的方法。该方法包括:确定为芯片设计的电源网络,所述电源网络包括用于功率传输的多个电源线并且指示所述多个电源线各自在所述芯片中的位置和样式;为所述电源网络生成至少与所述芯片的预定功耗相关联的电学配置;以及确定具有所述电学配置的所述电源网络的不同位置处的预测电压损失。
在本公开的第二方面,提供了一种用于评估电源设计的装置。该装置包括:电源网络确定模块,被配置为确定为芯片设计的电源网络,所述电源网络包括用于功率传输的多个电源线并且指示所述多个电源线各自在所述芯片中的位置和样式;电学配置生成模块,被配置为为所述电源网络生成至少与所述芯片的预定功耗相关联的电学配置;以及电压损失预测模块,被配置为确定具有所述电学配置的所述电源网络的不同位置处的预测电压损失。
在本公开的第三方面,提供了一种电子设备。该设备包括至少一个处理单元;以及至少一个存储器,至少一个存储器被耦合到至少一个处理单元并且存储用于由至少一个处理单元执行的指令。指令在由至少一个处理单元执行时使设备执行第一方面的方法。
在本公开的第四方面,提供了一种计算机可读存储介质。该计算机可读存储介质上存储有计算机程序,计算机程序可由处理器执行以实现第一方面的方法。
应当理解,该内容部分中所描述的内容并非旨在限定本公开的实施例的关键特征或重要特征,也不用于限制本公开的范围。本公开的其它特征将通过以下的描述而变得容易理解。
附图说明
结合附图并参考以下详细说明,本公开各实施例的上述和其他特征、优点及方面将变得更加明显。在附图中,相同或相似的附图标记表示相同或相似的元素,其中:
图1示出了本公开的实施例能够在其中实现的示例环境的示意图;
图2示出了根据本公开的一些实施例的电源设计评估的示例评估流程的示意图;
图3示出了根据本公开的一些实施例的生成电学配置的示例过程的流程图;
图4A示出了根据本公开的一些实施例的电压损失的示例呈现的示意图;
图4B示出了根据本公开的一些实施例的电源线的示例的示意图;
图4C示出了根据本公开的一些实施例的改变电源线的示例的示意图;
图5示出了根据本公开的一些实施例的评估电源设计的过程的流程图;
图6示出了根据本公开的一些实施例的用于评估电源设计的装置的框图;以及
图7示出了能够实施本公开的多个实施例的设备的框图。
具体实施方式
下面将参照附图更详细地描述本公开的实施例。虽然附图中示出了本公开的某些实施例,然而应当理解的是,本公开可以通过各种形式来实现,而且不应该被解释为限于这里阐述的实施例,相反,提供这些实施例是为了更加透彻和完整地理解本公开。应当理解的是,本公开的附图及实施例仅用于示例性作用,并非用于限制本公开的保护范围。
在本公开的实施例的描述中,术语“包括”及其类似用语应当理解为开放性包含,即“包括但不限于”。术语“基于”应当理解为“至少部分地基于”。术语“一个实施例”或“该实施例”应当理解为“至少一个实施例”。术语“一些实施例”应当理解为“至少一些实施例”。下文还可能包括其他明确的和隐含的定义。术语“第一”、“第二”等可以指代不同的或相同的对象。下文还可能包括其他明确的和隐含的定义。
如本文中所使用的,术语“电源方案”可以包括为芯片设计的电源网络。电源网络表示用于功率传输的电源线在芯片中的位置和样式。术语“电源线”是指芯片中用于功率传输的传导介质(诸如,金属线)在芯片设计工具中的电子化表示。在本文中,术语“电源方案”和“电源网络”可互换地使用。
如本文中所使用的,术语“超出损失范围”是指不在损失范围内,包括高于损失范围的上限或低于损失范围的下限。在本公开的实施例中,损失范围可以是预先确定的,也可以取决于电源电压。
示例环境
图1示出了本公开的实施例能够在其中实现的示例环境100的示意图。在环境100中,计算设备110获取针对芯片而设计的电源网络101。电源网络101包括用于功率传输的多个电源线。电源网络101还指示这些电源线各自在芯片中的位置和样式。
这些电源线可以位于芯片的不同层或从一个层贯通至另一层。这些电源线可以是不同类型的电源线,例如,高电压电源线和低电压电源线。高电压电源线所提供的电压可以例如由符号VDD表示,并且低电压电源线所提供的电压可以例如由符号VSS表示。通常,高电压电源线和低电压电源线成对出现。
电源线在芯片中的位置可以包括但不限于电源线位于芯片的哪一层、在该层中的延伸范围、与其他电源线的相对位置等。电源线的样式可以包括但不限于电源线的形状、尺寸等。此外,尽管表述为“线”,但电源线可以具有宽度,并且可以具有任何合适的形状。
图1示意性地示出了电源网络101的一部分。该部分包括芯片不同层中的多个电源线150-1、150-2、150-3、150-4、150-5、150-6,其也单独称为或统称为电源线150。电源线150-1和150-2可以是位于同一层中的一对高电压电源线和低电压电源线,电源线150-3和150-4可以是位于另一层中的一对高电压电源线和低电压电源线,而电源线150-5和150-6可以是位于又一层中的一对高电压电源线和低电压电源线。在图1的示例中,这些电源线150具有不同样式,例如电源线150-5和150-6是短小型电源线,而电源线150-1、150-2、150-3、150-4是细长型电源线。
计算设备110中部署有评估系统120,其生成对设计的电源网络101的评估结果102。评估结果102至少包括电源网络101的不同处置处的电压损失。电压损失可以包括绝对电压损失,例如电压降或IR降。备选地或附加地,电压损失可以包括相对电压损失,例如电压降与电源电压之比。
在一些实施例中,如果评估结果102指示电源网络101通过验证,则计算设备110可以发布电源网络101以用于芯片设计的下游任务。在一些实施例中,如果评估结果102指示电源网络101未通过验证,则计算设备110可以基于评估结果101更新电源网络101。如果对更新后的电源网络101的评估结果指示更新后的电源网络101通过验证,则计算设备120可以发布更新后的电源网络101以用于下游任务。
在环境100中,计算设备110可以是任意类型的具有计算能力的设备,包括终端设备或服务端设备。终端设备可以是任意类型的移动终端、固定终端或便携式终端,包括移动手机、台式计算机、膝上型计算机、笔记本计算机、上网本计算机、平板计算机、媒体计算机、多媒体平板、个人通信系统(PCS)设备、个人导航设备、个人数字助理(PDA)、音频/视频播放器、数码相机/摄像机、定位设备、电视接收器、无线电广播接收器、电子书设备、游戏设备或者前述各项的任意组合,包括这些设备的配件和外设或者其任意组合。服务端设备例如可以包括计算系统/服务器,诸如大型机、边缘计算节点、云环境中的计算设备,等等。
应当理解,仅出于示例性的目的描述环境100的结构和功能,而不暗示对于本公开的范围的任何限制。此外,图1中所示的电源线的数目、样式、所涉及的层数仅是示例性而无意限制本公开的范围。在本公开的实施例中,电源网络可以具有任何合适的电源线。
在传统的电源方案评估中,首先设计出电源方案,然后进行物理实现,例如执行放置和布线(PR)流程。在PR流程中,需要进行布局规划(floorplan)、标准单元布放、时钟树合成(CTS)、布线等。在执行了完整的PR流程后,再进行电源方案评估。如果电源方案未通过验证,则需要调整电源方案。调整后的电源方案又需要再一次执行PR流程后才能被评估。可见,传统上是先物理实现再评估的方法。
在这种传统方法中,一轮迭代的时间较长,例如可能需要一周以上。如果涉及多轮迭代,则严重影响芯片的设计周期。此外,这种传统方法依赖于成套的芯片设计数据,然而在芯片设计的早期是不容易获得这种数据的。
本公开的实施例提出了一种用于评估电源设计的方案。根据本公开的各种实施例,确定为芯片设计的电源网络。电源网络包括用于功率传输的多个电源线并指示这些电源线各自在芯片中的位置和样式。为电源网络生成至少与芯片的预定功耗相关联的电学配置。预测在这种电学配置下的电源网络在不同位置处的电压损失,例如电压降。所预测的电压损失用于评估所设计的电源网络。
在本公开的实施例中,通过生成与预定功耗有关的电学配置,来预测电源网络各处的电压损失。以此方式,可以在不考虑芯片中器件布放和布线的情况下预先评估所设计的电源方案。也即,本公开的实施例支持先评估再物理实现。与先物理实现再评估的传统方法相比,这种方法节省了物理实现过程的冗长周期,实现了电源方案的快速评估和验证。因此,本公开的实施例有助于缩短芯片设计的周期。
以下将继续参考附图描述本公开的一些示例实施例。
示例评估流程
图2示出了根据本公开的一些实施例的用于评估电源设计的示例评估流程200的示意图。如图2所示,流程200主要可以包括四个阶段,即电源方案获取210、电源方案评估220、电源方案更新230和电源方案发布240。
在电源方案获取210的阶段,计算设备110确定为芯片设计的电源网络101。如参考图1所描述的,电源网络101包括多个电源线,并且指示这些电源线的位置和样式。
在一些实施例中,计算设备110中可以部署有用于芯片设计的电子设计自动化(EDA)工具。电源方案的设计者可以通过EDA工具来与计算设备110交互,以逐层地设置电源线。基于设计者的输入,EDA工具可以生成电源网络101。在这种实施例中,计算设备110生成电源网络。备选地或附加地,在一些实施例中,计算设备110可以从外部接收包括电源网络101的设计文件。在这种实施例中,计算设备110可以从设计文件中读取电源网络101。
由于芯片是一个复杂体系,芯片的设计可以是按芯片部分(例如,芯片模块)进行的。因此,电源方案的设计也可以是按芯片部分进行的。有鉴于此,电源网络101还可以具有尺寸,以指示其所用于的芯片部分(例如,芯片模块)的面积。
在电源方案评估220的阶段,计算设备110为电源网络101生成至少与芯片的预定功耗相关联的电学配置。计算设备110可以与预定功耗相关联地设置电源网络101的电学属性和所处的电学环境。在本公开的实施例中,电源方案评估220可以发生在芯片设计的早期,即可以发生在器件的布放之前。在这种情况下,代替基于器件的时序和电容等信息计算功耗,在本公开的实施例中使用预定功耗。
预定功耗可以是电源网络101所用于的芯片部分的预期功耗。不同的芯片部分由于执行不能的功能,可以具有不同的预期功耗。因此,在流程200用于评估不同芯片部分的电源方案时,所使用的预定功耗可以不同。
图3示出了根据本公开的一些实施例的生成电学配置的示例过程300的流程图。如图3所示,在框310,计算设备110设置与预定功耗有关的电学参数。电学参数的值可以被包括在配置文件中,计算设备110可以通过读取配置文件来进行设置。
在一些实施例中,计算设备110可以将流过各个电源线的电流设置为具有与预定功耗相对应的电流值。例如,计算设备110可以基于预定功耗和电源电压,计算电流值,并将该电流值分配给各个电源线。又如,与预定功耗相对应的电流值可以被包括在配置文件中。计算设备110可以从配置文件中读取并设置电流值。在这种实施例中,使用与预定功耗相对应的电流值而不是直接使用功耗值,可以简化后续电压损失的计算。
在框320,计算设备110可以得出电源网络101中多个电源线各自的电阻值。具体地,计算设备110可以根据单位线宽度单位线长度的电阻(即,电阻率)和电源线之间的连接关系,计算各个电源线的电阻值。关于电源线电阻率的信息可以被包括在配置文件中。计算设备110可以通过读取配置文件来计算各个电源线的电阻值。
在框330,计算设备110可以指定向芯片供电的外部电源与芯片的连接位置和连接位置处的电压。连接位置也可以称为供电点。计算设备110可以指定两种类型的供电点,即高电压供电点和低电压供电点。高电压供电点可以视为电流从外部电源流入芯片的位置,而低电压供电点可以视为电流从芯片流出的位置。作为示例,高电压供电点可以包括提供VDD的供电点,其电压可以是电源电压;低电压供电点可以包括提供VSS的供电点,其电压可以是接地电压,例如零。
供电点可以位于芯片的顶层。可以使用供电点在顶层中的坐标来指定供电点。此外,供电点的指定可能需要满足芯片设计中关于供电点密度、间距等要求。本公开的实施例在此方面不受限制。
在框340,计算设备110可以设置芯片的工作温度。芯片的工作温度可以与芯片的预期使用场景等有关。
应当理解,图3所示的生成电学配置的过程300仅是示例性的,而无意限制本公开的范围。过程300中各个框的执行顺序是不受限的。此外,过程300中所设置的电学参数或环境参数仅是示例性,计算设备110可以设置更少或更多的参数。例如,计算设备110可以设置评估的精度、启用或禁用全链路电阻分析、针对供电点的电压变化阈值等。
继续参考图2。在电源方案评估220的阶段,在生成电学配置后,计算设备110可以预测具有这种电学配置的电源网络101的不同位置处的电压损失。不同位置处的电压损失可以包括沿着电源线的连续型电压损失,或沿着电源线的多个采样位置处的电压损失。电压损失可以包括电压降的绝对值或电压降与电源电压之比。
在一些实施例中,计算设备110可以基于所预测的电压损失的大小,与电源网络101相关联地呈现所预测的电压损失。在一个示例中,计算设备110可以输出电压损失不在损失范围内的位置的坐标及该位置处的预测电压损失的值。在另一示例中,计算设备110可以在显示设备上显示电源网络101,所显示的电源网络101在不同位置处的颜色与相应位置处的预测电压损失相关。预测电压损失越大,颜色越醒目。在二维显示的情况下,可以逐层地显示每层中的电压损失。在三维显示的情况下,不同层中的电压损失可以一起被显示。
可以利用EDA工具中的电源分析引擎来实现上文所描述的电源方案评估220。例如,计算设备110可以调用电源分析引擎,来生成电学配置并预测电压损失。然而,这仅是示例性,本公开的实施例在电源方案评估220的实现手段方面不受限制。
继续流程200。在框250,基于损失范围,确定电源网络101是否通过验证。损失范围可以包括上限。如果某个位置处预测的电压损失高于上限,意味着该位置处的电源设计较为薄弱。这样的位置也可以称为薄弱点。应当尽可能消除这样的薄弱点。
附加地,在一些实施例中,损失范围可以包括下限。如果某个位置处预测的电压损失低于下限,意味着该位置处的电压设计比较强健。这样的位置也可以称为强健点。
如果确定电源网络101通过验证,即如果不同位置处的预测电压损失均在损失范围内,则流程200进行到电源方案发布240。在电源方案发布240的阶段,计算设备110可以生成指示电源网络101的文件,以将电源网络101用于芯片的目标部分。该目标部分具有与电源网络101的面积和预定功耗对应的功率密度。例如,基于电源网络101的面积和在电源方案评估220中所设置的电流,可以确定电流密度。目标部分可以是芯片中预期电流密度与该电流密度之差在预定范围内的芯片部分(例如,芯片模块)。
所生成的文件可以被发布给芯片设计的下游任务。例如,所生成的文件可以用于后续的PR流程。
如果在框250确定电源网络101没有通过验证,即如果存在预测电压损失超出损失范围的一个或多个位置(其也称为第一位置),则流程200进行到电源方案更新230。在电源方案更新230的阶段,计算设备110通过更新第一位置处的电源线,更新电源网络101。更新电源线可以包括但不限于增加新的电源线、延长或缩短现有电源线、改变相邻电源线之间的间距等。
在一些实施例中,计算设备110可以基于与电源方案设计者的交互,更新电源网络101。具体地,计算设备110可以基于不同位置处的预测电压损失的大小,与电源网络101相关联地呈现预测电压损失。呈现方式如上文所描述的。
在预测电压损失被呈现的同时,计算设备110可以检测改变电源线的指示。该指示可以由设计者通过计算设备110的交互部件(例如,触摸屏幕、键盘、鼠标等)给出。进一步地,在一些实施例中,计算设备110还可以响应于来自设计者的选择,而以放大方式呈现第一位置处的电源线。在以放大方式呈现第一位置处的电源线的同时,计算设备110可以检测来自设计者的改变电源线的指示。
计算设备110进而可以基于检测到的指示来改变第一位置处的电源线,从而更新电源网络101。例如,在第一位置处的预测电压损失高于损失范围上限的情况下,该指示可以是在第一位置处添加新的电源线或延长已有电源线的指示。如此,增大了薄弱点附近的电源线密度,从而有助于消除薄弱点,提高电源方案的可靠性。又如,在第一位置处的预测电压损失低于损失范围下限的情况下,该指示可以是移除在第一位置处的电源线的一部分的指示,或缩短第一位置处的电源线的指示等。如此,降低了强健点附近的电源线密度。在保证电源可靠性的同时,可以适当释放一些空间用于信号线。
下面参考图4A至图4C描述一个示例。图4A示出了根据本公开的一些实施例的电压损失的示例呈现的示意图。在图4A的示例中,图例412和413表示预测电压损失在损失范围内,而图例411表示预测电压损失超出损失范围。相应地,区域423和区域422中各个位置处的预测电压损失在损失范围内。位置421处的预测电压损失超出损失范围,例如高于损失范围的上限。
应当理解,图4A中所示的预测电压损失的呈现方式仅是示例性的,而无意限制本公开的范围。在本公开的实施例中,可以以更细化的方式呈现预测电压损失。例如,所显示的颜色可以与预测电压损失的大小相关联。
在预测电压损失被呈现的同时,计算设备110检测到对位置421的选择。例如,电源方案设计者在显示部件上点击了位置421,或用框将位置421圈出。响应于检测到对位置421的选择,计算设备110可以以放大的方式呈现位置421处的电源线,如图4B所示。在图4B的示例中,位置421处存在电源线的缺失。具体地,电源线431、432、433、434没有延伸到区域435中。
在以放大方式呈现位置421处的电源线的同时,计算设备110检测改变电源线的指示。基于检测到的指示,计算设备110可以改变位置421处的电源线,从而更新电源网络101。在图4C的示例中,基于检测到的指示,计算设备110延长了电源线431、432、433、434,从而增大了位置421处的电源线密度。
继续参考图2。备选地,在一些实施例中,计算设备110可以自主更新电源网络101。具体地,如果计算设备110确定第一位置处的预测电压损失(也称为第一预测电压损失)高于损失范围的上限,则计算设备110可以增大第一位置处的电源线密度,以更新电源网络101。增大电源线密度可以包括但不限于添加新的电源线、延长已有电源线、减小相邻电源线的间距等。以此方式,可以消除薄弱点,提高电源方案的可靠性。
如果计算设备110确定第一位置处的预测电压损失低于损失范围的下限,则计算设备110可以减小第一位置处的电源线密度,以更新电源网络101。减小电源线密度可以包括但不限于移除已有电源线、缩短已有电源线、增大相邻电源线之间的间距等。以此方式,在保证电源可靠性的同时,可以适当释放一些空间用于信号线。这有利于实现电源线与信号线之间的平衡。
在这种情况下,流程200可以回到电源方案评估220,以评估更新后的电源网络。如果更新后的电源网络通过验证,则计算设备110可以生成指示更新后的电源网络的文件,以发布给下游任务。如果更新后的电源网络没有通过验证,则计算设备110可以再次执行电源方案更新230,以迭代地更新电源网络直到通过验证。
在本公开的实施例中,不需要实现物理流程(诸如,PR流程),便可以在设计的早期评估电源网络的健壮程度。根据健壮程度可以相应地调整电源方案。这促进电源设计周期的缩短,从而有利于缩短芯片的设计周期。
此外,可以针对不同的预定功耗,执行流程200。可以根据不同功耗提供不同的电源方案,以用于不同功率密度的芯片模块。例如,可以通过设置不同的电流值,去拟合不同功耗的芯片模块,从而进行差异化电源方案设计和验证。
示例过程
图5示出了根据本公开的一些实施例的评估电源设计的过程500的流程图。过程500可以被实现在计算设备110处。下面参考图1来描述过程500。
在框510,计算设备110确定为芯片设计的电源网络101。所述电源网络101包括用于功率传输的多个电源线并且指示多个电源线各自在芯片中的位置和样式。
在框520,计算设备110为电源网络101生成至少与芯片的预定功耗相关联的电学配置。
在一些实施例中,为了生成电学配置,计算设备110可以将流过多个电源线的电流设置为具有与预定功耗相对应的电流值。
在一些实施例中,计算设备110可以得出多个电源线各自的电阻值。备选地或附加地,计算设备110可以设置向芯片供电的外部电源与芯片的连接位置和连接位置处的电压。备选地或附加地,计算设备110可以设置芯片的工作温度。
在框530,计算设备110确定具有电学配置的电源网络101的不同位置处的预测电压损失。在一些实施例中,如果确定不同位置处的预测电压损失在损失范围内,计算设备110可以生成指示电源网络101的文件,以将电源网络101用于芯片的目标部分。目标部分具有与电源网络101的面积和预定功耗相对应的功率密度。
在一些实施例中,计算设备110可以通过改变不同位置中的第一位置处的电源线,更新电源网络101。第一位置处的第一预测电压损失超出损失范围。
在一些实施例中,计算设备110可以确定更新后的电源网络101的不同位置处的更新后的预测电压损失。如果更新后的预测电压损失在损失范围内,计算设备110可以生成指示更新后的电源网络101的文件,以将更新后的电源网络101用于芯片的目标部分。目标部分具有与更新后的电源网络101的面积和预定功耗相对应的功率密度。
在一些实施例中,为了更新电源网络101,计算设备110可以基于不同位置处的预测电压损失的大小,与电源网络101相关联地呈现不同位置处的预测电压损失。在不同位置处的预测电压损失被呈现的同时,计算设备110可以检测改变电源线的指示。计算设备110可以基于检测到的指示改变第一位置处的电源线,以更新电源网络101。
在一些实施例中,响应于在不同位置处的预测电压损失被呈现的同时检测到对第一位置的选择,计算设备110可以以放大方式呈现第一位置处的电源线。在以放大方式呈现第一位置处的电源线的同时,计算设备110可以检测改变电源线的指示。
在一些实施例中,如果第一预测电压损失高于损失范围的上限,计算设备110可以通过增大第一位置处的电源线密度,更新电源网络101。如果第一预测电压损失低于损失范围的下限,计算设备110可以通过减小第一位置处的电源线密度,更新电源网络101。
示例装置和设备
图6示出了根据本公开的某些实施例的用于评估电源设计的装置600的示意性结构框图。装置600可以被实现为或者被包括在计算设备110中。装置600中的各个模块/组件可以由硬件、软件、固件或者它们的任意组合来实现。
如图所示,装置600包括电源网络确定模块610,被配置为确定为芯片设计的电源网络,电源网络包括用于功率传输的多个电源线并且指示多个电源线各自在芯片中的位置和样式。装置600还包括电学配置生成模块620,被配置为为电源网络生成至少与芯片的预定功耗相关联的电学配置。装置600进一步包括电压损失预测模块630,被配置为确定具有电学配置的电源网络的不同位置处的预测电压损失。
在一些实施例中,装置600还包括:电源网络更新模块,被配置为通过改变不同位置中的第一位置处的电源线,更新电源网络,其中第一位置处的第一预测电压损失超出损失范围。
在一些实施例中,电压损失预测模块进一步被配置为确定更新后的电源网络的不同位置处的更新后的预测电压损失。装置600还包括电源网络发布模块,被配置为如果更新后的预测电压损失在损失范围内,生成指示更新后的电源网络的文件,以将更新后的电源网络用于芯片的目标部分,目标部分具有与更新后的电源网络的面积和预定功耗相对应的功率密度。
在一些实施例中,电源网络更新模块包括:电压损失呈现模块,被配置为基于不同位置处的预测电压损失的大小,与电源网络相关联地呈现不同位置处的预测电压损失;指示检测模块,被配置为在不同位置处的预测电压损失被呈现的同时,检测改变电源线的指示;以及电源线改变模块,被配置为基于检测到的指示改变第一位置处的电源线,以更新电源网络。
在一些实施例中,指示检测模块进一步被配置为:响应于在不同位置处的预测电压损失被呈现的同时检测到对第一位置的选择,以放大方式呈现第一位置处的电源线;以及在以放大方式呈现第一位置处的电源线的同时,检测改变电源线的指示。
在一些实施例中,电源网络更新模块包括以下之一:密度增大模块,被配置为如果第一预测电压损失高于损失范围的上限,通过增大第一位置处的电源线密度,更新电源网络;密度减小模块,被配置为如果第一预测电压损失低于损失范围的下限,通过减小第一位置处的电源线密度,更新电源网络。
在一些实施例中,电学配置生成模块包括:电流设置模块,被配置为将流过多个电源线的电流设置为具有与预定功耗相对应的电流值。
在一些实施例中,电学配置生成模块还包括以下至少一项:电阻得出模块,被配置为得出多个电源线各自的电阻值,供电点指定模块,被配置为设置向芯片供电的外部电源与芯片的连接位置和连接位置处的电压,温度设置模块,被配置为设置芯片的工作温度。
在一些实施例中,装置600还包括:电源网络发布模块,被配置为如果确定不同位置处的预测电压损失在损失范围内,生成指示电源网络的文件,以将电源网络用于芯片的目标部分,目标部分具有与电源网络的面积和预定功耗相对应的功率密度。
图7示出了示出了其中可以实施本公开的一个或多个实施例的计算设备700的框图。应当理解,图7所示出的计算设备700仅仅是示例性的,而不应当构成对本文所描述的实施例的功能和范围的任何限制。图7所示出的计算设备700可以用于实现图1的计算设备110。
如图7所示,计算设备700是通用计算设备的形式。计算设备700的组件可以包括但不限于一个或多个处理器或处理单元710、存储器720、存储设备730、一个或多个通信单元740、一个或多个输入设备750以及一个或多个输出设备760。处理单元710可以是实际或虚拟处理器并且能够根据存储器720中存储的程序来执行各种处理。在多处理器系统中,多个处理单元并行执行计算机可执行指令,以提高计算设备700的并行处理能力。
计算设备700通常包括多个计算机存储介质。这样的介质可以是计算设备700可访问的任何可以获取的介质,包括但不限于易失性和非易失性介质、可拆卸和不可拆卸介质。存储器720可以是易失性存储器(例如寄存器、高速缓存、随机访问存储器(RAM))、非易失性存储器(例如,只读存储器(ROM)、电可擦除可编程只读存储器(EEPROM)、闪存)或它们的某种组合。存储设备730可以是可拆卸或不可拆卸的介质,并且可以包括机器可读介质,诸如闪存驱动、磁盘或者任何其他介质,其可以能够用于存储信息和/或数据(例如用于训练的训练数据)并且可以在计算设备700内被访问。
计算设备700可以进一步包括另外的可拆卸/不可拆卸、易失性/非易失性存储介质。尽管未在图7中示出,可以提供用于从可拆卸、非易失性磁盘(例如“软盘”)进行读取或写入的磁盘驱动和用于从可拆卸、非易失性光盘进行读取或写入的光盘驱动。在这些情况中,每个驱动可以由一个或多个数据介质接口被连接至总线(未示出)。存储器720可以包括计算机程序产品725,其具有一个或多个程序模块,这些程序模块被配置为执行本公开的各种实施例的各种方法或动作。
通信单元740实现通过通信介质与其他计算设备进行通信。附加地,计算设备700的组件的功能可以以单个计算集群或多个计算机器来实现,这些计算机器能够通过通信连接进行通信。因此,计算设备700可以使用与一个或多个其他服务器、网络个人计算机(PC)或者另一个网络节点的逻辑连接来在联网环境中进行操作。
输入设备750可以是一个或多个输入设备,例如鼠标、键盘、追踪球等。输出设备760可以是一个或多个输出设备,例如显示器、扬声器、打印机等。计算设备700还可以根据需要通过通信单元740与一个或多个外部设备(未示出)进行通信,外部设备诸如存储设备、显示设备等,与一个或多个使得用户与计算设备700交互的设备进行通信,或者与使得计算设备700与一个或多个其他计算设备通信的任何设备(例如,网卡、调制解调器等)进行通信。这样的通信可以经由输入/输出(I/O)接口(未示出)来执行。
根据本公开的示例性实现方式,提供了一种计算机可读存储介质,其上存储有计算机可执行指令,其中计算机可执行指令被处理器执行以实现上文描述的方法。根据本公开的示例性实现方式,还提供了一种计算机程序产品,计算机程序产品被有形地存储在非瞬态计算机可读介质上并且包括计算机可执行指令,而计算机可执行指令被处理器执行以实现上文描述的方法。
这里参照根据本公开实现的方法、装置、设备和计算机程序产品的流程图和/或框图描述了本公开的各个方面。应当理解,流程图和/或框图的每个方框以及流程图和/或框图中各方框的组合,都可以由计算机可读程序指令实现。
这些计算机可读程序指令可以提供给通用计算机、专用计算机或其他可编程数据处理装置的处理单元,从而生产出一种机器,使得这些指令在通过计算机或其他可编程数据处理装置的处理单元执行时,产生了实现流程图和/或框图中的一个或多个方框中规定的功能/动作的装置。也可以把这些计算机可读程序指令存储在计算机可读存储介质中,这些指令使得计算机、可编程数据处理装置和/或其他设备以特定方式工作,从而,存储有指令的计算机可读介质则包括一个制造品,其包括实现流程图和/或框图中的一个或多个方框中规定的功能/动作的各个方面的指令。
可以把计算机可读程序指令加载到计算机、其他可编程数据处理装置、或其他设备上,使得在计算机、其他可编程数据处理装置或其他设备上执行一系列操作步骤,以产生计算机实现的过程,从而使得在计算机、其他可编程数据处理装置、或其他设备上执行的指令实现流程图和/或框图中的一个或多个方框中规定的功能/动作。
附图中的流程图和框图显示了根据本公开的多个实现的系统、方法和计算机程序产品的可能实现的体系架构、功能和操作。在这点上,流程图或框图中的每个方框可以代表一个模块、程序段或指令的一部分,模块、程序段或指令的一部分包含一个或多个用于实现规定的逻辑功能的可执行指令。在有些作为替换的实现中,方框中所标注的功能也可以以不同于附图中所标注的顺序发生。例如,两个连续的方框实际上可以基本并行地执行,它们有时也可以按相反的顺序执行,这依所涉及的功能而定。也要注意的是,框图和/或流程图中的每个方框、以及框图和/或流程图中的方框的组合,可以用执行规定的功能或动作的专用的基于硬件的系统来实现,或者可以用专用硬件与计算机指令的组合来实现。
以上已经描述了本公开的各实现,上述说明是示例性的,并非穷尽性的,并且也不限于所公开的各实现。在不偏离所说明的各实现的范围和精神的情况下,对于本技术领域的普通技术人员来说许多修改和变更都是显而易见的。本文中所用术语的选择,旨在最好地解释各实现的原理、实际应用或对市场中的技术的改进,或者使本技术领域的其他普通技术人员能理解本文公开的各个实现方式。

Claims (12)

1.一种评估电源设计的方法,包括:
确定为芯片设计的电源网络,所述电源网络包括用于功率传输的多个电源线并且指示所述多个电源线各自在所述芯片中的位置和样式;
为所述电源网络生成至少与所述芯片的预定功耗相关联的电学配置;以及
确定具有所述电学配置的所述电源网络的不同位置处的预测电压损失。
2.根据权利要求1所述的方法,还包括:
通过改变所述不同位置中的第一位置处的电源线,更新所述电源网络,其中所述第一位置处的第一预测电压损失超出损失范围。
3.根据权利要求2所述的方法,还包括:
确定更新后的电源网络的不同位置处的更新后的预测电压损失;以及
如果所述更新后的预测电压损失在所述损失范围内,生成指示所述更新后的电源网络的文件,以将所述更新后的电源网络用于所述芯片的目标部分,所述目标部分具有与所述更新后的电源网络的面积和所述预定功耗相对应的功率密度。
4.根据权利要求2所述的方法,其中更新所述电源网络包括:
基于所述不同位置处的预测电压损失的大小,与所述电源网络相关联地呈现所述不同位置处的预测电压损失;
在所述不同位置处的预测电压损失被呈现的同时,检测改变电源线的指示;以及
基于检测到的所述指示改变所述第一位置处的电源线,以更新所述电源网络。
5.根据权利要求4所述的方法,其中检测所述改变电源线的指示包括:
响应于在所述不同位置处的预测电压损失被呈现的同时检测到对所述第一位置的选择,以放大方式呈现所述第一位置处的电源线;以及
在以放大方式呈现所述第一位置处的电源线的同时,检测所述改变电源线的指示。
6.根据权利要求2所述的方法,其中更新所述电源网络包括以下之一:
如果所述第一预测电压损失高于所述损失范围的上限,通过增大所述第一位置处的电源线密度,更新所述电源网络;
如果所述第一预测电压损失低于所述损失范围的下限,通过减小所述第一位置处的电源线密度,更新所述电源网络。
7.根据权利要求1所述的方法,其中生成所述电学配置包括:
将流过所述多个电源线的电流设置为具有与所述预定功耗相对应的电流值。
8.根据权利要求2所述的方法,生成所述电学配置还包括以下至少一项:
得出所述多个电源线各自的电阻值,
设置向所述芯片供电的外部电源与所述芯片的连接位置和所述连接位置处的电压,
设置所述芯片的工作温度。
9.根据权利要求1所述的方法,还包括:
如果确定所述不同位置处的所述预测电压损失在损失范围内,生成指示所述电源网络的文件,以将所述电源网络用于所述芯片的目标部分,所述目标部分具有与所述电源网络的面积和所述预定功耗相对应的功率密度。
10.一种用于评估电源设计的装置,包括:
电源网络确定模块,被配置为确定为芯片设计的电源网络,所述电源网络包括用于功率传输的多个电源线并且指示所述多个电源线各自在所述芯片中的位置和样式;
电学配置生成模块,被配置为为所述电源网络生成至少与所述芯片的预定功耗相关联的电学配置;以及
电压损失预测模块,被配置为确定具有所述电学配置的所述电源网络的不同位置处的预测电压损失。
11.一种电子设备,包括:
至少一个处理单元;以及
至少一个存储器,所述至少一个存储器被耦合到所述至少一个处理单元并且存储用于由所述至少一个处理单元执行的指令,所述指令在由所述至少一个处理单元执行时使所述电子设备执行根据权利要求1至9中任一项所述的方法。
12.一种计算机可读存储介质,其上存储有计算机程序,所述计算机程序可由处理器执行以实现根据权利要求1至9中任一项所述的方法。
CN202211193069.1A 2022-09-28 2022-09-28 用于评估电源设计的方法、装置、设备和存储介质 Pending CN117828807A (zh)

Priority Applications (2)

Application Number Priority Date Filing Date Title
CN202211193069.1A CN117828807A (zh) 2022-09-28 2022-09-28 用于评估电源设计的方法、装置、设备和存储介质
PCT/CN2023/114798 WO2024066835A1 (zh) 2022-09-28 2023-08-24 用于评估电源设计的方法、装置、设备和存储介质

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN202211193069.1A CN117828807A (zh) 2022-09-28 2022-09-28 用于评估电源设计的方法、装置、设备和存储介质

Publications (1)

Publication Number Publication Date
CN117828807A true CN117828807A (zh) 2024-04-05

Family

ID=90476018

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202211193069.1A Pending CN117828807A (zh) 2022-09-28 2022-09-28 用于评估电源设计的方法、装置、设备和存储介质

Country Status (2)

Country Link
CN (1) CN117828807A (zh)
WO (1) WO2024066835A1 (zh)

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1987872A (zh) * 2005-12-21 2007-06-27 中国科学院微电子研究所 基于功耗分布的电源网络设计方法
CN111965523B (zh) * 2020-08-14 2023-05-09 上海兆芯集成电路有限公司 芯片测试方法
CN112100959A (zh) * 2020-09-21 2020-12-18 Oppo广东移动通信有限公司 基于eda工具的时序分析方法、装置及存储介质
CN114722769B (zh) * 2022-06-09 2022-09-30 宏晶微电子科技股份有限公司 芯片电源网格布局方法及装置、电子设备和可读介质

Also Published As

Publication number Publication date
WO2024066835A1 (zh) 2024-04-04

Similar Documents

Publication Publication Date Title
US7681157B2 (en) Variable threshold system and method for multi-corner static timing analysis
US7533357B2 (en) Method and apparatus to target pre-determined spatially varying voltage variation across the area of the VLSI power distribution system using frequency domain analysis
CN113056742B (zh) 设计辅助装置、设计辅助方法及机器学习装置
US10140399B2 (en) Corner database generator
US9287003B2 (en) Multi-cycle write leveling
JP2006253187A (ja) 電源解析方法および電源解析を実行するプログラム
US10387600B2 (en) Dynamic power reduction in circuit designs and circuits
US20100014569A1 (en) Identifying An Optimized Test Bit Pattern For Analyzing Electrical Communications Channel Topologies
US20080209374A1 (en) Parameter Ordering For Multi-Corner Static Timing Analysis
JP5228481B2 (ja) 半導体装置に対する同時動作信号ノイズに基づいてジッタを見積る方法、その見積りに使用する同時動作信号ノイズ量対ジッタ量相関関係を算出する方法、それらを実現するプログラム、及び半導体装置及びそれが搭載されたプリント回路基板の設計方法
US8418119B2 (en) Logical circuit netlist reduction and model simplification using simulation results containing symbolic values
JP5071081B2 (ja) 半導体装置に対する同時動作信号ノイズ見積り方法における同時動作信号ノイズ基礎特性取得方法、及びプログラム
CN117828807A (zh) 用于评估电源设计的方法、装置、设备和存储介质
US10311187B2 (en) Circuit design method and simulation method based on random telegraph signal noise
US20130246992A1 (en) Generating Capacitance Look-up Tables for Wiring Patterns in the Presence of Metal Fills
CN111181881A (zh) 一种服务器信号传输系统、方法及电子设备和存储介质
KR20180055447A (ko) 데이터 처리 방법 및 장치
CN115964984A (zh) 用于数字芯片版图平衡绕线的方法和装置
US20160253448A1 (en) Circuit board design system, circuit board design method and program recording medium
JP4575326B2 (ja) 基板レイアウトチェックシステムおよび方法
WO2012127784A1 (ja) 半導体集積回路の電源配線レイアウト方法及び電源配線レイアウト装置
CN113627107A (zh) 确定电源电压数据的方法、装置、电子设备和介质
US10489539B2 (en) Virtual terminals for linear-parameter extraction
CN110619132B (zh) 用于适应性电压缩放的方法与装置
US20150227667A1 (en) Temperature-based wire routing

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination