CN117096019A - 用于形成存储器器件及其部件的方法和系统 - Google Patents

用于形成存储器器件及其部件的方法和系统 Download PDF

Info

Publication number
CN117096019A
CN117096019A CN202310544592.2A CN202310544592A CN117096019A CN 117096019 A CN117096019 A CN 117096019A CN 202310544592 A CN202310544592 A CN 202310544592A CN 117096019 A CN117096019 A CN 117096019A
Authority
CN
China
Prior art keywords
precursor
dopant
pulse
hafnium
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202310544592.2A
Other languages
English (en)
Inventor
A·莱昂哈特
M·苏尔曼
P·西波拉
R·K·拉马钱德兰
C·德泽拉
M·吉文斯
A·伊利贝里
T·伊万诺娃
L·卢科塞
L·博蒂列里
S·V·霍姆卡
V·K·穆瑟里
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM IP Holding BV
Original Assignee
ASM IP Holding BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM IP Holding BV filed Critical ASM IP Holding BV
Publication of CN117096019A publication Critical patent/CN117096019A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40111Multistep manufacturing processes for data storage electrodes the electrodes comprising a layer which is used for its ferroelectric properties
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02194Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing more than one metal element
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02356Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment to change the morphology of the insulating layer, e.g. transformation of an amorphous layer into a crystalline layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/55Capacitors with a dielectric comprising a perovskite structure material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/65Electrodes comprising a noble metal or a noble metal oxide, e.g. platinum (Pt), ruthenium (Ru), ruthenium dioxide (RuO2), iridium (Ir), iridium dioxide (IrO2)
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B51/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
    • H10B51/30Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors characterised by the memory core region
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4908Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET for thin film semiconductor, e.g. gate of TFT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/516Insulating materials associated therewith with at least one ferroelectric layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET

Abstract

处理衬底的方法和相关系统。所描述的方法包括执行多个沉积循环以在衬底上形成掺杂铪锆氧化物层。

Description

用于形成存储器器件及其部件的方法和系统
技术领域
本公开总体涉及半导体处理方法和系统的领域,并且涉及集成电路制造的领域。特别是适用于形成存储器元件和可编程逻辑器件的方法和系统。
背景技术
铁电器件已被提议作为存储器元件。需要提高铁电存储器的性能。
现代集成电路中需要具有多个阈值电压的晶体管。已经提出铁电层作为具有可编程阈值电压的金属-绝缘体-半导体场效应晶体管(MISFET)的栅极电介质。需要改善这些晶体管的器件性能。
本部分中阐述的任何讨论,包括对问题和解决方案的讨论,已经包括在本公开中,仅仅是为了提供本公开的背景。这种讨论不应被视为承认任何或所有信息在本发明被做出时是已知的,或者构成现有技术。
发明内容
本发明内容可以简化的形式介绍一些概念,这将在下面进一步详细描述。本发明内容不旨在必要地标识所要求保护的主题的关键特征或必要特征,也不旨在用于限制所要求保护的主题的范围。
本公开的各种实施例涉及铁电存储器、逻辑器件、相关方法、相关结构和相关系统。
因此,提供了一种处理衬底的方法。该方法包括向处理室提供衬底。该方法还包括执行多个沉积循环。沉积循环包括铪前体脉冲、锆前体脉冲、氧反应物脉冲和掺杂剂脉冲。铪前体脉冲包括将衬底暴露于铪前体。锆前体脉冲包括将衬底暴露于锆前体。氧反应物脉冲包括将衬底暴露于氧反应物。掺杂剂脉冲包括将衬底暴露于掺杂剂前体。掺杂剂前体包括掺杂剂元素。因此,在衬底上形成掺杂铪锆氧化物层。
在一些实施例中,掺杂剂前体脉冲在铪前体脉冲之后进行,而没有任何插入的氧反应物脉冲。
在一些实施例中,掺杂剂前体脉冲在锆前体脉冲之后进行,而没有任何插入的氧反应物脉冲。
在一些实施例中,掺杂剂元素包括铈。
在一些实施例中,掺杂剂元素包括镧。
在一些实施例中,掺杂剂元素选自锡、碲、铈和铅。
在一些实施例中,掺杂剂元素选自钌、钯、铼、锇、铱和铂。
在一些实施例中,掺杂剂元素是钼或钨。
在一些实施例中,掺杂剂元素是Ru。
在一些实施例中,衬底包括表面层。铪锆氧化物层形成在表面层上。表面层包括表面层导电氧化物。表面层导电氧化物包含掺杂剂元素和氧。
在一些实施例中,执行多个沉积循环之前是形成表面层的步骤。表面层包括表面层导电氧化物。表面层导电氧化物包含掺杂剂元素和氧。
在一些实施例中,该方法还包括在铪锆氧化物层上形成顶部电极的步骤。顶部电极包括顶部导电氧化物,顶部导电氧化物包括掺杂剂元素。
在一些实施例中,表面层和顶部导电氧化物具有基本相同的成分。
在一些实施例中,表面层导电氧化物和顶部导电氧化物中的至少一个包括氧化钌,并且掺杂剂元素包括钌。
在一些实施例中,在铪锆氧化物层上形成顶部电极的步骤之前对铪锆氧化物层进行退火。
在一些实施例中,该方法在包括处理室的系统中进行。在这样的实施例中,执行多个沉积循环的步骤和对铪锆氧化物层进行退火的步骤可以在第一处理室中进行。
在一些实施例中,该方法在包括第一处理室和第二处理室的系统中进行。在这样的实施例中,执行多个沉积循环的步骤和对铪锆氧化物层进行退火的步骤可以在第一处理室中进行,并且形成顶部电极的步骤可以在第二处理室中进行。
在一些实施例中,该方法可以在包括第一处理室、第二处理室和第三处理室的系统中执行。在这样的实施例中,执行多个沉积循环的步骤可以在第一处理室中进行,对铪锆氧化物层进行退火的步骤可以在第二处理室中进行,形成顶部电极的步骤可以在第三处理室中进行。
本文还描述了一种系统,其包括一个或多个处理室、包含铪前体的铪前体源、包含锆前体的锆前体源、包含掺杂剂前体的掺杂剂前体源;包含氧反应物的氧反应物源;以及控制器。控制器配置成控制进入一个或多个处理室的气体流,并使系统通过本文描述的方法处理衬底。
本文还描述了一种处理衬底的方法,该方法包括:向处理室提供衬底;执行多个沉积循环,其中沉积循环包括铪前体脉冲、锆前体脉冲、氧反应物脉冲和掺杂剂脉冲;其中铪前体脉冲包括将衬底暴露于铪前体;其中锆前体脉冲包括将衬底暴露于锆前体;其中氧反应物脉冲包括将衬底暴露于氧反应物;其中第一掺杂剂脉冲包括将衬底暴露于第一掺杂剂前体,第一掺杂剂前体包括第一掺杂剂元素;从而在衬底上形成掺杂铪锆氧化物层;其中在铪前体脉冲和锆前体脉冲之一之后执行第一掺杂剂前体脉冲,而没有任何插入的氧反应物脉冲。
在一些实施例中,沉积循环还包括第二掺杂剂脉冲,其包括将衬底暴露于第二掺杂剂前体,第二掺杂剂前体包括第二掺杂剂元素,第二掺杂剂元素不同于第一掺杂剂元素。
在一些实施例中,掺杂剂前体脉冲在铪前体脉冲之后进行,而没有任何插入的氧反应物脉冲。
在一些实施例中,掺杂剂前体脉冲在锆前体脉冲之后进行,而没有任何插入的氧反应物脉冲。
在一些实施例中,第一掺杂剂元素和第二掺杂剂元素中的至少一个包括铈。
在一些实施例中,第一掺杂剂元素包括镧。
在一些实施例中,第一掺杂剂元素选自锡、碲、铈和铅。
在一些实施例中,第一掺杂剂元素选自钌、钯、铼、锇、铱和铂。
在一些实施例中,第一掺杂剂元素是钼或钨。
在一些实施例中,第一掺杂剂元素是Ru。
在一些实施例中,第二掺杂剂元素独立于第一掺杂剂,选自铈、镧、锡、碲、铅、钌、钯、铼、锇、铱、铂、钼和钨。
在一些实施例中,第一掺杂剂前体和第二掺杂剂前体中的至少一个独立地选自可由式M(RCp)x(L)y表示的化合物,其中M是稀土金属,其中R选自H、Me、Et、iPr和tBu,并且其中L选自N,N’-二异丙基乙脒、N,N’-二叔丁基乙脒、N,N’-二异丙基甲脒和N,N’-二叔丁基甲脒。
在一些实施例中,衬底包括表面层,其中铪锆氧化物层形成在表面层上,其中表面层包括表面层导电氧化物,其中表面层导电氧化物包括掺杂剂元素和氧。
在一些实施例中,执行多个沉积循环之前是形成表面层的步骤,表面层包括表面层导电氧化物,其中表面层导电氧化物包括掺杂剂元素和氧。
在一些实施例中,该方法还包括在铪锆氧化物层上形成顶部电极的步骤,顶部电极包括顶部导电氧化物,顶部导电氧化物包括掺杂剂元素。
在一些实施例中,表面层和顶部导电氧化物具有基本相同的成分。
在一些实施例中,表面层导电氧化物和顶部导电氧化物中的至少一个包括氧化钌,并且其中掺杂剂元素包括钌。
在一些实施例中,在铪锆氧化物层上形成顶部电极的步骤之前对铪锆氧化物层进行退火。
在一些实施例中,该方法在包括处理室的系统中进行,其中执行多个沉积循环的步骤和对铪锆氧化物层进行退火的步骤在第一处理室中进行。
在一些实施例中,该方法在包括第一处理室和第二处理室的系统中进行,其中执行多个沉积循环的步骤和对铪锆氧化物层进行退火的步骤在第一处理室中进行,并且其中形成顶部电极的步骤在第二处理室中进行。
在一些实施例中,该方法在包括第一处理室、第二处理室和第三处理室的系统中进行,其中执行多个沉积循环的步骤在第一处理室中进行,其中对铪锆氧化物层进行退火的步骤在第二处理室中进行,并且其中形成顶部电极的步骤在第三处理室中进行。
本文还描述了一种系统,该系统包括一个或多个处理室;包含铪前体的铪前体源;包含锆前体的锆前体源;包含第一掺杂剂前体的第一掺杂剂前体源,包含第二掺杂剂前体的第二掺杂剂前体源;包含氧反应物的氧反应物源;以及控制器,其中控制器配置为控制进入一个或多个处理室的气体流,并通过本文描述的方法处理衬底。
本文还描述了一种包含在本文所述系统中的前体源,该前体源包括选自铪前体、锆前体、第一掺杂剂前体和第二掺杂剂前体的前体。本文还描述了一种填充前体源的方法,该前体源可操作地连接到本文所述的系统,该方法包括:提供前体源;并且用选自铪前体、锆前体、第一掺杂剂前体和第二掺杂剂前体的前体填充前体源。
通过参考附图对某些实施例的以下详细描述,这些和其他实施例对于本领域技术人员来说将变得显而易见。本发明不限于所公开的任何特定实施例。
附图说明
当结合以下说明性附图考虑时,通过参考详细描述和权利要求,可以获得对本公开的实施例的更完整的理解。
图1示出了所述方法的实施例。该方法包括向第一处理室提供衬底的步骤111。
图2至6示意性地示出了本文所述方法的实施例的过程流程。
图7示出了根据本公开的示例性实施例的系统700。
图8示出了使用本文描述的方法的实施例获得的实验结果。
图9示出了根据本公开的示例的结构900。
图10示出了示例性电容器1000。
图11示意性地示出了包括第一处理室1110、第二处理室1120和第三处理室1130的系统1100。
应当理解,附图中的元件是为了简单和清楚而示出的,并不一定是按比例绘制的。例如,图中的一些元件的尺寸可能相对于其他元件被夸大,以有助于提高对本公开的所示实施例的理解。
具体实施方式
下面提供的方法、结构、设备和系统的示例性实施例的描述仅仅是示例性的,并且仅是为了说明的目的;以下描述不旨在限制本公开或权利要求的范围。此外,对具有所述特征的多个实施例的叙述并不旨在排除具有附加特征的其他实施例或者结合了所述特征的不同组合的其他实施例。例如,各种实施例被阐述为示例性实施例,并且可以在从属权利要求中叙述。除非另有说明,否则示例性实施例或其部件可以组合或者可以彼此分开应用。
在本公开中,“气体”可以包括在常温常压(NTP)下为气体的材料、蒸发的固体和/或蒸发的液体,并且可以根据情况由单一气体或气体混合物构成。除了处理气体之外的气体,即不经过气体分配组件、其他气体分配装置等引入的气体,可以用于例如密封反应空间,并且可以包括密封气体。前体和反应物可以是气体。示例性密封气体包括稀有气体、氮气等。在一些情况下,术语“前体”可以指参与产生另一种化合物的化学反应的化合物,特别是指构成膜基质或膜主骨架的化合物;术语“反应物”可以与术语前体互换使用。
如本文所用,术语“衬底”可以指可用于形成或者可通过根据本发明实施例的方法在其上形成器件、电路或膜的任何一种或多种底层材料。衬底可以包括主体材料,比如硅(例如单晶硅)、其他IV族材料,例如锗,或者其他半导体材料,例如II-VI族或III-V族半导体材料,并且可以包括覆盖或位于主体材料下面的一层或多层。此外,衬底可以包括各种特征,例如形成在衬底的层的至少一部分内或上的凹陷、凸起等。举例来说,衬底可以包括主体半导体材料和覆盖至少一部分主体半导体材料的绝缘或介电材料层。此外,术语“衬底”可以指可使用的或者可以在其上形成器件、电路或膜的任何一种或多种底层材料。“衬底”可以是连续的或非连续的;刚性的或柔性的;实心的或多孔的。衬底可以是任何形式,例如粉末、板或工件。板状衬底可以包括各种形状和尺寸的晶片。衬底可以由诸如硅、硅锗、氧化硅、砷化镓、氮化镓和碳化硅的材料制成。连续衬底可以延伸到发生沉积过程的处理室的边界之外,并且可以移动通过处理室,使得该过程继续,直至到达衬底的末端。连续衬底可以由连续衬底进给系统提供,该系统允许以任何合适的形式制造和输出连续衬底。连续衬底的非限制性示例可包括片材、非织造膜、卷、箔、网、柔性材料、成束连续细丝或纤维(即陶瓷纤维或聚合物纤维)。连续衬底也可以包括其上安装有非连续衬底的载体或薄片。
如本文所用,术语“膜”和/或“层”可以指任何连续或非连续的结构和材料,例如通过本文公开的方法沉积的材料。例如,膜和/或层可以包括二维材料、三维材料、纳米颗粒、部分或全部分子层或者部分或全部原子层或者原子和/或分子簇。膜或层可以包括或可以至少部分由衬底表面上的多个分散原子构成,和/或可以嵌入或可以变成嵌入衬底中和/或可以嵌入或可以变成嵌入在该衬底上制造的器件中。膜或层可以包括具有针孔和/或孤岛的材料或层。膜或层可以是至少部分连续的。膜或层可被图案化,例如被细分,并且可以包括在多个半导体器件中。膜或层可以选择性地生长在衬底的一些部分上,而不生长在其他部分上。
这里使用的术语“沉积过程”可以指将前体(和/或反应物)引入反应室以在衬底上沉积层。“循环沉积过程”是“沉积过程”的示例。
术语“循环沉积过程”或“循环的沉积过程”可以指将前体(和/或反应物)顺序引入反应室以在衬底上沉积层,并且包括诸如原子层沉积(ALD)、循环化学气相沉积(循环CVD)以及包括ALD分量和循环CVD分量的混合循环沉积过程的处理技术。
术语“原子层沉积”可以指在处理室中进行沉积循环(通常是多个连续沉积循环)的气相沉积过程。这里使用的术语原子层沉积也意味着包括由相关术语指定的过程,例如当用前体/反应性气体和吹扫气体(例如惰性载气)的交替脉冲执行时的化学气相原子层沉积、原子层外延(ALE)、分子束外延(MBE)、气体源MBE、有机金属MBE和化学束外延。脉冲可以包括将衬底暴露于前体或反应物。这可以通过例如将前体或反应物引入到存在衬底的反应室中来实现。另外或可替代地,将衬底暴露于前体可以包括将衬底移动到衬底处理系统中存在反应物或前体的位置。
通常,对于ALD过程,在每个循环期间,前体被引入到反应室中,并被化学吸附到沉积表面(例如可以包括来自先前ALD循环的先前沉积的材料或其他材料的衬底表面)上,并形成不容易与额外的前体反应的材料的单层或亚单层(即自限制反应)。此后,反应物(例如另一种前体或反应气体)可随后被引入处理室,用于将化学吸附的前体转化为沉积表面上的期望材料。反应物能够与前体进一步反应。在一个或多个循环中,例如在每个循环的每个步骤中,可以利用吹扫步骤,以从处理室中去除任何过量的前体和/或从反应室中去除任何过量的反应物和/或反应副产物。
如本文所用,术语“吹扫”可以指在两个彼此反应的气体脉冲之间向反应室提供惰性或基本惰性气体的过程。例如,可以在前体脉冲和反应物脉冲之间提供吹扫,例如使用稀有气体,从而避免或至少最小化前体和反应物之间的气相相互作用。应当理解,吹扫可以在时间上或空间上进行,或者两者都进行。例如,在时间吹扫的情况下,吹扫步骤可以例如以向反应室提供第一前体、向反应室提供吹扫气体和向反应室提供第二前体的时间顺序使用,其中其上沉积层的衬底不移动。例如,在空间吹扫的情况下,吹扫步骤可以采取以下形式:将衬底从连续供应第一前体的第一位置通过吹扫气幕移动到连续供应第二前体的第二位置。
应当理解,脉冲可以在时间或空间上实现,或者两者都实现。例如,在时间脉冲的情况下,可以在向反应室提供惰性气体之前和之后的预定时间内提供前体。例如,在空间脉冲的情况下,衬底可以移动通过预定位置,在该预定位置提供前体,并且该预定位置被一个或多个惰性吹扫气幕包围。
如本文所用,“前体”包括气体或材料,其可变成气态,并可由化学式表示,该化学式包括可在本文所述的沉积过程中引入的元素。
术语“氧反应物”可以指能够变成气态的气体或材料,并且可以由包含氧的化学式表示。在某些情况下,化学式包括氧和氢。
此外,在本公开中,变量的任何两个数字可以构成该变量的可行范围,并且所指示的任何范围可以包括或不包括端点。此外,所指出的变量的任何值(不管它们是否用“约”表示)可以指精确值或近似值,并且包括等同物,并且可以指平均值、中间值、代表性值、多数值等。
如本文所用,术语“包括”表示包括某些特征,但它不排除其他特征的存在,只要它们不会使权利要求或实施例不可行。在一些实施例中,术语“包含”包括“组成”。如本文所用,术语“组成”表示除了所述术语后面的特征之外在设备/方法/产品中不存在其他特征。当术语“组成”用于指化合物时,它表示该化合物仅包含所列出的成分。
在本公开中,在一些实施例中,任何定义的含义不一定排除普通和习惯的含义。
本文描述了一种处理衬底的方法。该方法包括向处理室提供衬底。该方法还包括执行多个沉积循环。沉积循环包括前体脉冲和氧反应物脉冲。前体脉冲包括将衬底暴露于前体。氧反应物脉冲包括将衬底暴露于氧反应物。因此,在衬底上形成层。在一些实施例中,该层包括高k材料,例如氧化铪、氧化锆或二元氧化物,例如氧化锆铪。在一些实施例中,铪锆氧化物是非化学计量的。在一些实施例中,氧化铪包含2:1、1:1或1:2比例的铪和锆。在一些实施例中,该层包括形成在衬底上的反铁电层。在一些实施例中,该层包括形成在衬底上的铁电层。铁电层可以适当地具有萤石结构。
本文描述了一种处理衬底的方法。该方法包括向处理室提供衬底。该方法还包括执行多个沉积循环。沉积循环包括铪前体脉冲和氧反应物脉冲。铪前体脉冲包括将衬底暴露于铪前体。氧反应物脉冲包括将衬底暴露于氧反应物。因此,在衬底上形成氧化铪层。氧化铪可以适当地具有萤石结构。
本文描述了一种处理衬底的方法。该方法包括向处理室提供衬底。该方法还包括执行多个沉积循环。沉积循环包括铪前体脉冲、锆前体脉冲和氧反应物脉冲。铪前体脉冲包括将衬底暴露于铪前体。锆前体脉冲包括将衬底暴露于锆前体。氧反应物脉冲包括将衬底暴露于氧反应物。因此,在衬底上形成铪锆氧化物层。铪锆氧化物可以适当地具有萤石结构。合适地,前体和反应物可以是气态的。
在一些实施例中,这里描述的方法可以用于形成铁电随机存取存储器、铁电场效应晶体管和铁电隧道结的一个或多个构成部分。
在一些实施例中,沉积循环还包括掺杂剂脉冲。掺杂剂脉冲包括将衬底暴露于掺杂剂前体。掺杂剂前体包括掺杂剂元素。因此,在衬底上形成掺杂铁电层,例如掺杂氧化铪层或掺杂氧化锆铪层。应当理解,铪锆氧化物可以指包含铪、锆和氧的材料。铪锆氧化物可以进一步包括其他元素,例如掺杂剂。包含掺杂剂元素的铪锆氧化物可被称为掺杂铪锆氧化物。
因此,本文进一步描述了一种处理衬底的方法。该方法包括向处理室提供衬底。该方法还包括执行多个沉积循环。沉积循环包括铪前体脉冲、锆前体脉冲、氧反应物脉冲和掺杂剂前体脉冲。铪前体脉冲包括将衬底暴露于铪前体。锆前体脉冲包括将衬底暴露于锆前体。氧反应物脉冲包括将衬底暴露于氧反应物。掺杂剂前体包括掺杂剂元素。因此,在衬底上形成掺杂铪锆氧化物层。
有利地,使用如本文所述的方法的实施例形成的铪锆氧化物层可以具有少量的唤醒循环、良好的耐久性和高剩余极化(2Pr)。
在一些实施例中,掺杂剂前体脉冲可以在铪前体脉冲和锆前体脉冲之一之后进行,而没有任何插入的氧反应物脉冲。在一些实施例中,掺杂剂前体脉冲在铪前体脉冲之后进行,而没有任何插入的氧反应物脉冲。在一些实施例中,掺杂剂前体脉冲在锆前体脉冲之后进行,而没有任何插入的氧反应物脉冲。
在一些实施例中,形成掺杂铁电层(例如掺杂铪锆氧化物层)的原子层沉积过程或其他循环沉积过程因此可使用下式表示:
N[x(B1+A1)+y(B2+A2)+z(C)], (i)
其中,N是沉积循环的次数,x是每个沉积循环的第一金属子循环的次数,y是每个沉积循环的第二金属子循环的次数,z是每个沉积循环的掺杂剂脉冲的次数,B1表示第一氧反应物的脉冲,B2表示第二氧反应物的脉冲,A1表示第一金属前体的脉冲,A2表示第二金属前体的脉冲,C表示掺杂剂前体的脉冲。因此,式(i)表明所讨论的循环沉积过程包括N个超级循环,并且超级循环包括x个后续第一金属子循环,接着是y个后续第二金属子循环,接着是z个掺杂剂前体脉冲。
在一些实施例中,第一金属子循环包括第一氧反应物脉冲,随后是第一金属前体脉冲。可替代地,第一金属子循环可以包括第一金属前体脉冲,随后是第一氧反应物脉冲。
在一些实施例中,第二金属子循环包括第二氧反应物脉冲,随后是第二金属前体脉冲。可替代地,第二金属子循环可以包括第二金属前体脉冲,随后是第二氧反应物脉冲。
合适地,第一氧反应物脉冲包括将衬底暴露于第一氧反应物,第二氧反应物包括将衬底暴露于第二氧反应物,第一金属前体脉冲包括将衬底暴露于第一金属前体,第二金属前体脉冲包括将衬底暴露于第二金属前体,掺杂剂前体脉冲包括将衬底暴露于掺杂剂前体。合适地,第一和第二氧反应物可以包含如本文所述的氧反应物。第一和第二氧反应物可以相同或不同。合适地,第一金属前体可以包括如本文所述的铪前体。合适地,第二金属前体可以包含本文所述的锆前体。合适地,掺杂剂前体包括如本文所述的掺杂剂元素。
在一些实施例中,形成掺杂铁电层(例如掺杂铪锆氧化物层)的原子层沉积过程或其他循环沉积过程可使用下式表示:
N[y(B2+A2)+x(B1+A1)+z(C)], (ii)
其类似于由式(i)表示的过程,除了第二金属子循环在第一金属子循环之前。
在一些实施例中,形成掺杂铁电层(例如掺杂铪锆氧化物层)的原子层沉积过程或其他循环沉积过程可使用下式表示:
N[y(B2+A2)+z(C)+x(B1+A1)], (iii)
其类似于由式(i)表示的过程,除了第二金属子循环在第一金属子循环之前,并且掺杂剂前体脉冲在第二金属子循环和第一金属子循环之间执行。
当然,其他排列也是可能的。例如,掺杂剂前体脉冲可以在第二金属子循环之前,并且第二金属子循环可以在第一金属子循环之前。作为另一种可能的排列,可以在第一金属子循环之后执行z1个掺杂剂前体脉冲,并且可以在第二金属子循环之后执行z2个掺杂剂前体脉冲。
有利地,当与在每个金属前体脉冲之后使用氧反应物脉冲的过程相比时,根据式(i)、(ii)或(iii)中任一个的原子层沉积过程或其他循环沉积过程可导致掺杂铁电层(例如使用本文所述方法的实施例形成的掺杂铪锆氧化物层)中的掺杂剂结合减少。
在一些实施例中,本文所述的方法可以包括形成包含两种或更多种不同掺杂剂元素的掺杂铪锆氧化物层。具体而言,在一些实施例中,形成掺杂铁电层(例如掺杂铪锆氧化物层)的原子层沉积过程或其他循环沉积过程可使用下式中的一个或多个来表示:
N[y(B2+A2)+z(C1)+x(B1+A1)+α(C2)] (iv),以及
N[x(B1+A1)+z(C1)+y(B2+A2)+α(C2)] (v)
其中,分子式和符号的定义与前面类似。此外,应当理解,在式iv和v中,z表示顺序执行的第一掺杂剂前体脉冲的数量,α表示顺序执行的第二掺杂剂前体脉冲的数量,C1表示第一掺杂剂前体脉冲,C2表示第二掺杂剂前体脉冲。
在一些实施例中,参数x、y、z和α可以独立地选自从至少1到至多100、或从至少2到至多50、或从至少5到至多20、或从至少10到至多15的整数。在一些实施例中,N为至少2到至多10000、或至少5到至多20、或至少20到至多100、或至少100到至多500、或至少500到至多2000、或至少2000到至多5000、或至少5000到至多10000。在一些实施例中,x、y、z和α是1。
在一些实施例中,掺杂剂元素能够形成具有萤石晶体结构的氧化物。能够形成具有萤石晶体结构的氧化物的合适掺杂剂元素包括锡、碲、铈、铅、钌、钯、铼、锇、铱、铂、钼和钨。因此,这种掺杂剂元素能够采用MO2和MF2结构中的至少一种。
在一些实施例中,掺杂剂元素的离子半径大于Zr的原子半径。在一些实施例中,掺杂剂元素具有优选的+4氧化态。在一些实施例中,对于相同的电离度,掺杂剂元素的离子半径大于Zr的离子半径。例如,当电离度为+1、+2或+3元电荷时,掺杂剂元素可以具有比Zr更大的离子比。在一些实施例中,掺杂剂元素具有优选的+4氧化态,并且对于相同的电离度,掺杂剂元素的离子半径大于Zr的离子半径。
在一些实施例中,掺杂剂元素包括铈。
在一些实施例中,掺杂剂元素包括镧。
在一些实施例中,掺杂剂元素选自锡、碲、铈和铅。
在一些实施例中,掺杂剂元素是锶。
在一些实施例中,掺杂剂元素选自钌、钯、铼、锇、铱和铂。
在一些实施例中,掺杂剂元素是钼或钨。
在一些实施例中,掺杂剂元素是钌(Ru)。
在一些实施例中,诸如掺杂铪锆氧化物的掺杂铁电层可以包括两种或更多种掺杂剂。例如,两种或更多种掺杂剂可以包括选自锡、碲、铈、铅、锶、钌、钯、铼、锇、铱、铂、钼和钨的两种或更多种掺杂剂元素。例如,两种或更多种掺杂剂可以包括钌和锶。这种掺杂铁电层可以通过执行多个沉积循环来形成,其中来自多个沉积循环中的沉积循环包括执行两种不同的掺杂剂前体,即第一掺杂剂前体和第二掺杂剂前体,其中第一掺杂剂脉冲包括将衬底暴露于第一掺杂剂前体,第一掺杂剂前体包括第一掺杂剂元素,并且其中第二掺杂剂脉冲包括将衬底暴露于第二掺杂剂前体,第二掺杂剂前体包括不同于第一掺杂剂元素的第二掺杂剂元素。第一掺杂剂元素和第二掺杂剂元素可以独立地选自锡、碲、铈、铅、锶、钌、钯、铼、锇、铱、铂、钼和钨。
在一些实施例中,本文所述的方法采用包括表面层的衬底。
在一些实施例中,表面层包括过渡金属氮化物,例如TiN。在一些实施例中,表面层包括过渡金属,例如W或Mo。
在一些实施例中,表面层包括导电氧化物,即表面层导电氧化物。在一些实施例中,表面层导电氧化物包括掺杂剂元素。在一些实施例中,表面层导电氧化物包括掺杂剂元素和氧。换句话说,衬底可以包括底部电极,该底部电极包括包含掺杂剂元素和氧的表面层导电氧化物。
在一些实施例中,这里描述的方法包括在执行多个沉积循环之前在衬底上形成表面层的步骤。表面层可以替代地称为底部电极。表面层包括表面层导电氧化物。在一些实施例中,表面层导电氧化物包括掺杂剂元素。在一些实施例中,表面层导电氧化物包括掺杂剂元素和氧。因此,可以在衬底上形成底部电极。
在一些实施例中,底部电极和顶部电极中的至少一个包括氧化钌(RuO2)。有利地,并且本发明不受任何特定理论或操作模式的约束,据信氧化钌电极可以通过充当萤石模板而在低温下有利地促进具有萤石结构(例如铪锆氧化物)的层(例如铁电层)的结晶。另外或可替代地,氧化钌电极由于其高功函数和低氧清除电位而可以降低漏电流。另外或可替代地,氧化钌电极对等效氧化物厚度的贡献不存在或可以忽略,因为RuO2是导电电极。
在一些实施例中,掺杂剂元素包括钌,并且底部电极和顶部电极中的至少一个包括氧化钌(RuO2)。
在一些实施例中,底部电极包括包含钌层和氧化钌层的双层。
在一些实施例中,底部电极和顶部电极中的至少一个包括钌、锶和氧。例如,底部电极和顶部电极中的至少一个可以包括钌酸锶,例如钌酸单锶。有利地,这种电极具有高功函数,这可以有利地降低包括这种电极的铁电电容器的漏电流。
在一些实施例中,底部电极和顶部电极中的至少一个包括钌、锶和氧;铁电层包括锶、钌或两者。例如,底部电极可以包括钌酸单锶,铁电层可以包括掺杂有钌、锶或两者的锆铪氧化物。
在一些实施例中,这里描述的方法还包括在铁电层上形成顶部电极的步骤。例如,铁电层可以包括掺杂或未掺杂铪锆氧化物层。顶部电极包括顶部导电氧化物。顶部导电氧化物包括掺杂剂元素。当与诸如TiN或W的典型电极(其可能遭受高的氧清除电势和中等的功函数)相比时,使用导电底部电极和导电顶部电极中的至少一个可以改善掺杂或未掺杂HfZrO2的铁电性质。此外,在与铁电层相同的反应器中沉积这种典型的电极可能是困难的、不切实际的或者甚至是不可能的,因此需要使用两个反应器,这可能导致成本增加。尽管如此,在一些实施例中,顶部电极可以包括过渡金属氮化物,例如TiN。在一些实施例中,顶部电极可以包括过渡金属,例如W或Mo。
合适的导电氧化物包括半导体氧化物。半导体氧化物可以是退化的或非退化的。半导体氧化物可以表现出n型导电性或p型导电性。在一些实施例中,半导体氧化物包括掺杂或未掺杂铟镓锌氧化物。在一些实施例中,半导体氧化物选自氧化钒、氧化铟和氧化铟锡。应当理解,铟镓锌氧化物可以指包括镓、锌、铟、氧以及可选的其他元素比如掺杂剂元素的材料。应当理解,氧化铟锡可以指包括铟、锡、氧以及可选的其他元素比如掺杂剂元素的材料。
在一些实施例中,表面层导电氧化物和顶部导电氧化物中的至少一个包括氧化钌。在这样的实施例中,在一些实施例中,掺杂剂元素可以包括钌。
在一些实施例中,表面层和顶部导电氧化物具有基本相同的成分。
在一些实施例中,表面层和顶部导电氧化物中的至少一个包括氧化钌。因此,在一些实施例中,表面层包含氧化钌;在一些实施例中,顶部导电氧化物包括氧化钌;并且在一些实施例中,表面层和顶部导电氧化物包括氧化钌。因此,可以制造夹在两个氧化钌电极之间的铁电层,例如掺杂或未掺杂铪锆氧化物层。有利地,表面层、铁电层和顶部导电电极可以在同一真空系统中顺序形成,而没有任何插入的真空中断。
应当理解,术语“顶部”和“底部”不一定指物理位置,而是可以用来简单地指一个或另一个特征、结构、层或方法步骤。在一些实施例中,术语“顶部”和“底部”可以由诸如“第一”和“第二”的其他术语代替。
在一些实施例中,表面层、铁电层和顶部导电电极可以在同一个反应室中形成。
可替代地,表面层和顶部导电电极中的至少一个可以在第一反应室中形成,铁电层可以在第二反应室中形成。应当理解,第一反应室和第二反应室包含在相同的真空系统中,反应室之间的衬底传送可以通过机械臂或其他装置进行,并且在第一和第二反应室之间的传送期间真空不会被破坏。
当表面层和顶部导电氧化物中的至少一个包括氧化钌时,掺杂剂元素也可以适当地包括钌。
在一些实施例中,在铁电层上形成顶部电极的步骤之前对铁电层进行退火。因此,可以提高铁电层的材料质量,而无需对顶部电极进行相同的热处理。
在一些实施例中,执行多个沉积循环的步骤和对铁电层进行退火的步骤在同一处理室中进行。这样做可以有利地提高产量和材料质量中的至少一个。
在一些实施例中,在包括第一处理室和第二处理室的系统中实施本文所述的方法。在这样的实施例中,执行多个沉积循环的步骤和对铁电层进行退火的步骤可以在第一处理室中进行,并且形成顶部电极的步骤可以在第二处理室中进行。
在一些实施例中,在包括第一处理室、第二处理室和第三处理室的系统中执行本文所述的方法。在这样的实施例中,执行多个沉积循环的步骤可以在第一处理室中进行,对铁电层进行退火的步骤可以在第二处理室中进行,形成顶部电极的步骤可以在第三处理室中进行。可选地,也可以在第三处理室或第四处理室中形成底部电极。合适地,底部电极可以在铁电层形成之前形成。合适地,该系统可以包括机器人传送系统,其布置成将衬底从第一处理室、第二处理室和第三处理室之一传送到选自第一处理室、第二处理室和第三处理室的另一处理室,而没有任何插入的真空中断。
在一些实施例中,铪前体包括+4氧化态的铪。
在一些实施例中,铪前体包括选自烷基酰胺基配体、烷氧基配体、环戊二烯基配体、β-二酮配体、烷基配体、脒基配体和卤化物配体的一种或多种配体。
在一些实施例中,铪前体可以包括烷基酰胺基配体和二烷基酰胺基配体中的至少一种。合适的烷基胺铪包括四(二甲基氨基)铪、四(二乙基氨基)铪和四(乙基甲基氨基)铪。
在一些实施例中,铪前体包括卤化铪,例如氯化铪、溴化铪或碘化铪。合适的氯化铪包括HfCl4。合适的溴化铪包括HfBr4。合适的碘化铪包括HfI4
在一些实施例中,铪前体包括杂配型铪前体。在一些实施例中,杂配型铪前体包括未取代的或烷基取代的环戊二烯基铪配体。在一些实施例中,铪前体包括一个或多个烷基酰胺基配体。在一些实施例中,铪前体包括烷基酰胺基配体和未取代的或烷基取代的环戊二烯基配体。合适的铪前体包括HfCp(NMe2)3,即三(二甲基氨基)环戊二烯基铪。
在一些实施例中,锆前体包括+4氧化态的锆。
在一些实施例中,锆前体包含选自烷基酰胺基配体、烷氧基配体、环戊二烯基配体、烷基环戊二烯基配体、β-二酮配体、烷基配体、脒基配体和卤化物配体的一种或多种配体。
在一些实施例中,锆前体可以包括烷基酰胺基配体和二烷基酰胺基配体中的至少一种。合适的烷基锆胺包括四(二甲基氨基)锆、四(二乙基氨基)锆和四(乙基甲基氨基)锆。
在一些实施例中,锆前体包括杂配型锆前体。在一些实施例中,杂配型锆前体包含未取代的或烷基取代的锆环戊二烯基配体。在一些实施例中,锆前体包含一个或多个烷基酰胺基配体。在一些实施例中,锆前体包含烷基酰胺基配体和未取代的或烷基取代的环戊二烯基配体。合适的锆前体包括HfCp(NMe2)3,即三(二甲基氨基)环戊二烯基锆。
在一些实施例中,掺杂剂前体包括+4氧化态的掺杂剂元素。在一些实施例中,第一掺杂剂前体包括+4氧化态的掺杂剂元素。在一些实施例中,第二掺杂剂前体包括+4氧化态的掺杂剂元素。在一些实施例中,铪前体包括+4氧化态的铪,锆前体包括+4氧化态的锆,掺杂剂前体包括+4氧化态的掺杂剂元素。
在一些实施例中,掺杂剂前体包括可由式M(RCp)x(L)y表示的化合物,其中M是稀土金属,其中R选自H、Me、Et、iPr和tBu,并且其中L选自N,N’-二异丙基乙脒、N,N’-二叔丁基乙脒、N,N’-二异丙基甲脒和N,N’-二叔丁基甲脒。
在一些实施例中,如本文所述的形成掺杂铪锆氧化物的过程包括脉冲调制两种不同的掺杂剂前体,特别是第一掺杂剂前体和第二掺杂剂前体。在一些实施例中,第一掺杂剂前体和第二掺杂剂独立地选自可由式M(RCp)x(L)y表示的化合物,其中M是稀土金属,其中R选自H、Me、Et、iPr和tBu,并且其中L选自N,N’-二异丙基乙脒、N,N’-二叔丁基乙脒、N,N’-二异丙基甲脒和N,N’-二叔丁基甲脒。
合适的稀土金属包括镧、铈、镨、钕、钷、钐、铕、钆、铽、镝、钬、铒、铥、镱和镥。
在一些实施例中,镧前体包括+4氧化态的镧。
在一些实施例中,镧前体包括选自烷基酰胺基配体、烷氧基配体、环戊二烯基配体、烷基环戊二烯基配体、β-二酮配体、烷基配体、脒基配体和卤化物配体的一种或多种配体。
在一些实施例中,镧前体包括可由式La(RCp)2(L)表示的化合物,其中R选自H、Me、Et、iPr和tBu,并且其中L选自N,N’-二异丙基乙脒、N,N’-二叔丁基乙脒、N,N’-二异丙基甲脒和N,N’-二叔丁基甲脒。
在一些实施例中,钌前体包括+2或更低氧化态的钌,例如+2、+1或0氧化态的钌。这种相对较低的Ru氧化态与ALD沉积过程相关,该过程包括使用具有相对较快成核和较低ALD窗温度的钌前体,而不会显著影响每个循环的生长。此外,当钌前体用于形成导电金属氧化物电极,例如顶部电极或底部电极时,钌前体氧化态仅与电阻率微弱相关。因此,使用包含低氧化态(例如氧化态为0)的钌和氧反应物(例如O2)的钌前体的ALD过程可以有利地提供低沉积温度、低电阻率和快速成核。
在一些实施例中,钌前体可以包括+3或+4氧化态的钌。
在一些实施例中,钌前体包括+8氧化态的钌。这种前体的示例包括RuO4
在一些实施例中,钌前体包括一个或多个烷基取代的苯配体和一个或多个二烯配体。这种前体的示例包括Ru(乙苯)(1,3-丁二烯)。
在一些实施例中,钌前体包括一个或多个烷基取代的二烯配体和一个或多个羰基配体。这种前体的示例包括Ru(2,3-二甲基-1,3-丁二烯)(CO)3
在一些实施例中,钌前体包括环己二烯配体,例如1,3-环己二烯或1,4-环己二烯配体。这种前体的示例包括(异丙基甲苯)(环己二烯)钌。
在一些实施例中,钌前体包括丁二烯配体,例如1,3-丁二烯配体。这种前体的示例包括(乙苯)(1,3-丁二烯)钌。
在一些实施例中,钌前体包括一个或多个螯合或非螯合烷氧基配体。
在一些实施例中,钌前体可以包括螯合配体。例如,钌前体可以包括β-二酮配体。例如,钌前体可以包括三(2,2,6,6-四甲基-3,5-庚二酮基)钌(III)。
在一些实施例中,钌前体包括钌π络合物。在一些实施例中,钌前体可以包括一个或多个取代或未取代的环戊二烯基配体。例如,钌前体可以包含双(η5-乙基环戊二烯基)钌(II)、(η6-异丙基甲基苯)(η5-环庚二烯基)钌、(η6-乙基苯)(η5-环庚二烯基)钌、(η6-乙基苯)(η5-乙基环庚二烯基)钌和双(η5-环戊二烯基)钌(II)中的至少一种。
在一些实施例中,钌前体包括一个或多个杂环配体,例如杂环芳族配体。在一些实施例中,钌前体可以包括至少一个取代或未取代的吡啶配体。在一些实施例中,吡啶配体可以包含一个或多个烷基取代基。合适的烷基取代基可以包括甲基、乙基、丙基和丁基取代基。例如,钌前体可以包含(η5-乙基环戊二烯基)(吡啶)钌(II)和双(二甲基吡啶)钌(II)中的至少一种。
在一些实施例中,钌前体包括一个或多个直链、支链或环状二烯基配体。例如,钌前体可以包含双(η5-2,4-二甲基戊二烯基)钌(II)和阴离子二烯基配体比如Ru(η5-环庚二烯基)2中的至少一种。在一些实施例中,钌前体包括丁二烯衍生配体和环己二烯衍生配体中的至少一种。
在一些实施例中,钌前体包括一个或多个羰基配体。例如,钌前体可以包含一个或多个羰基配体和一个或多个环戊二烯基配体。例如,钌前体可以包含一个或多个羰基配体、一个或多个环戊二烯基配体和一个或多个烷基配体。例如,钌前体可以包括(环戊二烯基)双(羰基)乙基钌(II)。
在一些实施例中,铈前体包括+4氧化态的铈。
在一些实施例中,铈前体包括+3氧化态的铈。
在一些实施例中,铈前体包含选自烷基酰胺基配体、二烷基酰胺基配体、环戊二烯基配体、烷基环戊二烯基配体、脒基配体、β-二酮配体和醇盐配体的一种或多种配体。
在一些实施例中,铈前体包括可由式Ce(RCp)2(L)表示的化合物,其中R选自H、Me、Et、iPr和tBu,并且其中L选自N,N’-二异丙基乙脒、N,N’-二叔丁基乙脒、N,N’-二异丙基甲脒和N,N’-二叔丁基甲脒。
在一些实施例中,钪前体包括+4氧化态的钪。
在一些实施例中,钪前体包括+3氧化态的钪。
在一些实施例中,钪前体包括选自烷基酰胺基配体、二烷基酰胺基配体、环戊二烯基配体、烷基环戊二烯基配体、脒基配体、β-二酮酸酯配体和醇盐配体的一种或多种配体。
在一些实施例中,钪前体包括环戊二烯基配体,例如三(环戊二烯基)钪。
在一些实施例中,钪前体包括阳离子钪酰胺络合物。这种前体的示例是Sc[N(SiHMe2)2]3(THF),其中Me代表甲基,THF代表四氢呋喃。
在一些实施例中,钪前体包括脒基和未取代的或烷基取代的环戊二烯基配体。这种前体的示例包括Sc(Cp)2(NiPr Me-amd)、Sc(EtCp)2(NiPr Me-amd)和Sc(iPrCp)2(NiPr Me-amd)。应当理解,Cp代表环戊二烯基,iPr代表异丙基,Me代表甲基,amd代表脒基,NiPr表示氮键合的异丙基。在公开号为US2016/0315168Al的美国专利申请中解释了这种前体命名,并公开了生产这种前体的方法。
在一些实施例中,钪前体包括可由式Sc(RCp)2(L)表示的化合物,其中R选自H、Me、Et、iPr和tBu,并且其中L选自N,N’-二异丙基乙脒、N,N’-二叔丁基乙脒、N,N’-二异丙基甲脒和N,N’-二叔丁基甲脒。
在一些实施例中,氧反应物包括H2O、H2O2、O2、O3、N2O、NO和NO2中的一种或多种。
本文进一步描述了一种包括一个或多个处理室的系统。系统还包括铪前体源。铪前体源包括铪前体。系统还包括锆前体源。锆前体源包括锆前体。系统还包括掺杂剂前体源。掺杂剂前体源包括掺杂剂前体。系统还包括氧反应物源。氧反应物源包括氧反应物。系统还包括控制器。控制器配置为控制进入一个或多个处理室的气体流,并通过本文描述的方法处理衬底。
在示例性实施例中,参考图1。图1示出了所述方法的实施例。该方法包括向第一处理室提供衬底的步骤111。然后,该方法包括在衬底上形成铁电层的步骤112。铁电层可以包括掺杂或未掺杂HfZrO2。可替代地,铁电层可以包括掺杂HfO2。合适地,铁电层可以通过诸如原子层沉积的循环沉积过程来形成。在一些实施例中,铁电层通过本文描述的方法形成。在进一步的步骤113中,衬底经受退火步骤。例如,衬底可以在包含稀有气体的基本惰性的退火环境中退火。其他合适的退火环境包括含氧环境,例如含O2环境。合适地,退火可以在至少300℃到至多500℃或者至少350℃到至多450℃的退火温度下进行,例如在约400℃的温度下。退火之后,衬底可被转移114到第二处理室。第二处理室可以是专用处理室,或者是另一个室,例如装载锁。合适地,第一处理室和第二处理室可以包含在同一真空系统中,使得可以在第一处理室和第二处理室中的衬底上进行处理,而没有任何插入的真空中断,即可以在第一处理室和第二处理室中处理这些过程,而不会在第一处理室和第二处理室中的过程之间将衬底暴露于大气中。在第二处理室中,顶部电极可以在进一步的步骤115中形成在铁电层上。合适的顶部电极可以使用原子层沉积过程形成,并且包括半导体氧化物,例如铟镓锌氧化物(IGZO)、铟锡氧化物(ITO)、氧化镍(NiO)和氧化亚铜(Cu2O)。因此,可以对铁电层进行热处理以改善其性能,而不对顶部电极进行可能损害其性能的热处理。在形成顶部电极的步骤115之后,该方法结束116,并且如果需要,衬底可以经受进一步的处理步骤。
图2示意性地示出了如本文所述的方法的实施例的过程流程。该方法包括将衬底定位在衬底支撑件上的步骤211。然后,该方法包括执行212氧反应物脉冲。氧反应物脉冲包括将衬底暴露于氧反应物。在氧反应物脉冲期间,可以使用本文所述的任何合适的氧反应物。合适的氧反应物包括含氧反应物比如O2和O3、含氧和氢的反应物比如H2O和H2O2以及含氧和氮的反应物比如N2O、NO和NO2。可选地,然后进行后氧反应物吹扫213。后氧反应物吹扫213包括将衬底暴露于氧反应物。然后,该方法包括执行214前体脉冲。前体脉冲包括将衬底暴露于前体的步骤。合适的前体包括本文所述的铪前体或锆前体。在前体脉冲214之后,该方法可选地包括执行215后前体吹扫。重复220氧反应物脉冲212、前体脉冲214和它们各自可选的吹扫213、215一次或多次,直到已经沉积了具有期望厚度的材料。在已经沉积了具有期望厚度的材料之后,该方法结束218。
图3示意性地示出了根据本文所述方法的另一实施例的过程流程。该方法包括将衬底定位在衬底支撑件上的步骤311。然后,该方法包括执行312氧反应物脉冲。氧反应物脉冲包括将衬底暴露于氧反应物。在氧反应物脉冲期间,可以使用本文所述的任何合适的氧反应物。可选地,然后进行后氧反应物吹扫313。后氧反应物吹扫313包括将衬底暴露于氧反应物。然后,该方法包括执行314第一前体脉冲。第一前体脉冲包括将衬底暴露于第一前体的步骤。合适的第一前体包括本文所述的铪前体或锆前体。在第一前体脉冲314之后,该方法可选地包括执行315第一后前体吹扫。氧反应物脉冲312、第一前体脉冲314以及它们各自的可选吹扫313、315被重复320一次或多次,以形成一个或多个第一前体循环320。然后,执行掺杂剂前体脉冲316。掺杂剂前体脉冲316包括将衬底暴露于掺杂剂前体。本文别处公开了合适的掺杂剂前体。在掺杂剂前体脉冲316之后,进行后掺杂剂前体吹扫317。后掺杂剂前体吹扫包括将衬底暴露于吹扫气体。第一前体循环320、掺杂剂前体脉冲316和任何相应的吹扫被重复319一次或多次,以形成一个或多个超级循环319。在已经执行了适当数量的超级循环319之后,该方法结束。
图4示意性地示出了根据本文所述方法的另一实施例的过程流程。该方法包括将衬底定位在衬底支撑件上的步骤411。然后,该方法包括执行412第一氧反应物脉冲。第一氧反应物脉冲包括将衬底暴露于第一氧反应物。在第一氧反应物脉冲期间,可以使用本文所述的任何合适的氧反应物。然后,该方法包括执行413第一前体脉冲。第一前体脉冲包括将衬底暴露于第一前体的步骤。合适的第一前体包括本文所述的铪前体或锆前体。氧反应物脉冲412和第一前体脉冲413形成第一子循环419,其可以可选地重复419一次或多次。
然后,图4的方法包括执行414第二氧反应物脉冲。第二氧反应物脉冲414包括将衬底暴露于第二氧反应物。在第二氧反应物脉冲期间,可以使用本文所述的任何合适的氧反应物。然后,该方法包括执行415第二前体脉冲。第二前体脉冲415包括将衬底暴露于第二前体的步骤。合适的第二前体包括本文所述的铪前体或锆前体。应当理解,第一前体和第二前体是不同的。第一氧反应物和第二氧反应物可以相同或不同。第二氧反应物脉冲414和第二前体脉冲415形成第二子循环420,其可以可选地重复420一次或多次。
一个或多个第一子循环419和一个或多个第二子循环420一起形成沉积循环418。沉积循环418可以可选地重复一次或多次。然后,执行掺杂剂前体脉冲416。掺杂剂前体脉冲416包括将衬底暴露于掺杂剂前体。本文别处公开了合适的掺杂剂前体。注意,可选地,可以在图4的当前描述的方法的实施例中执行的一个或多个脉冲之后执行吹扫。
一个或多个沉积循环418和随后的掺杂剂前体脉冲416一起形成超级循环421。可选地,超级循环421重复一次或多次。在已经执行了适当数量的超级循环421之后,该方法结束417。
图5示意性地示出了根据本文所述方法的另一实施例的过程流程。该方法包括将衬底定位在衬底支撑件上的步骤511。然后,该方法包括执行512第一氧反应物脉冲。第一氧反应物脉冲包括将衬底暴露于第一氧反应物。在第一氧反应物脉冲期间,可以使用本文所述的任何合适的氧反应物。然后,该方法包括执行513第一前体脉冲。第一前体脉冲包括将衬底暴露于第一前体的步骤。合适的第一前体包括本文所述的铪前体或锆前体。第一氧反应物脉冲512和第一前体脉冲513形成第一子循环519,其可以可选地重复519一次或多次。
一个或多个第一子循环519之后是掺杂剂前体脉冲516。掺杂剂前体脉冲516包括将衬底暴露于掺杂剂前体。本文别处公开了合适的掺杂剂前体。一个或多个第一子循环519和掺杂剂前体脉冲516一起形成第一循环518,该第一循环可以可选地重复518一次或多次。
然后,图5的方法包括执行514第二氧反应物脉冲。第二氧反应物脉冲514包括将衬底暴露于第二氧反应物。在第二氧反应物脉冲期间,可以使用本文所述的任何合适的氧反应物。然后,该方法包括执行515第二前体脉冲。第二前体脉冲515包括将衬底暴露于第二前体的步骤。合适的第二前体包括本文所述的铪前体或锆前体。应当理解,第一前体和第二前体是不同的。第一氧反应物和第二氧反应物可以相同或不同。第二氧反应物脉冲514和第二前体脉冲515形成第二子循环520,其可以可选地重复520一次或多次。注意,可选地,可以在图5的当前描述的方法的实施例中执行的一个或多个脉冲之后执行吹扫。
一个或多个第一循环518和随后的一个或多个第二子循环520一起形成超级循环521。可选地,超级循环521重复一次或多次。在已经执行了适当数量的超级循环521之后,该方法结束517。
图6示意性地示出了根据本文所述方法的另一实施例的过程流程。该方法包括将衬底定位在衬底支撑件上的步骤611。然后,该方法包括执行612第一氧反应物脉冲。第一氧反应物脉冲包括将衬底暴露于第一氧反应物。在第一氧反应物脉冲期间,可以使用本文所述的任何合适的氧反应物。然后,该方法包括执行613第一前体脉冲。第一前体脉冲包括将衬底暴露于第一前体的步骤。合适的第一前体包括本文所述的铪前体或锆前体。第一氧反应物脉冲612和第一前体脉冲613形成第一子循环619,其可以任选地重复619一次或多次。
一个或多个第一子循环619之后是第一掺杂剂前体脉冲614。第一掺杂剂前体脉冲614包括将衬底暴露于掺杂剂前体。本文别处公开了合适的掺杂剂前体。一个或多个第一子循环619和掺杂剂前体脉冲614一起形成第一循环622,该第一循环可以可选地重复622一次或多次。
然后,图6的方法包括执行615第二氧反应物脉冲。第二氧反应物脉冲615包括将衬底暴露于第二氧反应物。在第二氧反应物脉冲期间,可以使用本文所述的任何合适的氧反应物。然后,该方法包括执行616第二前体脉冲。第二前体脉冲616包括将衬底暴露于第二前体的步骤。合适的第二前体包括本文所述的铪前体或锆前体。第二氧反应物脉冲615和第二前体脉冲616形成第二子循环620,其可以可选地重复620一次或多次。在一个或多个第二子循环620之后,执行第二掺杂剂前体脉冲617。第二掺杂剂前体脉冲617包括将衬底暴露于掺杂剂前体。本文别处公开了合适的掺杂剂前体。一个或多个第二子循环620和第二掺杂剂前体脉冲617一起形成第二循环623,其可以可选地重复623一次或多次。注意,可选地,可以在图6的当前描述的方法的实施例中执行的一个或多个脉冲之后执行吹扫。应当理解,第一前体和第二前体是不同的。第一氧反应物和第二氧反应物可以相同或不同。第一掺杂剂前体和第二掺杂剂前体可以相同或不同。在一些实施例中,第一掺杂剂前体包括与包含在第二掺杂剂前体中的第二掺杂剂相同的第一掺杂剂。在一些实施例中,第一掺杂剂前体包括不同于包含在第二掺杂剂前体中的第二掺杂剂的第一掺杂剂。
一个或多个第一循环622和随后的一个或多个第二循环623一起形成超级循环621。超级循环621重复一次或多次。在已经执行了适当数量的超级循环621之后,图6的方法结束617。
根据图6的方法可以包括在反应室内将衬底加热到期望的沉积温度。在一些实施例中,根据图6的方法包括将衬底加热到低于800℃的温度。例如,在本公开的一些实施例中,将衬底加热到沉积温度可以包括将衬底加热到以下之间的温度:约20℃和约800℃、约100℃和约500℃、约150℃和约450℃、或约200℃和约400℃、或约200℃和约250℃、或约250℃和约300℃、或约300℃和约350℃、或约350℃和约400℃。
除了控制衬底的温度,还可以调节反应室内的压力。例如,在本公开的一些实施例中,在根据图2的方法期间,反应室内的压力可以小于760托,或者在0.2托和760托、约1托和100托、或约1托和10托、或约0.5托和10托之间,或者小于3托、或小于2托、或小于1托。
在一些实施例中,根据图6的方法可以在至少1托到至多5托的压力下以及在至少175℃到至多300℃的温度下进行。合适的第一前体包括铪前体,例如均配型铪前体,例如包含烷基酰胺基配体的均配型铪前体,例如四(乙基甲基酰胺基)铪(IV)。合适的第二前体包括锆前体,例如均配型锆前体,例如包含烷基酰胺基配体的均配型锆前体,例如四(乙基甲基酰胺基)锆(IV)。在一些实施例中,锆前体可以用作第一前体,铪前体可以用作第二前体,反之亦然。在一些实施例中,第一氧反应物包括臭氧,第二氧反应物包括水。在一些实施例中,使用臭氧作为氧反应物的循环可以在至少275℃到至多300℃的衬底温度下进行。在一些实施例中,使用水作为氧反应物的循环可以在至少175℃到至多250℃的温度下进行。
图7示出了根据本公开的示例性实施例的系统700。系统700可以配置成执行如本文所述的方法和/或形成如本文所述的结构或装置部分。在图示的示例中,系统700包括一个或多个反应室702、第一前体气体源704、掺杂剂前体气体源706、氧反应气体源708、排气装置710和控制器712。在一些实施例中,该系统还包括第二前体气体源(未示出)和第二掺杂剂前体气体源(未示出)中的至少一个。反应室702可以包括ALD反应室。
第一前体气体源704可以包括容器和本文所述的一种或多种前体—单独或与一种或多种载气(例如稀有气体)混合。掺杂剂前体气体源706可以包括容器和如本文所述的一种或多种掺杂剂前体—单独或与一种或多种载气混合。氧反应物气体源308可以包括如本文所述的一种或多种氧反应物。
尽管示出了四个气体源704-708,但是系统700可以包括任何合适数量的气体源。气体源704-708可以经由管线714-718耦合到反应室702,管线714-718可以各自包括流量控制器、阀、加热器等。排气装置710可以包括一个或多个真空泵。
控制器712包括电子电路和软件,以选择性地操作系统700中包括的阀、歧管、加热器、泵和其他部件。这种电路和部件用于从相应源704-708引入前体、反应物和吹扫气体。控制器712可以控制气体脉冲序列的定时、衬底和/或反应室的温度、反应室内的压力以及各种其他操作,以提供系统700的正确操作。控制器712可以包括控制软件,以电动或气动控制阀来控制前体、反应物和吹扫气体流入和流出反应室702。控制器712可以包括执行某些任务的模块,比如软件或硬件部件,例如FPGA或ASIC。模块可以有利地配置为驻留在控制系统的可寻址存储介质上,并且配置为执行这里描述的一个或多个过程。
系统700的其他配置是可能的,包括不同数量和种类的前体和氧反应物源,并且可选地还包括吹扫气体源。例如,系统700可以进一步包括第二掺杂剂前体源,其包括如本文所述的第二掺杂剂前体。此外,应当理解,有许多阀、导管、前体源和吹扫气体源的布置可用于实现选择性地将气体供给到反应室702中的目标。此外,作为系统的示意性表示,为了简化说明,已经省略了许多部件,并且这些部件可以包括例如各种阀、歧管、净化器、加热器、容器、通风口和/或旁路。
在系统700的操作期间,诸如半导体晶片(未示出)的衬底从例如衬底处理系统转移到反应室702。一旦衬底被转移到反应室702,来自气体源704-708的一种或多种气体比如前体、反应物、载气和/或吹扫气体被引入反应室702。
在一些实施例中,诸如图7的系统(700)的系统可被构造和布置用于在同一反应室中形成底部电极、中间层和顶部电极。中间层可以包括高k层、反铁电层和铁电层中的一个或多个。在一些实施例中,诸如图7的系统700的系统可被构造和布置用于在同一反应室702中形成氧化钌底部电极、氧化锆铪层和氧化钌顶部电极。可选地,铪锆氧化物层可以掺杂有钌。在同一反应室702中沉积这些层意味着这些层之间的界面,例如RuO2/HfZrO2界面是原始的,没有任何空气传播的污染物或无意的氧化。沉积诸如RuO2的导电电极也可以导致过程产量的提高,减少泄漏并降低诸如HfZrO2的中间层的结晶温度。
在另一示例中,参考图8。图8包括两个数据集:左侧数据集和右侧数据集。表示为ABC的左侧数据集示出了当镧脉冲跟随前体脉冲时镧浓度作为子循环比的函数。表示为STD的右侧数据集示出了当镧脉冲跟随氧反应物脉冲时镧浓度作为子循环比的函数。注意,镧浓度示出在纵轴上,并以原子百分比表示。STD和ABC膜都具有约10nm的目标厚度。用光谱椭偏仪测量,ABC膜具有稍低的厚度,这与较低的La掺杂剂掺入量一致(见下文)。使用x射线光电子能谱进行成分分析。
在根据本示例的ABC沉积过程中,使用根据下式的ALD脉冲方案:N[x(B+A1+B+A2)+C],其中N是沉积循环的次数,x是铪锆氧化物子循环的次数,B表示H2O的脉冲,A1表示铪前体的脉冲,A2表示铪前体的脉冲,C表示镧前体的脉冲。在根据本示例的实施例中,铪锆氧化物子循环指的是H2O脉冲、铪前体脉冲、H2O脉冲和锆前体脉冲的序列;按照给定的顺序。这种ABC沉积过程的特征是镧前体脉冲跟随铪前体脉冲。在ABC沉积过程中,子循环比被定义为等于1/(1+x),换句话说,子循环比是C脉冲的数量除以铪锆氧化物子循环的数量。ABC沉积过程有利地允许在使用ALD形成的铪锆氧化物膜中引入微量的镧,甚至在高子循环比下,这可以在所得到的镧掺杂的铪锆氧化物膜中提供优异的镧掺杂均匀性。
在对比的STD沉积过程中,使用根据以式的ALD脉冲方案:N[y(A1+B+A2+B)+C],其中N是沉积循环的次数,y是铪锆氧化物子循环的次数,B表示H2O的脉冲,A1表示铪前体的脉冲,A2表示铪前体的脉冲,C表示镧前体的脉冲。在根据本示例的实施例中,铪锆氧化物子循环指的是H2O脉冲、铪前体脉冲、H2O脉冲和锆前体脉冲的序列;按照给定的顺序。这种STD沉积过程的特征是镧前体脉冲跟随H2O脉冲。在STD沉积过程中,类似于STD沉积过程的情况,子循环比被定义为等于1/(1+y),换句话说,子循环比是C脉冲的数量除以铪锆氧化物子循环的数量。STD沉积过程导致镧浓度快速增加,作为增加子循环比的函数。因此,用STD沉积过程难以获得轻度掺杂镧的铪锆氧化物膜;当使用低子循环比时,可以获得仅含少量镧的铪锆氧化物,但是镧不倾向于均匀分布在这种膜中。
在图7的STD和ABC过程中,铪前体是四(乙基甲基氨基)铪,锆前体是四(乙基甲基氨基)锆,镧前体是La(iPrCp)2(iPr-amd),其中iPr代表异丙基,Cp代表环戊二烯基,amd代表脒基。
图9示出了根据本公开的示例的结构900。这种结构900适用于栅极环绕场效应晶体管(GAA FET)(也称为横向纳米线FET)器件等。
在所示示例中,结构900包括半导体材料902、介电材料904、中间层906和导电层908。介电材料904包括铁电层,例如氧化铪层、锆铪氧化物层或掺杂锆铪氧化物层。在一些实施例中,中间层906包括半导体氧化物,例如包括掺杂剂元素的半导体氧化物,该掺杂剂元素也包含在铁电层中。
在一些实施例中,铁电层的厚度小于20nm,或者从至少1nm到至多15nm,或者从至少2nm到至多10nm,或者从至少2nm到至多5nm,例如4nm。
结构900可以覆盖衬底形成,包括本文所述的任何衬底材料。如图所示,中间层906可以位于导电层908和介电材料906之间。
半导体材料902可以包括任何合适的半导体材料。例如,半导体材料902可以包括IV族、III-V族或II-VI族半导体材料。举例来说,半导体材料902可以包括硅。
图10示出了示例性电容器1000。在所示实施例中,它包括顶部电极1010、1070,该顶部电极包括两个部分,即内壳和外壳。尽管如此,顶部电极可以仅包括一个部分,或者可以包括多于两个部分,例如三个或更多个部分。应当理解,图10的实施例中的顶部电极1010、1070的两个部分彼此电连接(连接未示出),即应当理解,在正常操作期间,它们保持在相同或近似相同的电势。在一些实施例中,顶部电极1010、1070包括半导体氧化物,例如包括掺杂剂元素的半导体氧化物,该掺杂剂元素也包括在电容器1000所包括的铁电层中。
顶部电极1010、1070可以例如具有以下厚度:至少0.5nm到5.0nm,或至少1.0nm到至多4.0nm,或至少2.0nm到至多3.0nm,或至少0.5nm到至多2.5nm,或至少0.6nm到至多2.0nm,或至少0.7nm到至多1.5nm。电容器1000还包括底部电极1040。底部电极1040包括通过这里描述的方法沉积的层。在一些实施例中,底部电极1040的成分等于顶部电极1010、1070的成分。可替代地,底部电极1040的成分可以不同于顶部电极1010、1070的成分。底部电极1040可以例如具有以下厚度:至少1.0nm到至多10.0nm,或至少3.0nm到至多7.0nm,或至少0.5nm到5.0nm,或至少1.0nm到至多4.0nm,或至少2.0nm到至多3.0nm,或至少0.5nm到至多2.5nm,或至少0.6nm到至多2.0nm,或至少0.7nm到至多1.5nm。
底部电极1040通过一个或多个电介质层1020、1030与顶部电极1010的外壳分离。一个或多个电介质层1020、1030中的至少一个包括通过这里描述的方法形成的铁电层。所示实施例的特征在于两个电介质层1020、1030。一个或多个电介质层1020、1030可以包括高k电介质。在一些实施例中,电介质层1020具有与电介质层1030相同的成分。在一些实施例中,电介质层1020具有与电介质层1030不同的成分。两个电介质层1020、1030的组合厚度可以是例如从至少0.5nm到至多10.0nm,或至少1.0nm到至多8.0nm,或至少2.0nm到至多6.0nm,或至少3.0nm到至多4.0nm。顶部电极1070的内壳通过一个或多个电介质层1050、1060与底部电极1040分离。所示实施例的特征在于两个这样的电介质层。一个或多个电介质层1050、1060中的至少一个包括根据这里描述的方法的实施例形成的铁电材料。在一些实施例中,电介质层1050具有与电介质层1060相同的成分。在一些实施例中,电介质层1050具有与电介质层1060不同的成分。电介质层1050、1060的组合厚度可以是例如从至少0.5nm到至多10.0nm,或至少1.0nm到至多8.0nm,或至少2.0nm到至多6.0nm,或者至少3.0nm到至多4.0nm。在一些实施例中,顶部电极1010的外壳和底部电极1040之间的一个或多个电介质层1020、1030的厚度等于顶部电极1070的内壳和底部电极1040之间的一个或多个电介质层1050、1060的厚度,例如在小于2.0nm、或小于1.5nm、或小于1.0nm、或小于0.5nm、或小于0.4nm、或小于0.3nm、或小于0.2nm、或小于0.1nm的误差范围内。间隙填充电介质1080可以居中设置在电容器1080中。示例性间隙填充电介质包括低k电介质,例如SiOC、SiOCN等。
在另一示例中,参考图11。图11示意性地示出了包括第一处理室1110、第二处理室1120和第三处理室1130的系统1100。第一处理室1110可以布置用于在衬底上形成透明半导体氧化物层。在示例性实施例中,透明半导体氧化物层包括RuO2。第二处理室1120可以布置用于在衬底上形成铁电材料。合适的铁电材料包括掺杂钌的锆铪氧化物。第三处理室1130可以包括一个或多个加热元件,例如热交换器和红外灯。
在一些实施例中,根据图11的系统可以用于形成电容器。电容器可以包括夹在氧化钌电极之间的掺杂钌的铪锆氧化物层。例如,可以通过首先在第一处理室1110中形成氧化钌底部电极来制造这种电容器。然后,可以在第二处理室1120中在氧化钌底部电极上形成掺杂钌的铪锆氧化物层。然后,可以在第一处理室1110中在掺杂钌的铪锆氧化物层上形成氧化钌顶部电极。在形成底部电极、形成掺杂钌的铪锆氧化物层中的一个或多个之后,以及在形成顶部电极之后,可以在第三处理室1130中对衬底进行退火。合适地,前述步骤在同一系统1100中顺序执行,没有任何插入的真空中断。在一些实施例中,退火在低于500℃的温度下进行,例如在至少100℃到至多450℃的温度下,或在至少200℃到至多400℃的温度下,例如在300℃的温度下。
在示例性实施例中,根据图11的系统1100可以用于形成包括衬底、铁电层和电极的结构。具体地,铁电层可以在第二处理室1120中形成在衬底上。铁电层可以包括例如掺杂HfO2、HfZrO2和掺杂HfZrO2中的一种或多种。合适地,铁电层可以使用诸如原子层沉积的循环沉积过程来形成。然后,包括铁电层的衬底可以在第三处理室1130中退火。退火可以在例如400℃的温度下进行。退火可以在惰性气氛或氧化气氛中进行。合适的惰性气氛包括稀有气体,例如Ar和He。合适的氧化气氛包括包含含氧气体比如O2的气体或气体混合物。退火之后,可以在第三处理室1130中的铁电层上形成电极。合适地,可以使用循环沉积过程比如原子层沉积来形成电极。合适的半导体氧化物包括铟镓锌氧化物、铟锡氧化物、氧化镍和氧化亚铜。因此,可以使铁电层以期望的相结晶,并且电极在处理过程中不暴露于高温或恶劣环境,这可以改善其性能。
在一些实施例中,第三处理室1130可以用作装载锁。例如,第三处理室1130可以包括机械臂。因此,可以在最小化系统占地面积的同时提高产量。
在示例性实施例中,通过物理气相沉积而沉积的钌(Ru)被O3氧化,并形成包含元素钌和RuO2薄层的底部电极,在该底部电极上通过原子层沉积(ALD)沉积基本由铪锆氧化物构成的铁电层。臭氧(O3)氧化可以在任何合适的温度下发生,例如在275℃的温度下。然后溅射氮化钛作为顶部电极,以形成金属-绝缘体-金属(MIM)结构。作为溅射的替代,也可以使用利用循环沉积方法形成的氮化钛。根据电容-电压(CV)和电流-电压(IV)测量,所得的5nm HfZrO2表现出>40的高介电常数和极低的泄漏,特别是在高场状态下。通过进一步分析,发现高场状态下泄漏的减少是由于氧空位形成的减少(当使用氮化钛电极时,这可能是主要的)。高介电常数主要是由于这些层在相对低的温度(例如420℃)下成功结晶。进行了进一步的测试以确认暴露于O3时RuO2的形成。最后,将4nm HfZrO2沉积在氧化的Ru电极上以评估层的结晶,并且在400℃退火时,发现包含摩尔比为1:2的铪和锆的混合HfZrO2显示出优异的结晶。
在另一示例性实施例中,使用原子层沉积来沉积RuO2下电极。然后,RuO2下电极在惰性或基本惰性气体比如稀有气体如氩气中退火。然后,可以在下电极上形成绝缘层,例如电介质、铁电体或反铁电体。合适的绝缘层包括掺杂或未掺杂铪锆氧化物。然后,可以在绝缘层上形成顶部电极。合适的顶部电极包括过渡金属氮化物,例如氮化钛。在一些实施例中,顶部电极包括氧化钌。然后,可将所得结构退火,例如在400-500℃的温度下,例如在420℃下,持续30分钟至2小时,例如1小时。合适的退火环境包括含氮气体混合物,例如基本纯的N2

Claims (20)

1.一种处理衬底的方法,该方法包括:
-向处理室提供衬底;
-执行多个沉积循环,其中沉积循环包括铪前体脉冲、锆前体脉冲、氧反应物脉冲和掺杂剂脉冲;
-其中铪前体脉冲包括将衬底暴露于铪前体;
-其中锆前体脉冲包括将衬底暴露于锆前体;
-其中氧反应物脉冲包括将衬底暴露于氧反应物;
-其中第一掺杂剂脉冲包括将衬底暴露于第一掺杂剂前体,第一掺杂剂前体包括第一掺杂剂元素;
从而在衬底上形成掺杂铪锆氧化物层;
其中,在铪前体脉冲和锆前体脉冲之一之后执行第一掺杂剂前体脉冲,而没有任何插入的氧反应物脉冲。
2.根据权利要求1所述的方法,其中,所述沉积循环还包括第二掺杂剂脉冲,其包括将衬底暴露于第二掺杂剂前体,所述第二掺杂剂前体包括第二掺杂剂元素,所述第二掺杂剂元素不同于所述第一掺杂剂元素。
3.根据权利要求1至4中任一项所述的方法,其中,所述第一掺杂剂元素和所述第二掺杂剂元素中的至少一个包含铈。
4.根据权利要求1至3中任一项所述的方法,其中,所述第一掺杂剂元素包括镧。
5.根据权利要求1至4中任一项所述的方法,其中,所述第一掺杂剂元素选自锡、碲、铈和铅。
6.根据权利要求1至5中任一项所述的方法,其中,所述第一掺杂剂元素选自钌、钯、铼、锇、铱和铂。
7.根据权利要求1至6中任一项所述的方法,其中,所述第一掺杂剂元素是钼或钨。
8.根据权利要求2至5中任一项所述的方法,其中,所述第二掺杂剂元素独立于所述第一掺杂剂,选自铈、镧、锡、碲、铅、钌、钯、铼、锇、铱、铂、钼和钨。
9.根据权利要求1至8中任一项所述的方法,其中,所述第一掺杂剂前体和所述第二掺杂剂前体中的至少一个独立地选自可由式M(RCp)x(L)y表示的化合物,其中M是稀土金属,其中R选自H、Me、Et、iPr和tBu,并且其中L选自N,N’-二异丙基乙脒、N,N’-二叔丁基乙脒、N,N’-二异丙基甲脒和N,N’-二叔丁基甲脒。
10.根据权利要求1至9中任一项所述的方法,其中,所述衬底包括表面层,其中,所述铪锆氧化物层形成在表面层上,其中表面层包括表面层导电氧化物,其中表面层导电氧化物包括所述掺杂剂元素和氧。
11.根据权利要求1至9中任一项所述的方法,其中,执行所述多个沉积循环之前是形成表面层的步骤,所述表面层包括表面层导电氧化物,其中表面层导电氧化物包括所述掺杂剂元素和氧。
12.根据权利要求1至11中任一项所述的方法,还包括在所述铪锆氧化物层上形成顶部电极的步骤,所述顶部电极包括顶部导电氧化物,所述顶部导电氧化物包括所述掺杂剂元素。
13.根据权利要求10至12中任一项所述的方法,其中,所述表面层和所述顶部导电氧化物具有基本相同的成分。
14.根据权利要求11至13中任一项所述的方法,其中,所述表面层导电氧化物和所述顶部导电氧化物中的至少一个包括氧化钌,并且其中,所述掺杂剂元素包括钌。
15.根据权利要求12至14中任一项所述的方法,其中,在所述铪锆氧化物层上形成顶部电极的步骤之前对铪锆氧化物层进行退火。
16.根据权利要求15所述的方法,在包括处理室的系统中执行,其中执行多个沉积循环的步骤和对铪锆氧化物层进行退火的步骤在第一处理室中执行。
17.根据权利要求15所述的方法,在包括第一处理室和第二处理室的系统中进行,其中执行多个沉积循环的步骤和对铪锆氧化物层进行退火的步骤在第一处理室中进行,并且其中形成顶部电极的步骤在第二处理室中进行。
18.根据权利要求16所述的方法,在包括第一处理室、第二处理室和第三处理室的系统中执行,其中执行多个沉积循环的步骤在第一处理室中执行,其中对铪锆氧化物层进行退火的步骤在第二处理室中执行,并且其中形成顶部电极的步骤在第三处理室中执行。
19.一种系统,包括:一个或多个处理室;包含铪前体的铪前体源;包含锆前体的锆前体源;包含第一掺杂剂前体的第一掺杂剂前体源,包含第二掺杂剂前体的第二掺杂剂前体源;包含氧反应物的氧反应物源;以及控制器,
其中,所述控制器配置成控制进入所述一个或多个处理室的气体流,并通过根据权利要求1至18中任一项所述的方法来处理衬底。
20.一种填充前体源的方法,该前体源可操作地连接到根据权利要求19所述的系统,该方法包括:
-提供前体源;并且
-用选自铪前体、锆前体、第一掺杂剂前体和第二掺杂剂前体的前体填充前体源。
CN202310544592.2A 2022-05-18 2023-05-15 用于形成存储器器件及其部件的方法和系统 Pending CN117096019A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202263343190P 2022-05-18 2022-05-18
US63/343,190 2022-05-18

Publications (1)

Publication Number Publication Date
CN117096019A true CN117096019A (zh) 2023-11-21

Family

ID=88778013

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202310544592.2A Pending CN117096019A (zh) 2022-05-18 2023-05-15 用于形成存储器器件及其部件的方法和系统

Country Status (3)

Country Link
US (1) US20230377877A1 (zh)
KR (1) KR20230161351A (zh)
CN (1) CN117096019A (zh)

Also Published As

Publication number Publication date
US20230377877A1 (en) 2023-11-23
KR20230161351A (ko) 2023-11-27

Similar Documents

Publication Publication Date Title
US20210327715A1 (en) Method of forming chromium nitride layer and structure including the chromium nitride layer
US11885013B2 (en) Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11549177B2 (en) Process for passivating dielectric films
TW202130846A (zh) 形成包括釩或銦層的結構之方法
US9646820B2 (en) Methods for forming conductive titanium oxide thin films
Leskelä et al. Atomic layer deposition (ALD): from precursors to thin film structures
US9117773B2 (en) High concentration water pulses for atomic layer deposition
US8592294B2 (en) High temperature atomic layer deposition of dielectric oxides
KR20200099986A (ko) 반응 챔버에서 주기적 증착 공정에 의해 기판 상에 하프늄 란타늄 산화물 막을 증착하는 방법
US11643728B2 (en) Atomic layer deposition and etching of transition metal dichalcogenide thin films
CN117096019A (zh) 用于形成存储器器件及其部件的方法和系统
TW202409328A (zh) 處理基板之方法、系統及填充可操作地連接至系統的前驅物源之方法
US20230407476A1 (en) Selective deposition of material comprising noble metal
US20240136392A1 (en) Memory devices, components thereof, and related methods and systems
KR100490658B1 (ko) 반도체 소자의 절연박막 형성방법
US20230015690A1 (en) Methods and systems for forming a layer comprising a transitional metal and a group 13 element
KR20070114519A (ko) 캐패시터의 유전막 및 그 제조 방법과 이를 이용한 반도체소자의 캐패시터 및 그 제조 방법
KR20210132576A (ko) 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20240058027A (ko) 메모리 장치, 그 구성요소, 및 관련 방법 및 시스템
KR20230106520A (ko) 쌍극자 층을 포함한 반도체 구조를 형성하는 방법
CN114381711A (zh) 形成用于阈值电压控制的结构的方法
TW202143304A (zh) 用於在基板上形成層之方法、環繞式閘極p型金氧半導體場效電晶體、金屬-絕緣體-金屬金屬電極、vnand接點、及沉積系統
KR20230134990A (ko) 기판 표면 상의 갭 피처를 충진하기 위한 방법 및 시스템
KR20110047839A (ko) 다성분계 전도성산화물의 형성 방법 및 그를 이용한 캐패시터 제조 방법

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication