KR20230161351A - 메모리 소자 및 그의 구성 요소를 형성하기 위한 방법 및 시스템 - Google Patents

메모리 소자 및 그의 구성 요소를 형성하기 위한 방법 및 시스템 Download PDF

Info

Publication number
KR20230161351A
KR20230161351A KR1020230060063A KR20230060063A KR20230161351A KR 20230161351 A KR20230161351 A KR 20230161351A KR 1020230060063 A KR1020230060063 A KR 1020230060063A KR 20230060063 A KR20230060063 A KR 20230060063A KR 20230161351 A KR20230161351 A KR 20230161351A
Authority
KR
South Korea
Prior art keywords
precursor
dopant
pulse
hafnium
substrate
Prior art date
Application number
KR1020230060063A
Other languages
English (en)
Inventor
알레산드라 레온하르트
매튜 서먼
페르투 시폴라
란지스 카루파람빌 라마찬드란
찰스 데젤라
마이클 기븐스
안드레아 일리베리
타티아나 이바노바
레오 루코세
로렌조 보티글리에리
수비디야쿠마르 비노드 홈카르
비벡 콜라디 무테리
Original Assignee
에이에스엠 아이피 홀딩 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아이피 홀딩 비.브이. filed Critical 에이에스엠 아이피 홀딩 비.브이.
Publication of KR20230161351A publication Critical patent/KR20230161351A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40111Multistep manufacturing processes for data storage electrodes the electrodes comprising a layer which is used for its ferroelectric properties
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02194Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing more than one metal element
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02356Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment to change the morphology of the insulating layer, e.g. transformation of an amorphous layer into a crystalline layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/55Capacitors with a dielectric comprising a perovskite structure material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/65Electrodes comprising a noble metal or a noble metal oxide, e.g. platinum (Pt), ruthenium (Ru), ruthenium dioxide (RuO2), iridium (Ir), iridium dioxide (IrO2)
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B51/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
    • H10B51/30Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors characterised by the memory core region
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4908Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET for thin film semiconductor, e.g. gate of TFT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/516Insulating materials associated therewith with at least one ferroelectric layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET

Abstract

기판을 처리하는 방법 및 관련 시스템. 설명된 방법은, 복수의 증착 사이클을 실행하여 도핑된 하프늄 지르코늄 산화물 층을 기판 상에 형성하는 단계를 포함한다.

Description

메모리 장치 및 그 구성요소를 형성하기 위한 방법 및 시스템{METHODS AND SYSTEMS FOR FORMING MEMORY DEVICES AND COMPONENTS THEREOF}
본 개시는, 일반적으로 반도체 처리 방법 및 시스템 분야 그리고 집적 회로 제조 분야에 관한 것이다. 특히, 메모리 요소 및 프로그래밍 가능한 논리 소자를 형성하기에 적합한 방법 및 시스템에 관한 것이다.
강유전성 소자는 메모리 요소로서 제안되었다. 강유전성 메모리의 성능을 개선할 필요가 있다.
다수의 임계 전압을 갖는 트랜지스터가 현대의 집적 회로에 필요하다. 강유전성 층은 프로그래밍 가능한 임계 전압을 갖는 금속-절연체-반도체 전계 효과 트랜지스터(MISFET)용 게이트 유전체로서 제안되었다. 이들 트랜지스터의 소자 성능을 개선할 필요가 있다.
이 부분에 진술된 문제점 및 해결책을 포함한 임의의 논의는, 단지 본 개시에 대한 맥락을 제공하는 목적으로만 본 개시에 포함되었다. 이러한 논의는 임의의 또는 모든 정보가 본 발명이 만들어졌거나 그렇지 않으면 선행 기술을 구성하는 시점에 알려진 것으로 간주되어서는 안된다.
본 발명의 내용은 개념의 선택을 단순화된 형태로 도입할 수 있으며, 이는 이하에서 더욱 상세히 설명될 수 있다. 본 발명의 내용은 청구된 요지의 주된 특징 또는 본질적인 특징을 필수적으로 구분하려는 의도가 아니며 청구된 요지의 범주를 제한하기 위해 사용하려는 의도 또한 아니다.
본 개시의 다양한 구현예는 강유전성 메모리, 논리 소자, 관련 방법, 관련 구조체, 및 관련 시스템에 관한 것이다.
따라서, 기판을 처리하는 방법이 제공된다. 상기 방법은 기판을 공정 챔버에 제공하는 단계를 포함한다. 상기 방법은 복수의 증착 사이클을 실행하는 단계를 추가로 포함한다. 증착 사이클은 하프늄 전구체 펄스, 지르코늄 전구체 펄스, 산소 반응물 펄스, 및 도펀트 펄스를 포함한다. 하프늄 전구체 펄스는 기판을 하프늄 전구체에 노출시키는 단계를 포함한다. 지르코늄 전구체 펄스는 기판을 지르코늄 전구체에 노출시키는 단계를 포함한다. 산소 반응물 펄스는 기판을 산소 반응물에 노출시키는 단계를 포함한다. 도펀트 펄스는 기판을 도펀트 전구체에 노출시키는 단계를 포함한다. 도펀트 전구체는 도펀트 원소를 포함한다. 따라서, 도핑된 하프늄 지르코늄 산화물 층이 기판 상에 형성된다.
일부 구현예에서, 도펀트 전구체 펄스는 임의의 개입하는 산소 반응물 펄스 없이 하프늄 전구체 펄스 후에 수행된다.
일부 구현예에서, 도펀트 전구체 펄스는 임의의 개입하는 산소 반응물 펄스 없이 지르코늄 전구체 펄스 후에 수행된다.
일부 구현예에서, 도펀트 원소는 세륨을 포함한다.
일부 구현예에서, 도펀트 원소는 란타늄을 포함한다.
일부 구현예에서, 도펀트 원소는 주석, 텔루륨 세륨 및 납으로 이루어진 목록으로부터 선택된다.
일부 구현예에서, 도펀트 원소는 루테늄, 팔라듐, 레늄, 오스뮴, 이리듐, 및 백금으로 이루어진 목록으로부터 선택된다.
일부 구현예에서, 도펀트 원소는 몰리브덴 또는 텅스텐이다.
일부 구현예에서, 도펀트 원소는 Ru이다.
일부 구현예에서, 기판은 표면층을 포함한다. 하프늄 지르코늄 산화물 층은 표면층 상에 형성된다. 표면층은 표면층 전도성 산화물을 포함한다. 표면층 전도성 산화물은 도펀트 원소 및 산소를 포함한다.
일부 구현예에서, 표면층을 형성하는 단계는 복수의 증착 사이클을 실행하는 단계를 선행한다. 표면층은 표면층 전도성 산화물을 포함한다. 표면층 전도성 산화물은 도펀트 원소 및 산소를 포함한다.
일부 구현예에서, 상기 방법은 하프늄 지르코늄 산화물 층 상에 상부 전극을 형성하는 단계를 추가로 포함한다. 상부 전극은 상부 전도성 산화물을 포함하고, 상부 전도성 산화물은 도펀트 원소를 포함한다.
일부 구현예에서, 표면층 및 상부 전도성 산화물은 실질적으로 동일한 조성을 갖는다.
일부 구현예에서, 표면층 전도성 산화물 및 상부 전도성 산화물 중 적어도 하나는 루테늄 산화물을 포함하고, 도펀트 원소는 루테늄을 포함한다.
일부 구현예에서, 하프늄 지르코늄 산화물 층을 어닐링하는 단계가 하프늄 지르코늄 산화물 층 상에 상부 전극을 형성하는 단계를 선행한다.
일부 구현예에서, 상기 방법은 공정 챔버를 포함하는 시스템에서 수행된다. 이러한 구현예에서, 복수의 증착 사이클을 실행하는 단계 및 하프늄 지르코늄 산화물 층을 어닐링하는 단계는, 제1 공정 챔버에서 수행될 수 있다.
일부 구현예에서, 상기 방법은 제1 공정 챔버 및 제2 공정 챔버를 포함하는 시스템에서 수행된다. 이러한 구현예에서, 복수의 증착 사이클을 실행하는 단계 및 하프늄 지르코늄 산화물 층을 어닐링하는 단계는, 제1 공정 챔버에서 수행될 수 있고, 상부 전극을 형성하는 단계는 제2 공정 챔버에서 수행될 수 있다.
일부 구현예에서, 상기 방법은 제1 공정 챔버, 제2 공정 챔버, 및 제3 공정 챔버를 포함하는 시스템에서 수행될 수 있다. 이러한 구현예에서, 복수의 증착 사이클을 실행하는 단계는 제1 공정 챔버에서 수행될 수 있고, 하프늄 지르코늄 산화물 층을 어닐링하는 단계는 제2 공정 챔버에서 수행될 수 있고, 상부 전극을 형성하는 단계는 제3 공정 챔버에서 수행될 수 있다.
하나 이상의 공정 챔버, 하프늄 전구체를 포함한 하프늄 전구체 공급원, 지르코늄 전구체를 포함한 지르코늄 전구체 공급원, 도펀트 전구체를 포함한 도펀트 전구체 공급원; 산소 반응물을 포함한 산소 반응물 공급원; 및 제어기를 포함하는 시스템이 본원에 추가로 설명된다. 제어기는, 하나 이상의 공정 챔버 내에 가스 흐름을 제어하고 시스템으로 하여금 본원에 설명된 바와 같은 방법에 의해 기판을 처리시키도록 구성된다.
기판을 처리하는 방법이 본원에 추가로 설명되며, 상기 방법은, 기판을 공정 챔버에 제공하는 단계; 복수의 증착 사이클을 실행하는 단계(증착 사이클은 하프늄 전구체 펄스, 지르코늄 전구체 펄스, 산소 반응물 펄스, 및 도펀트 펄스를 포함하되, 상기 하프늄 전구체 펄스는 상기 기판을 하프늄 전구체에 노출시키는 단계를 포함하고, 상기 지르코늄 전구체 펄스는 상기 기판을 지르코늄 전구체에 노출시키는 단계를 포함하고, 상기 산소 반응물 펄스는 상기 기판을 산소 반응물에 노출시키는 단계를 포함하고, 상기 제1 도펀트 펄스는 상기 기판을 제1 도펀트 전구체에 노출시키는 단계를 포함하되, 상기 제1 도펀트 전구체는 제1 도펀트 원소를 포함함); 이에 의해 도핑된 하프늄 지르코늄 산화물 층을 상기 기판 상에 형성하는 단계를 포함하되, 상기 제1 도펀트 전구체 펄스는 임의의 개입하는 산소 반응물 펄스 없이 상기 하프늄 전구체 펄스 및 상기 지르코늄 전구체 펄스 중 하나 후에 수행된다.
일부 구현예에서, 증착 사이클은, 기판을 제2 도펀트 전구체에 노출시키는 단계를 포함한 제2 도펀트 펄스를 추가로 포함하되, 제2 도펀트 전구체는 제2 도펀트 원소를 포함하고, 제2 도펀트 원소는 제1 도펀트 원소와 상이하다.
일부 구현예에서, 도펀트 전구체 펄스는 임의의 개입하는 산소 반응물 펄스 없이 하프늄 전구체 펄스 후에 수행된다.
일부 구현예에서, 도펀트 전구체 펄스는 임의의 개입하는 산소 반응물 펄스 없이 지르코늄 전구체 펄스 후에 수행된다.
일부 구현예에서, 제1 도펀트 원소 및 제2 도펀트 원소 중 적어도 하나는 세륨을 포함한다.
일부 구현예에서, 제1 도펀트 원소는 란타늄을 포함한다.
일부 구현예에서, 제1 도펀트 원소는 주석, 텔루륨, 세륨 및 납으로 이루어진 목록으로부터 선택된다.
일부 구현예에서, 제1 도펀트 원소는 루테늄, 팔라듐, 레늄, 오스뮴, 이리듐, 및 백금으로 이루어진 목록으로부터 선택된다.
일부 구현예에서, 제1 도펀트 원소는 몰리브덴 또는 텅스텐이다.
일부 구현예에서, 제1 도펀트 원소는 Ru이다.
8. 제2항 내지 제5항 중 어느 한 항에 있어서, 상기 제2 도펀트 원소는 세륨, 란타늄, 주석, 텔루륨, 납, 루테늄, 팔라듐, 레늄, 오스뮴, 이리듐, 백금, 몰리브덴, 및 텅스텐으로 이루어진 목록으로부터 선택된 제1 도펀트와 독립적인, 방법.
일부 구현예에서, 제1 도펀트 전구체 및 제2 도펀트 전구체 중 적어도 하나는 화학식 M(RCp)x(L)y로 나타낼 수 있는 화합물로부터 독립적으로 선택되며, M은 희토류 금속이고, 여기서 R은 H, Me, Et, iPr, 및 tBu로부터 선택되고, L은 N,N'-디이소프로필아세트아미디네이트, N,N'-디-터트-부틸아세트아미디네이트, N,N'-디이소프로필포름아미디네이트, 및 N,N'-디-터트-부틸포름아미디네이트로부터 선택된다.
일부 구현예에서, 기판은 표면층을 포함하되, 상기 하프늄 지르코늄 산화물 층은 상기 표면층 상에 형성되고, 상기 표면층은, 상기 도펀트 원소 및 산소를 포함한 표면층 전도성 산화물을 포함한다.
일부 구현예에서, 표면층을 형성하는 단계는 상기 복수의 증착 사이클을 실행하는 단계를 선행하며, 표면층은 표면층 전도성 산화물을 포함하고, 표면층 전도성 산화물은 도펀트 원소 및 산소를 포함한다.
일부 구현예에서, 상기 방법은 하프늄 지르코늄 산화물 층 상에 상부 전극을 형성하는 단계를 추가로 포함하고, 상기 상부 전극은 상부 전도성 산화물을 포함하고, 상기 상부 전도성 산화물은 도펀트 원소를 포함한다.
일부 구현예에서, 표면층 및 상부 전도성 산화물은 실질적으로 동일한 조성을 갖는다.
일부 구현예에서, 표면층 전도성 산화물 및 상부 전도성 산화물 중 적어도 하나는 루테늄 산화물을 포함하고, 여기서 도펀트 원소는 루테늄을 포함한다.
일부 구현예에서, 하프늄 지르코늄 산화물 층을 어닐링하는 단계가 하프늄 지르코늄 산화물 층 상에 상부 전극을 형성하는 단계를 선행한다.
일부 구현예에서, 상기 방법은 공정 챔버를 포함한 시스템에서 수행되며, 복수의 증착 사이클을 실행하는 단계 및 상기 하프늄 지르코늄 산화물 층을 어닐링하는 단계는 제1 공정 챔버에서 수행된다.
일부 구현예에서, 상기 방법은 제1 공정 챔버 및 제2 공정 챔버를 포함한 시스템에서 수행되며, 복수의 증착 사이클을 실행하는 단계 및 상기 하프늄 지르코늄 산화물 층을 어닐링하는 단계는 상기 제1 공정 챔버에서 수행되고, 상기 상부 전극을 형성하는 단계는 상기 제2 공정 챔버에서 수행된다.
일부 구현예에서, 상기 방법은 제1 공정 챔버, 제2 공정 챔버, 및 제3 공정 챔버를 포함하는 시스템에서 수행되며, 복수의 증착 사이클을 실행하는 단계는 제1 공정 챔버에서 수행되고, 상기 하프늄 지르코늄 산화물 층을 어닐링하는 단계는 제2 공정 챔버에서 수행되고, 상기 상부 전극을 형성하는 단계는 제3 공정 챔버에서 수행된다.
하나 이상의 공정 챔버를 포함하는 시스템이 본원에 추가로 설명된다; 하프늄 전구체를 포함한 하프늄 전구체 공급원; 지르코늄 전구체를 포함한 지르코늄 전구체 공급원; 제1 도펀트 전구체를 포함한 제1 도펀트 전구체 공급원, 제2 도펀트 전구체를 포함한 제2 도펀트 전구체 공급원; 산소 반응물을 포함한 산소 반응물 공급원; 및, 제어기, 상기 제어기는 상기 하나 이상의 공정 챔버 내로의 가스 흐름을 제어하고 본원에 설명된 방법에 의해 기판을 처리하도록 구성된다.
본원에 설명된 바와 같은 시스템에 포함된 전구체 공급원이 본원에 추가로 설명되며, 전구체 공급원은 하프늄 전구체, 지르코늄 전구체, 제1 도펀트 전구체, 및 제2 도펀트 전구체로부터 선택된 전구체를 포함한다. 본원에 추가로 설명된 바와 같은 시스템에 작동 가능하게 연결될 수 있는 전구체 공급원을 충전하는 방법으로서, 상기 방법은, 전구체 공급원을 제공하는 단계; 및 하프늄 전구체, 지르코늄 전구체, 제1 도펀트 전구체, 및 제2 도펀트 전구체로부터 선택된 전구체로 상기 전구체 공급원을 충전하는 단계를 포함한다.
이들 및 다른 구현예는 첨부된 도면을 참조하는 특정 구현예의 다음 상세한 설명으로부터 당업자에게 쉽게 분명해질 것이다. 본 발명은 개시된 임의의 특정 구현예에 제한되지 않는다.
다음의 예시적인 도면과 연관하여 고려되는 경우에 발명의 상세한 설명 및 청구범위를 참조함으로써, 본 개시의 구현예에 대해 더욱 완전한 이해를 얻을 수 있다.
도 1은 설명된 방법의 일 구현예를 나타낸다. 상기 방법은, 기판을 제1 공정 챔버에 제공하는 단계(111)를 포함한다.
도 2 내지 도 6은 본원에 설명된 바와 같은 방법의 구현예의 공정 흐름을 개략적으로 나타낸다.
도 7은 본 개시의 예시적 구현예에 따른 시스템(700)을 나타낸다.
도 8은 본원에 설명된 방법의 일 구현예를 사용해 얻은 실험 결과를 나타낸다.
도 9는 본 개시의 예시에 따른 구조체(900)를 나타낸다.
도 10은 예시적인 커패시터(1000)를 나타낸다.
도 11은, 제1 공정 챔버(1110), 제2 공정 챔버(1120), 및 제3 공정 챔버(1130)를 포함한 시스템(1100)을 개략적으로 나타낸다.
도면의 요소는 간략하고 명료하게 도시되어 있으며, 반드시 축적대로 도시되지 않았음을 이해할 것이다. 예를 들어, 본 개시에서 예시된 구현예의 이해를 돕기 위해 도면 중 일부 구성 요소의 치수는 다른 구성 요소에 비해 과장될 수 있다.
아래에 제공된 방법, 구조체, 소자 및 시스템의 예시적인 구현예의 설명은 단지 예시적인 것이고, 예시의 목적으로만 의도된 것이며, 다음의 설명은 본 개시의 범주 또는 청구 범위를 제한하고자 함이 아니다. 또한, 특징부를 기술한 다수 구현예를 인용하는 것이 추가적인 특징부를 갖는 다른 구현예 또는 명시된 특징부의 다른 조합을 포함한 다른 구현예를 배제하고자 함이 아니다. 예를 들어, 다양한 구현예가 예시적인 구현예로서 제시되고, 종속된 청구범위에 인용될 수 있다. 달리 언급되지 않는 한, 예시적인 구현예 또는 이의 구성 요소는 조합될 수 있거나 서로 분리되어 적용될 수 있다.
본 개시에서, "가스"는 정상 온도 및 압력(NTP)에서 가스, 증기화된 고체 및/또는 증기화된 액체인 재료를 포함할 수 있으며, 맥락에 따라 단일 가스 또는 가스 혼합물로 구성될 수 있다. 공정 가스 이외의 가스, 즉 가스 분배 어셈블리, 다른 가스 분배 장치 등을 통과하지 않고 유입되는 가스는, 예를 들어 반응 공간을 밀폐하기 위해 사용될 수 있고, 밀폐 가스를 포함할 수 있다. 전구체 및 반응물은 가스일 수 있다. 예시적인 밀폐 가스는 귀가스, 질소 등을 포함한다. 일부 경우에서, 용어 "전구체"는 다른 화합물을 생성하는 화학 반응에 참여하는 화합물, 및 특히 막 매트릭스 또는 막의 메인 골격을 구성하는 화합물을 지칭할 수 있으며; 용어 "반응물"은 용어 전구체와 상호 교환적으로 사용될 수 있다.
본원에서 사용되는 바와 같이, 용어 "기판"은, 형성하기 위해 사용될 수 있는, 또는 그 위에 소자, 회로, 또는 막이 본 개시의 일 구현예에 따른 방법에 의해 형성될 수 있는, 임의의 하부 재료 또는 재료들을 지칭할 수 있다. 기판은 실리콘(예, 단결정 실리콘), 게르마늄과 같은 다른 IV족 재료, 또는 II-VI족 또는 III-V족 반도체 재료와 같은 다른 반도체 재료와 같은 벌크 재료를 포함할 수 있고, 벌크 재료 위에 놓이거나 그 아래에 놓인 하나 이상의 층을 포함할 수 있다. 또한, 기판은, 기판의 층의 적어도 일부 내에 또는 그 위에 형성된 다양한 특징부, 예컨대 오목부, 돌출부 등을 포함할 수 있다. 예로서, 기판은 벌크 반도체 재료, 및 상기 벌크 반도체 재료의 적어도 일부분 위에 놓인 절연 또는 유전체 재료 층을 포함할 수 있다. 추가적으로, 용어 "기판"은, 사용될 수 있는, 또는 그 위에 소자, 회로, 또는 막이 형성될 수 있는, 임의의 하부 재료 또는 재료들을 지칭할 수 있다. "기판"은 연속적 또는 비연속적; 강성 또는 가요성; 고형 또는 다공성일 수 있다. 기판은 분말, 플레이트, 또는 피가공재와 같은 임의의 형태일 수 있다. 플레이트 형태의 기판은 다양한 형상 및 크기의 웨이퍼를 포함할 수 있다. 기판은, 예를 들어 실리콘, 실리콘 게르마늄, 실리콘 산화물, 갈륨 비소, 갈륨 질화물 및 실리콘 탄화물과 같은 재료로부터 제조될 수 있다. 연속 기판은 증착 공정이 발생하는 공정 챔버의 경계를 넘어 연장될 수 있고, 공정이 기판의 끝에 도달할 때까지 계속되도록 공정 챔버를 통해 이동할 수 있다. 연속 기판은 임의의 적절한 형태로 연속 기판의 제조 및 출력을 허용하는 연속 기판 공급 시스템으로부터 공급될 수 있다. 연속 기판의 비제한적인 예시는 시트, 부직포 필름, 롤, 호일, 웹, 가요성 재료, 연속 필라멘트 또는 섬유(즉, 세라믹 섬유 또는 중합체 섬유)의 다발을 포함할 수 있다. 연속 기판은 비연속 기판이 장착되는 캐리어 또는 시트를 포함할 수도 있다. 
본원에서 사용되는 바와 같이, 용어 "막" 및/또는 "층"은 본원에 개시된 방법에 의해 증착된 재료와 같이 임의의 연속적인 또는 비연속적인 구조 및 재료를 지칭할 수 있다. 예를 들어, 막 및/또는 층은 이차원 재료, 삼차원 재료, 나노입자, 부분 또는 전체 분자층 또는 부분 또는 전체 원자층 또는 원자 및/또는 분자 클러스터를 포함할 수 있다. 막 또는 층은, 기판의 표면 상에 복수의 분산 원자를 포함하거나 이로 이루어질 수 있고/있거나 기판 내에 매립되거나 매립될 수 있고/있거나 그 기판 상에 제조된 소자에 매립되거나 매립될 수 있다. 막 또는 층은 핀홀 및/또는 격리된 섬을 갖는 재료 또는 층을 포함할 수 있다. 막 또는 층은 적어도 부분적으로 연속적일 수 있다. 막 또는 층은 패터닝될 수 있고, 예를 들어 하위 분할될 수 있고, 복수의 반도체 소자에 포함될 수 있다. 막 또는 층은 기판의 일부에서 선택적으로 성장될 수 있고, 다른 일부에서는 성장되지 않을 수 있다.
본원에서 사용되는 바와 같이, 용어 "증착 공정"은 기판 위에 층을 증착하기 위해 반응 챔버 내로 전구체(및/또는 반응물)를 도입하는 것을 지칭할 수 있다. "주기적 증착 공정"은 "증착 공정"의 예이다.
용어 "주기적 증착 공정" 또는 "순환 증착 공정"은 반응 챔버 내로 전구체(및/또는 반응물)를 순차적으로 도입시켜 기판 위에 층을 증착하는 것을 지칭할 수 있으며 원자층 증착(ALD) 및 주기적 화학 기상 증착(주기적 CVD), 및 ALD 성분과 주기적 CVD 성분을 포함한 하이브리드 주기적 증착 공정과 같은 처리 기술을 포함한다.
용어 "원자층 증착"은 기상 증착 공정을 지칭할 수 있고, 여기서 증착 사이클, 전형적으로 복수의 연속 증착 사이클은 공정 챔버에서 수행된다. 본원에서 사용된 용어 원자층 증착은, 전구체(들)/반응 가스(들), 및 퍼지(예, 불활성 캐리어) 가스(들)의 교번 펄스로 수행되는 경우, 화학 기상 원자층 증착, 원자층 에피택시(ALE), 분자 빔 에피택시(MBE), 가스 공급원 MBE, 또는 유기금속 MBE, 및 화학적 빔 에피택시와 같은 관련 용어들에 의해 지정된 공정을 포함하는 것을 또한 의미한다. 펄스는 전구체 또는 반응물에 기판을 노출시키는 단계를 포함할 수 있다. 이는, 예를 들어 전구체 또는 반응물을 기판이 존재하는 반응 챔버에 도입함으로써, 수행될 수 있다. 추가적으로 또는 대안적으로, 전구체에 기판을 노출시키는 단계는, 반응물 또는 전구체가 존재하는 기판 처리 시스템 내의 위치로 기판을 이동시키는 단계를 포함할 수 있다.
일반적으로, ALD 공정의 경우, 각각의 사이클 중에 전구체는 반응 챔버 내에 도입되고 증착 표면(예, 이전 ALD 사이클로부터 이전에 증착된 재료 또는 다른 재료를 포함할 수 있는 기판 표면) 상에 화학 흡착되고, 추가적인 전구체와 쉽게 반응하지 않는(즉, 자기 제한적 반응인) 단층 또는 서브 단층을 형성한다. 그 후, 증착 표면 상에서 화학 흡착된 전구체를 원하는 재료로 전환시키는 용도로, 반응물(예, 다른 전구체 또는 반응 가스)을 후속해서 공정 챔버에 도입시킬 수 있다. 반응물은 전구체와 더 반응할 수 있다. 하나 이상의 사이클 동안, 예를 들어 각 사이클의 각 단계 중에 퍼지 단계를 사용하여, 공정 챔버로부터 과잉의 전구체를 제거하고/제거하거나, 공정 챔버로부터 과잉의 반응물 및/또는 반응 부산물을 제거할 수 있다.
본원에서 사용되는 바와 같이, 용어 "퍼지"는 서로 반응하는 가스의 두 펄스 사이에서 불활성 또는 실질적으로 불활성인 가스가 반응 챔버에 제공되는 절차를 지칭할 수 있다. 예를 들어, 퍼지, 또는 예를 들어 귀 가스를 이용한 퍼지는 전구체 펄스와 반응물 펄스 사이에 제공될 수 있어서, 전구체와 반응물 사이의 기상 상호 작용을 피하거나 적어도 최소화할 수 있다. 퍼지는 시간 또는 공간, 또는 둘 모두에 영향을 미칠 수 있음을 이해해야 한다. 예를 들어 시간적 퍼지의 경우, 퍼지 단계는, 예를 들어 반응 챔버에 제1 전구체를 제공하는 단계, 반응 챔버에 퍼지 가스를 제공하는 단계, 및 반응 챔버에 제2 전구체를 제공하는 단계의 시간적 순서로 사용될 수 있으며, 여기서 층이 증착되는 기판은 이동하지 않는다. 예를 들어, 공간적 퍼지의 경우, 퍼지 단계는 다음과 같은 형태: 기판을, 제1 전구체가 연속적으로 공급되는 제1 위치로부터 퍼지 가스 커튼을 통해 제2 전구체가 연속적으로 공급되는 제2 위치로 이동시키는 단계를 취할 수 있다.
펄스는 시간 또는 공간, 또는 둘 모두에 영향을 미칠 수 있음을 이해해야 한다. 예를 들어, 일시적인 펄스의 경우, 불활성 가스가 반응 챔버에 제공되기 전과 후 소정의 시간 동안 전구체가 제공될 수 있다. 예를 들어, 공간 펄스의 경우에, 전구체가 제공되고 하나 이상의 불활성 퍼지 가스 커튼에 의해 둘러싸이는 소정의 위치를 통해 기판은 이동할 수 있다.
본원에서 사용되는 바와 같이, "전구체"는, 가스가 될 수 있고, 본원에 설명된 증착 공정 주에 혼입될 수 있는 원소를 포함한 화학식으로 표시될 수 있는 가스 또는 재료를 포함한다.
용어 "산소 반응물"은, 가스가 될 수 있고 산소를 포함한 화학식으로 표시될 수 있는 가스 또는 재료를 지칭할 수 있다. 일부 경우에, 화학식은 산소 및 수소를 포함한다.
또한, 본 개시에서, 변수의 임의의 두 수치가 상기 변수의 실행 가능한 범위를 구성할 수 있고, 표시된 임의의 범위는 끝점을 포함하거나 배제할 수 있다. 추가적으로, 지시된 변수의 임의의 값은 ("약"으로 표시되는지의 여부에 관계없이) 정확한 값 또는 대략적인 값을 지칭할 수 있고 등가를 포함할 수 있으며, 평균, 중간, 대표, 다수 등을 지칭할 수 있다.
본원에서 사용되는 바와 같이, 용어 "포함하는"은, 청구범위 또는 구현예를 실행할 수 없게 하는 한, 특정 특징부가 포함되나 다른 특징부의 존재를 배제하지 않음을 나타낸다. 일부 구현예에서, 용어 "포함하는"은 "구성하는"을 포함한다. 본원에서 사용되는 바와 같이, 용어 "구성하는"은 상기 용어를 따르는 것 외에는 장치/방법/제품에 더 이상의 특징부가 존재하지 않음을 나타낸다. 용어 "구성하는"이 화학 화합물을 지칭하는 데 사용되는 경우에, 이는 화학 화합물이 열거된 성분만을 함유함을 나타낸다.
본 개시에서, 임의의 정의된 의미는 일부 구현예에서 반드시 보통의 그리고 관습적인 의미를 배제하는 것은 아니다.
기판을 처리하는 방법이 본원에 설명된다. 상기 방법은 기판을 공정 챔버에 제공하는 단계를 포함한다. 상기 방법은 복수의 증착 사이클을 실행하는 단계를 추가로 포함한다. 증착 사이클은 전구체 펄스 및 산소 반응물 펄스를 포함한다. 전구체 펄스는 기판을 전구체에 노출시키는 단계를 포함한다. 산소 반응물 펄스는 기판을 산소 반응물에 노출시키는 단계를 포함한다. 따라서, 층이 기판 상에 형성된다. 일부 구현예에서, 층은 고-유전율 재료, 예컨대 하프늄 산화물, 지르코늄 산화물, 또는 이성분 산화물, 예컨대 하프늄 지르코늄 산화물을 포함한다. 일부 구현예에서, 하프늄 지르코늄 산화물은 비화학량론적이다. 일부 구현예에서, 하프늄 산화물은 하프늄 및 지르코늄을 2:1, 1:1, 또는 1:2의 비율로 함유한다. 일부 구현예에서, 층은 기판 상에 형성되는 반강유전성 층을 포함한다. 일부 구현예에서, 층은 기판 상에 형성되는 강유전성 층을 포함한다. 강유전성 층은 적절하게는 플루오르화물 구조를 가질 수 있다.
기판을 처리하는 방법이 본원에 설명된다. 상기 방법은 기판을 공정 챔버에 제공하는 단계를 포함한다. 상기 방법은 복수의 증착 사이클을 실행하는 단계를 추가로 포함한다. 증착 사이클은 하프늄 전구체 펄스 및 산소 반응물 펄스를 포함한다. 하프늄 전구체 펄스는 기판을 하프늄 전구체에 노출시키는 단계를 포함한다. 산소 반응물 펄스는 기판을 산소 반응물에 노출시키는 단계를 포함한다. 따라서, 하프늄 산화물 층이 기판 상에 형성된다. 하프늄 산화물은 적절하게는 플루오르화물 구조를 가질 수 있다.
기판을 처리하는 방법이 본원에 설명된다. 상기 방법은 기판을 공정 챔버에 제공하는 단계를 포함한다. 상기 방법은 복수의 증착 사이클을 실행하는 단계를 추가로 포함한다. 증착 사이클은 하프늄 전구체 펄스, 지르코늄 전구체 펄스, 및 산소 반응물 펄스를 포함한다. 하프늄 전구체 펄스는 기판을 하프늄 전구체에 노출시키는 단계를 포함한다. 지르코늄 전구체 펄스는 기판을 지르코늄 전구체에 노출시키는 단계를 포함한다. 산소 반응물 펄스는 기판을 산소 반응물에 노출시키는 단계를 포함한다. 따라서, 하프늄 지르코늄 산화물 층이 기판 상에 형성된다. 하프늄 지르코늄 산화물은 적절하게는 플루오르화물 구조를 가질 수 있다. 적절하게는, 전구체 및 반응물은 기체일 수 있다.
일부 구현예에서, 본원에 설명된 바와 같은 방법은, 강유전성 랜덤 엑세스 메모리, 강유전성 전계 효과 트랜지스터, 및 강유전성 터널 정션의 하나 이상의 구성 부분을 형성하기 위해 사용될 수 있다.
일부 구현예에서, 증착 사이클은 추가로 도펀트 펄스를 포함한다. 도펀트 펄스는 기판을 도펀트 전구체에 노출시키는 단계를 포함한다. 도펀트 전구체는 도펀트 원소를 포함한다. 따라서, 도핑된 하프늄 산화물 층 또는 도핑된 하프늄 지르코늄 산화물 층과 같이, 도핑된 강유전성 층이 기판 상에 형성된다. 하프늄 지르코늄 산화물은 하프늄, 지르코늄, 및 산소를 포함한 재료를 지칭할 수 있음을 이해할 것이다. 하프늄 지르코늄 산화물은 도펀트와 같은 다른 원소를 추가로 포함할 수 있다. 도펀트 원소를 포함하는 하프늄 지르코늄 산화물은, 도핑된 하프늄 지르코늄 산화물로서 지칭될 수 있다.
따라서, 기판을 처리하는 방법이 본원에 추가로 설명된다. 상기 방법은 기판을 공정 챔버에 제공하는 단계를 포함한다. 상기 방법은 복수의 증착 사이클을 실행하는 단계를 추가로 포함한다. 증착 사이클은 하프늄 전구체 펄스, 지르코늄 전구체 펄스, 산소 반응물 펄스, 및 도펀트 전구체 펄스를 포함한다. 하프늄 전구체 펄스는 기판을 하프늄 전구체에 노출시키는 단계를 포함한다. 지르코늄 전구체 펄스는 기판을 지르코늄 전구체에 노출시키는 단계를 포함한다. 산소 반응물 펄스는 기판을 산소 반응물에 노출시키는 단계를 포함한다. 도펀트 전구체는 도펀트 원소를 포함한다. 따라서, 도핑된 하프늄 지르코늄 산화물 층이 기판 상에 형성된다.
유리하게는, 본원에 설명된 방법의 구현예를 사용하여 형성된 하프늄 지르코늄 산화물 층은, 낮은 양의 웨이크업 사이클, 양호한 내구성, 및 높은 잔류 편광(2Pr)을 가질 수 있다.
도펀트 전구체 펄스는, 일부 구현예에서, 임의의 개입하는 산소 반응물 펄스 없이 하프늄 전구체 펄스 및 지르코늄 전구체 펄스 중 하나 이후에 수행될 수 있다. 일부 구현예에서, 도펀트 전구체 펄스는 임의의 개입하는 산소 반응물 펄스 없이 하프늄 전구체 펄스 후에 수행된다. 일부 구현예에서, 도펀트 전구체 펄스는 임의의 개입하는 산소 반응물 펄스 없이 지르코늄 전구체 펄스 후에 수행된다.
따라서, 일부 구현예에서, 도핑된 하프늄 지르코늄 산화물 층과 같이 도핑된 강유전성 층을 형성하는 원자층 증착 공정, 또는 다른 주기적 증착 공정은 다음의 식을 사용하여 표현될 수 있다:
N[x(B1 + A1) + y(B2 + A2) + z(C)], (i)
여기서, N은 증착 사이클의 수이고, x는 증착 사이클 당 제1 금속 서브 사이클의 수이고, y는 증착 사이클 당 제2 금속 서브 사이클의 수이고, z는 증착 사이클 당 도펀트 펄스의 수이고, B1은 제1 산소 반응물의 펄스를 나타내고, B2는 제2 산소 반응물의 펄스를 나타내고, A1은 제1 금속 전구체의 펄스를 나타내고, A2는 제2 전구체의 펄스를 나타내고, C는 도펀트 전구체의 펄스를 나타낸다. 따라서, 식 (i)는 문제의 주기적 증착 공정이 N 슈퍼 사이클을 포함하고, 슈퍼 사이클이 x 후속 제1 금속 서브 사이클을 포함하고, y 후속 제2 금속 서브 사이클을 포함하고, 이어서 z 도펀트 전구체 펄스를 포함하는 것을 나타낸다.
일부 구현예에서, 제1 금속 서브 사이클은, 제1 산소 반응물 펄스 다음에 제1 금속 전구체 펄스를 포함한다. 대안적으로, 제1 금속 서브 사이클은 제1 금속 전구체 펄스 다음에 제1 산소 반응물 펄스를 포함할 수 있다.
일부 구현예에서, 제2 금속 서브 사이클은, 제2 산소 반응물 펄스 다음에 제2 금속 전구체 펄스를 포함한다. 대안적으로, 제2 금속 서브 사이클은 제2 금속 전구체 펄스 다음에 제2 산소 반응물 펄스를 포함할 수 있다.
적절하게는, 제1 산소 반응물 펄스는 기판을 제1 산소 반응물에 노출시키는 단계를 포함하고, 제2 산소 반응물은 기판을 제2 산소 반응물에 노출시키는 단계를 포함하고, 제1 금속 전구체 펄스는 기판을 제1 금속 전구체에 노출시키는 단계를 포함하고, 제2 금속 전구체 펄스는 기판을 제2 금속 전구체에 노출시키는 단계를 포함하고, 도펀트 전구체 펄스는 기판을 도펀트 전구체에 노출시키는 단계를 포함한다. 적절하게는, 제1 및 제2 산소 반응물은 본원에 설명된 바와 같은 산소 반응물을 포함할 수 있다. 제1 및 제2 산소 반응물은 동일하거나 상이할 수 있다. 적절하게는, 제1 금속 전구체는 본원에 설명된 바와 같은 하프늄 전구체를 포함할 수 있다. 적절하게는, 제1 금속 전구체는 본원에 설명된 바와 같은 지르코늄 전구체를 포함할 수 있다. 적절하게는, 도펀트 전구체는 본원에 설명된 도펀트 원소를 포함한다.
일부 구현예에서, 도핑된 하프늄 지르코늄 산화물 층과 같이, 도핑된 강유전성 층을 형성하는 원자층 증착 공정, 또는 다른 주기적 증착 공정은 다음의 식을 사용하여 표현될 수 있다:
N[y(B2 + A2) + x(B1 + A1) + z(C)], (ii)
이는, 제2 금속 서브 사이클이 제1 금속 서브 사이클을 선행하는 점을 제외하고는 식 (i)로 표시되는 공정과 유사하다.
일부 구현예에서, 도핑된 하프늄 지르코늄 산화물 층과 같이, 도핑된 강유전성 층을 형성하는 원자층 증착 공정, 또는 다른 주기적 증착 공정은 다음의 식을 사용하여 표현될 수 있다:
N[y(B2 + A2) + z(C) + x(B1 + A1)], (iii)
이는, 제2 금속 서브 사이클이 제1 금속 서브 사이클을 선행하고 도펀트 전구체 펄스가 제2 금속 서브 사이클과 제1 금속 서브 사이클 사이에서 실행되는 점을 제외하고는, 식 (i)로 표시되는 공정과 유사하다.
물론, 다른 순열도 가능하다. 예를 들어, 도펀트 전구체 펄스는 제2 금속 서브 사이클을 선행할 수 있고, 제2 금속 서브 사이클은 제1 금속 서브 사이클을 선행할 수 있다. 다른 가능한 순열로서, 도펀트 전구체 펄스의 수 z1은 제1 금속 서브 사이클 후에 수행될 수 있고, 도펀트 전구체 펄스의 수 z2는 제2 금속 서브 사이클 후에 수행될 수 있다.
유리하게는, 식 (i), (ii), 또는 (iii) 중 어느 하나에 따른 원자층 증착 공정 또는 다른 주기적 증착 공정은, 모든 금속 전구체 펄스 후에 산소 반응물 펄스를 사용하는 공정과 비교할 경우, 본원에 설명된 방법의 구현예를 사용하여 형성되는, 도핑된 하프늄 지르코늄 산화물 층과 같이, 도핑된 강유전성 층에서 도펀트 혼입을 감소시킬 수 있다.
일부 구현예에서, 본원에 설명된 바와 같은 방법은, 둘 이상의 상이한 도펀트 원소를 포함하는, 도핑된 하프늄 지르코늄 산화물 층을 형성하는 단계를 포함할 수 있다. 특히, 그리고 일부 구현예에서, 도핑된 하프늄 지르코늄 산화물 층과 같이, 도핑된 강유전성 층을 형성하는 원자층 증착 공정, 또는 다른 주기적 증착 공정은 다음의 식 중 하나 이상을 사용하여 표현될 수 있다:
N[y(B2 + A2) + z(C1) + x(B1 + A1) + α(C2)], (iv)
N[x(B1 + A1) + z(C1) + y(B2 + A2) + α(C2)]. (v)
식 및 기호는 이전과 유사하게 정의된다. 또한, 식 iv 및 v에서, z는 순차적으로 수행된 제1 도펀트 전구체 펄스의 수를 나타내고, α는 순차적으로 수행된 제2 도펀트 전구체 펄스의 수를 나타내며, C1은 제1 도펀트 전구체 펄스를 나타내고, C2는 제2 도펀트 전구체 펄스를 나타내는 것으로 이해될 것이다.
일부 구현예에서, 파라미터 x, y, z, 및 α는 적어도 1 내지 최대 100, 또는 적어도 2 내지 최대 50, 또는 적어도 5 내지 최대 20, 또는 적어도 10 내지 최대 15의 정수로부터 독립적으로 선택될 수 있다. 일부 구현예에서, N은 적어도 2 내지 최대 10000, 또는 적어도 5 내지 최대 20, 또는 적어도 20 내지 최대 100, 또는 적어도 100 nm 내지 최대 500, 또는 적어도 500 내지 최대 2000, 적어도 2000 내지 최대 5000, 또는 적어도 5000 내지 최대 10000이다. 일부 구현예에서, x, y, z 및 α는 1이다.
일부 구현예에서, 도펀트 원소는 플로라이트 결정 구조를 갖는 산화물을 형성할 수 있다. 플로라이트 결정 구조를 갖는 산화물을 형성할 수 있는 적합한 도펀트 원소는 주석, 텔루륨, 세륨, 납, 루테늄, 팔라듐, 레늄, 오스뮴, 이리듐, 백금, 몰리브덴, 및 텅스텐을 포함한다. 따라서, 이러한 도펀트 원소는 MO2 및 MF2 구조 중 적어도 하나를 채택할 수 있다.
일부 구현예에서, 도펀트 원소는 Zr의 원자 반경보다 큰 이온 반경을 갖는다. 일부 구현예에서, 도펀트 원소는 +4의 바람직한 산화 상태를 갖는다. 일부 구현예에서, 도펀트 원소는 동일한 이온화 정도에 대해 Zr의 이온 반경보다 큰 이온 반경을 갖는다. 예를 들어, 도펀트 원소는 이온화 정도가 +1, +2, 또는 +3 원소 전하일 경우, Zr보다 더 큰 이온 반경을 가질 수 있다. 일부 구현예에서, 도펀트 원소는 +4의 바람직한 산화 상태를 갖고, 도펀트 원소는 동일한 이온화 정도에 대해 Zr의 이온 반경보다 큰 이온 반경을 갖는다.
일부 구현예에서, 도펀트 원소는 세륨을 포함한다.
일부 구현예에서, 도펀트 원소는 란타늄을 포함한다.
일부 구현예에서, 도펀트 원소는 주석, 텔루륨 세륨 및 납으로 이루어진 목록으로부터 선택된다.
일부 구현예에서, 도펀트 원소는 스트론튬이다.
일부 구현예에서, 도펀트 원소는 루테늄, 팔라듐, 레늄, 오스뮴, 이리듐, 및 백금으로 이루어진 목록으로부터 선택된다.
일부 구현예에서, 도펀트 원소는 몰리브덴 또는 텅스텐이다.
일부 구현예에서, 도펀트 원소는 루테늄(Ru)이다.
일부 구현예에서, 도핑된 하프늄 지르코늄 산화물과 같이, 도핑된 강유전성 층은 둘 이상의 도펀트를 포함할 수 있다. 예를 들어, 둘 이상의 도펀트는 주석, 텔루륨, 세륨, 납, 스트론튬, 루테늄, 팔라듐, 레늄, 오스뮴, 이리듐, 백금, 몰리브덴, 및 텅스텐으로 이루어진 목록으로부터 선택된 둘 이상의 도펀트 원소를 포함할 수 있다. 예를 들어, 둘 이상의 도펀트는 루테늄 및 스트론튬을 포함할 수 있다. 이렇게 도핑된 강유전성 층은 복수의 증착 사이클을 실행함으로써 형성될 수 있으며, 여기서 복수의 증착 사이클 중 하나는, 두 개의 상이한 도펀트 전구체, 제1 도펀트 전구체 및 제2 도펀트 전구체를 실행하는 단계를 포함하되, 상기 제1 도펀트 펄스는 상기 기판을 제1 도펀트 전구체에 노출시키는 단계를 포함하고, 상기 제1 도펀트 전구체는 제1 도펀트 원소를 포함하고, 상기 제2 도펀트 펄스는 상기 기판을 제2 도펀트 전구체에 노출시키는 단계를 포함하고, 상기 제2 도펀트 전구체는 상기 제1 도펀트 원소와 상이한 제2 도펀트 원소를 포함한다. 제1 도펀트 원소 및 제2 도펀트 원소는 주석, 텔루륨, 세륨, 납, 스트론튬, 루테늄, 팔라듐, 레늄, 오스뮴, 이리듐, 백금, 몰리브덴 및 텅스텐으로부터 독립적으로 선택될 수 있다.
일부 구현예에서, 본원에 설명된 바와 같은 방법은 표면층을 포함하는 기판을 사용한다.
일부 구현예에서, 표면층은 TiN과 같은 전이 금속 질화물을 포함한다. 일부 구현예에서, 표면층은 W 또는 Mo와 같은 전이 금속을 포함한다.
일부 구현예에서, 표면층은 전도성 산화물, 즉 표면층 전도성 산화물을 포함한다. 일부 구현예에서, 표면층 전도성 산화물은 도펀트 원소를 포함한다. 일부 구현예에서, 표면층 전도성 산화물은 도펀트 원소 및 산소를 포함한다. 즉, 기판은, 도펀트 원소 및 산소를 포함한 표면층 전도성 산화물을 포함하는, 하부 전극을 포함할 수 있다.
일부 구현예에서, 본원에 설명된 방법은 복수의 증착 사이클을 실행하기 전에 기판 상에 표면층을 형성하는 단계를 포함한다. 표면층은 대안적으로 하부 전극으로 불릴 수 있다. 표면층은 표면층 전도성 산화물을 포함한다. 일부 구현예에서, 표면층 전도성 산화물은 도펀트 원소를 포함한다. 일부 구현예에서, 표면층 전도성 산화물은 도펀트 원소 및 산소를 포함한다. 따라서, 하부 전극이 기판 상에 형성될 수 있다.
일부 구현예에서, 하부 전극과 상부 전극 중 적어도 하나는 루테늄 산화물(RuO2)을 포함한다. 유리하게는, 그리고 임의의 특정 이론 또는 작동 방식에 의해 본 발명이 구속되지 않는다면, 루테늄 산화물 전극은, 플로라이트 템플릿으로서 작용함으로써 저온에서 플로라이트 구조, 예를 들어 하프늄 지르코늄 산화물을 갖는 결정화 층, 예를 들어 강유전성 층을 유리하게 촉진할 수 있는 것으로 여겨진다. 추가적으로 또는 대안적으로, 루테늄 산화물 전극은 그의 높은 일함수 및 낮은 산소 제거 가능성으로 인해 누설 전류를 감소시킬 수 있다. 추가적으로 또는 대안적으로, 루테늄 산화물 전극은, RuO2가 전도성 전극이기 때문에 등가 산화물 두께에 대해 존재하지 않거나 무시할 만한 기여를 가질 수 있다.
일부 구현예에서, 도펀트 원소는 루테늄을 포함하고, 하부 전극과 상부 전극 중 적어도 하나는 루테늄 산화물(RuO2)을 포함한다.
일부 구현예에서, 하부 전극은, 루테늄 층 및 루테늄 산화물 층을 포함한 이중층을 포함한다.
일부 구현예에서, 하부 전극 및 상부 전극 중 적어도 하나는 루테늄, 스트론튬 및 산소를 포함한다. 예를 들어, 하부 전극 및 상부 전극 중 적어도 하나는, 모노스트론튬 루테네이트와 같은 스트론튬 루테네이트를 포함할 수 있다. 유리하게는, 이러한 전극은, 이러한 전극을 포함한 강유전성 커패시터의 누설 전류를 유리하게 감소시킬 수 있는 높은 일함수를 갖는다.
일부 구현예에서, 하부 전극과 상부 전극 중 적어도 하나는 루테늄, 스트론튬, 및 산소를 포함하고; 강유전성 층은 스트론튬, 루테늄, 또는 둘 다를 포함한다. 예를 들어, 하부 전극은 모노스트론튬 루테네이트를 포함할 수 있고, 강유전성 층은 루테늄, 스트론튬, 또는 둘 다로 도핑된 하프늄 지르코늄 산화물을 포함할 수 있다.
일부 구현예에서, 본원에 설명된 방법은 강유전성 층 상에 상부 전극을 형성하는 단계를 추가로 포함한다. 예를 들어, 강유전성 층은, 도핑되거나 도핑되지 않은 하프늄 지르코늄 산화물 층을 포함할 수 있다. 상부 전극은 상부 전도성 산화물을 포함한다. 상부 전도성 산화물은 도펀트 원소를 포함한다. 전도성 하부 전극 및 전도성 상부 전극 중 적어도 하나를 사용하면, TiN 또는 W와 같은 통상적인 전극과 비교할 경우에 높은 산소 제거 전위 및 중간 정도의 일함수를 겪을 수 있는 도핑 또는 비도핑 HfZrO2의 강유전성을 개선할 수 있다. 또한, 강유전성 층과 동일한 반응기에 이러한 전형적인 전극을 증착하는 것이 어렵거나, 비현실적이거나, 심지어 불가능할 수 있으며, 이에 따라 두 개의 반응기의 사용이 필요하여, 비용이 상승할 수 있다. 이는, 그럼에도 불구하고, 일부 구현예에서, 상부 전극은 TiN과 같은 전이 금속 질화물을 포함할 수 있다. 일부 구현예에서, 상부 전극은 W 또는 Mo와 같은 전이 금속을 포함할 수 있다.
적절한 전도성 산화물은 반도체성 산화물을 포함한다. 반도체성 산화물은 축퇴 또는 비축퇴일 수 있다. 반도체 산화물은 n형 전도성 또는 p형 전도성을 나타낼 수 있다. 일부 구현예에서, 반도체 산화물은 도핑 또는 비도핑 인듐-갈륨-아연-산화물을 포함한다. 일부 구현예에서, 반도체 산화물은 바나듐 산화물, 인듐 산화물, 및 인듐 주석 산화물로 이루어진 목록으로부터 선택된다. 인듐 갈륨 아연 산화물은 갈륨, 아연, 인듐, 산소, 및 선택적으로 도펀트 원소와 같은 추가 원소를 포함한 재료를 지칭할 수 있음을 이해할 것이다. 인듐 주석 산화물은 인듐, 주석, 산소, 및 선택적으로 도펀트 원소와 같은 추가 원소를 포함한 재료를 지칭할 수 있음을 이해할 것이다.
일부 구현예에서, 표면층 전도성 산화물 및 상부 전도성 산화물 중 적어도 하나는 루테늄 산화물을 포함한다. 이러한 구현예에서, 도펀트 원소는, 일부 구현예에서, 루테늄을 포함할 수 있다.
일부 구현예에서, 표면층 및 상부 전도성 산화물은 실질적으로 동일한 조성을 갖는다.
일부 구현예에서, 표면 및 상부 전도성 산화물 중 적어도 하나는 루테늄 산화물을 포함한다. 따라서, 일부 구현예에서, 표면층은 루테늄 산화물을 포함하고, 일부 구현예에서, 상부 전도성 산화물은 루테늄 산화물을 포함하고, 일부 구현예에서, 표면층과 상부 전도성 산화물은 루테늄 산화물을 포함한다. 따라서, 두 개의 루테늄 산화물 전극 사이에 끼워져 있는 도핑 또는 비도핑 하프늄 지르코늄 산화물 층과 같은 강유전성 층이 제조될 수 있다. 유리하게는, 표면층, 강유전성 층, 및 상부 전도성 전극은 임의의 개입하는 진공 파괴 없이 동일한 진공 시스템에 순차적으로 형성될 수 있다.
용어 "상부" 및 "하부"는 반드시 물리적 위치를 지칭하는 것은 아니지만, 단순히 하나 또는 다른 특징, 구조, 층, 또는 방법 단계를 지칭하는 데 사용될 수 있음을 이해할 것이다. 일부 구현예에서, 용어 "상부" 및 "하부"는 "제1" 및 "제2"와 같은 다른 용어로 대체될 수 있다.
일부 구현예에서, 표면층, 강유전성 층, 및 상부 전도성 전극은 하나의 동일한 반응 챔버 내에 형성될 수 있다.
대안적으로, 표면층과 상부 전도성 전극 중 적어도 하나는 제1 반응 챔버 내에 형성될 수 있고, 강유전성 층은 제2 반응 챔버 내에 형성될 수 있다. 제1 반응 챔버 및 제2 반응 챔버는 동일한 진공 시스템에 포함되고, 반응 챔버 사이의 기판 이송이 로봇 아암 또는 다른 수단에 의해 일어날 수 있고, 제1 및 제2 반응 챔버 사이의 이송 중에 진공이 파괴되지 않는 것으로 이해될 것이다.
표면층과 상부 전도성 산화물 중 적어도 하나가 루테늄 산화물을 포함할 경우, 도펀트 원소는 또한 적절하게 루테늄을 포함할 수 있다.
일부 구현예에서, 강유전성 층을 어닐링하는 단계는, 강유전성 층 상에 상부 전극을 형성하는 단계를 선행한다. 따라서, 강유전성 층의 재료 품질은, 상부 전극에 동일한 열처리를 적용하지 않고도 개선될 수 있다.
일부 구현예에서, 복수의 증착 사이클을 실행하는 단계 및 강유전성 층을 어닐링하는 단계는, 동일한 공정 챔버에서 수행된다. 이를 통해, 처리량 및 재료 품질 중 적어도 하나를 유리하게 향상시킬 수 있다.
일부 구현예에서, 본원에 설명된 바와 같은 방법은 제1 공정 챔버 및 제2 공정 챔버를 포함한 시스템에서 수행된다. 이러한 구현예에서, 복수의 증착 사이클을 실행하는 단계 및 강유전성 층을 어닐링하는 단계는, 제1 공정 챔버에서 수행될 수 있고, 상부 전극을 형성하는 단계는 제2 공정 챔버에서 수행될 수 있다.
일부 구현예에서, 본원에 설명된 바와 같은 방법은, 제1 공정 챔버, 제2 공정 챔버, 및 제3 공정 챔버를 포함한 시스템에서 수행된다. 이러한 구현예에서, 복수의 증착 사이클을 실행하는 단계는 제1 공정 챔버에서 수행될 수 있고, 강유전성 층을 어닐링하는 단계는 제2 공정 챔버에서 수행될 수 있고, 상부 전극을 형성하는 단계는 제3 공정 챔버에서 수행될 수 있다. 선택적으로, 하부 전극은 또한, 제3 공정 챔버 내에 또는 제4 공정 챔버 내에 형성될 수 있다. 적절하게는, 하부 전극은 강유전성 층의 형성 전에 형성될 수 있다. 적절하게는, 시스템은 임의의 개입하는 진공 파괴 없이, 제1 공정 챔버, 제2 공정 챔버, 및 제3 공정 챔버로부터, 제1 공정 챔버, 제2 공정 챔버, 및 제3 공정 챔버로부터 선택된 다른 공정 챔버로, 기판을 이송하도록 배열되는 로봇 이송 시스템을 포함할 수 있다.
일부 구현예에서, 하프늄 전구체는 산화 상태 +4에서 하프늄을 포함한다.
일부 구현예에서, 하프늄 전구체는 알킬아미도 리간드, 알콕시 리간드, 시클로펜타디에닐 리간드, 베타-디케토네이트 리간드, 알킬 리간드, 아미디네이트 리간드, 및 할라이드 리간드로부터 선택된 하나 이상의 리간드를 포함한다.
일부 구현예에서, 하프늄 전구체는 알킬아미도 리간드 및 디알킬아미도 리간드 중 적어도 하나를 포함할 수 있다. 적절한 하프늄 알킬아민은 테트라키스(디메틸아미노)하프늄, 테트라키스(디에틸아미노)하프늄, 및 테트라키스(에틸메틸아미노)하프늄을 포함한다.
일부 구현예에서, 하프늄 전구체는 하프늄 할라이드, 예컨대 하프늄 클로라이드, 하프늄 브로마이드, 또는 하프늄 요오드를 포함한다. 적절한 하프늄 클로라이드는 HfCl4를 포함한다. 적절한 하프늄 브로마이드는 HfBr4를 포함한다. 적절한 하프늄 요오드는 HfI4를 포함한다.
일부 구현예에서, 하프늄 전구체는 헤테로렙틱 하프늄 전구체를 포함한다. 일부 구현예에서, 헤테로렙틱 하프늄 전구체는 미치환 또는 알킬 치환된 하프늄 시클로펜타디에닐 리간드를 포함한다. 일부 구현예에서, 하프늄 전구체는 하나 이상의 알킬아미도 리간드를 포함한다. 일부 구현예에서, 하프늄 전구체는 알킬아미도 리간드 및 미치환 또는 알킬 치환된 시클로펜타디에닐 리간드를 포함한다. 적절한 하프늄 전구체는 HfCp(NMe2)3, 즉 트리스(디메틸아미노)시클로펜타디에닐 하프늄을 포함한다.
일부 구현예에서, 지르코늄 전구체는 산화 상태 +4에서 지르코늄을 포함한다.
일부 구현예에서, 지르코늄 전구체는 알킬아미도 리간드, 알콕시 리간드, 시클로펜타디에닐 리간드, 알킬시클로펜타디에닐 리간드, 베타-디케토네이트 리간드, 알킬 리간드, 아미디네이트 리간드, 및 할라이드 리간드로 이루어진 목록으로부터 선택된 하나 이상의 리간드를 포함한다.
일부 구현예에서, 지르코늄 전구체는 알킬아미도 리간드 및 디알킬아미도 리간드 중 적어도 하나를 포함할 수 있다. 적절한 지르코늄 알킬아민은 테트라키스(디메틸아미노)지르코늄, 테트라키스(디에틸아미노)지르코늄, 및 테트라키스(에틸메틸아미노)지르코늄을 포함한다.
일부 구현예에서, 지르코늄 전구체는 헤테로렙틱 지르코늄 전구체를 포함한다. 일부 구현예에서, 헤테로렙틱 지르코늄 전구체는 미치환 또는 알킬 치환된 지르코늄 시클로펜타디에닐 리간드를 포함한다. 일부 구현예에서, 지르코늄 전구체는 하나 이상의 알킬아미도 리간드를 포함한다. 일부 구현예에서, 지르코늄 전구체는 알킬아미도 리간드 및 미치환 또는 알킬 치환된 시클로펜타디에닐 리간드를 포함한다. 적절한 지르코늄 전구체는 HfCp(NMe2)3, 즉 트리스(디메틸아미노)시클로펜타디에닐 지르코늄을 포함한다.
일부 구현예에서, 도펀트 전구체는 산화 상태 +4에서 도펀트 원소를 포함한다. 일부 구현예에서, 제1 도펀트 전구체는 +4 산화 상태에서 도펀트 원소를 포함한다. 일부 구현예에서, 제2 도펀트 전구체는 +4 산화 상태에서 도펀트 원소를 포함한다. 일부 구현예에서, 하프늄 전구체는 +4 산화 상태에서 하프늄을 포함하고, 지르코늄 전구체는 +4 산화 상태에서 지르코늄을 포함하고, 도펀트 전구체는 +4 산화 상태에서 도펀트 원소를 포함한다.
일부 구현예에서, 도펀트 전구체는 화학식 M(RCp)x(L)y로 나타낼 수 있는 화합물을 포함하되, M은 희토류 금속이고, 여기서 R은 H, Me, Et, iPr, 및 tBu로부터 선택되고, 여기서 L은 N,N'-디이소프로필아세트아미디네이트, N,N'-디-터트-부틸아세트아미네이트, N,N'-디이소프로필포름아미디네이트, 및 N,N'-디-터트-부틸포름아미디네이트로부터 선택된다.
일부 구현예에서, 본원에 설명된 바와 같이 도핑된 하프늄 지르코늄 산화물을 형성하는 공정은, 두 개의 상이한 도펀트 전구체, 특히 제1 도펀트 전구체 및 제2 도펀트 전구체를 펄스화하는 단계를 포함한다. 일부 구현예에서, 제1 도펀트 전구체 및 제2 도펀트 전구체는 화학식 M(RCp)x(L)y로 나타낼 수 있는 화합물로부터 독립적으로 선택되며, M은 희토류 금속이고, 여기서 R은 H, Me, Et, iPr, 및 tBu로부터 선택되고, L은 N,N'-디이소프로필아세트아미디네이트, N,N'-디-터트-부틸아세트아미디네이트, N,N'-디이소프로필포름아미디네이트, 및 N,N'-디-터트-부틸포름아미디네이트로부터 선택된다.
적절한 희토류 금속은 란타늄, 세륨, 프라세오디뮴, 네오디뮴, 프로메튬, 사마륨, 유로피움, 가돌리늄, 테르븀, 디스프로슘, 홀뮴, 에르븀, 튜륨, 이터븀, 및 루테튬을 포함한다.
일부 구현예에서, 란타늄 전구체는 산화 상태 +4에서 란타늄을 포함한다.
일부 구현예에서, 란타늄 전구체는 알킬아미도 리간드, 알콕시 리간드, 시클로펜타디에닐 리간드, 알킬시클로펜타디에닐 리간드, 베타-디케토네이트 리간드, 알킬 리간드, 아미디네이트 리간드, 및 할라이드 리간드로 이루어진 목록으로부터 선택된 하나 이상의 리간드를 포함한다.
일부 구현예에서, 란타늄 전구체는 화학식 La(RCp)2(L)로 나타낼 수 있는 화합물을 포함하되, 여기서 R은 H, Me, Et, iPr, 및 tBu로부터 선택되고, 여기서 L은 N,N'-디이소프로필아세트아미디네이트, N,N'-디-터트-부틸아세트아미네이트, N,N'-디이소프로필포름아미디네이트, 및 N,N'-디-터트-부틸포름아미디네이트로부터 선택된다.
일부 구현예에서, 루테늄 전구체는 +2 이하의 산화 상태에서, 예를 들어 +2, +1, 또는 0의 산화 상태에서 루테늄을 포함한다. 이렇게 상대적으로 낮은 Ru 산화 상태는 사이클 당 성장에 상당한 영향을 미치지 않으면서, 상대적으로 더 빠른 핵생성 및 더 낮은 ALD 윈도우 온도를 갖는 루테늄 전구체의 사용을 포함하는 ALD 증착 공정과 상관 관계가 있다. 또한, 루테늄 전구체가 전도성 금속 산화물 전극, 예를 들어 상부 전극 또는 하부 전극을 형성하기 위해 사용될 경우, 루테늄 전구체 산화 상태는 비저항(resistivity)과 약하게 상관된다. 따라서, 낮은 산화 상태, 예를 들어 0의 산화 상태에서 루테늄을 포함한 루테늄 전구체, 및 O2와 같은 산소 반응물을 사용하는 ALD 공정은, 유리하게는 낮은 증착 온도, 낮은 비저항 및 빠른 핵생성을 제공할 수 있다.
일부 구현예에서, 루테늄 전구체는 +3 또는 +4 산화 상태에서 루테늄을 포함할 수 있다.
일부 구현예에서, 루테늄 전구체는 +8 산화 상태에서 루테늄을 포함할 수 있다. 이러한 전구체의 예시는 RuO4를 포함한다.
일부 구현예에서, 루테늄 전구체는 하나 이상의 알킬 치환된 벤젠 리간드 및 하나 이상의 디엔 리간드를 포함한다. 이러한 전구체의 예시는 Ru(에틸벤젠)(1,3-부타디엔)을 포함한다.
일부 구현예에서, 루테늄 전구체는 하나 이상의 알킬 치환된 디엔 리간드 및 하나 이상의 카르보닐 리간드를 포함한다. 이러한 전구체의 예시는 Ru(2,3-디메틸-1,3-부타디엔)(CO)3을 포함한다.
일부 구현예에서, 루테늄 전구체는 1,3-시클로헥사디엔 또는 1,4-시클로헥사디엔 리간드와 같은 시클로헥사디엔 리간드를 포함한다. 이러한 전구체의 예시는 (이소프로필메틸벤젠)(시클로헥사디엔)루테늄을 포함한다.
일부 구현예에서, 루테늄 전구체는 1,3-부타디엔 리간드와 같은 부타디엔 리간드를 포함한다. 이러한 전구체의 예시는 (에틸벤젠)(1,3-부타디엔)루테늄을 포함한다.
일부 구현예에서, 루테늄 전구체는 하나 이상의 킬레이트화 또는 비-킬레이트화 알콕시 리간드를 포함한다.
일부 구현예에서, 루테늄 전구체는 킬레이트화 리간드를 포함할 수 있다. 예를 들어, 루테늄 전구체는 베타-디케토네이트 리간드를 포함할 수 있다. 예를 들어, 루테늄 전구체는 트리스(2,2,6,6-테트라메틸-3,5-헵탄디오나토)루테늄(III)을 포함할 수 있다.
일부 구현예에서, 루테늄 전구체는 루테늄 π 복합체를 포함한다. 일부 구현예에서, 루테늄 전구체는 하나 이상의 치환 또는 미치환된 시클로펜타디에닐 리간드를 포함할 수 있다. 예를 들어, 루테늄 전구체는 비스(η5-에틸시클로펜타디에닐)루테늄(II), (η6-이소프로필메틸벤젠)(η5-시클로헵타디에닐)루테늄, (η6-에틸벤젠)(η5-시클로헵타디에닐)루테늄, (η6-에틸벤젠)(η5-에틸시클로헵타디에닐)루테늄, 및 비스(η5-시클로펜타디에닐)루테늄(II) 중 적어도 하나를 포함할 수 있다.
일부 구현예에서, 루테늄 전구체는 하나 이상의 헤테로시클릭 리간드, 예컨대 헤테로시클릭 방향족 리간드를 포함한다. 일부 구현예에서, 루테늄 전구체는 적어도 하나의 치환 또는 미치환된 피리딘 리간드를 포함할 수 있다. 일부 구현예에서, 피리딘 리간드는 하나 이상의 알킬 치환기를 포함할 수 있다. 적절한 알킬 치환기는 메틸, 에틸, 프로필, 및 부틸 치환기를 포함할 수 있다. 예를 들어, 루테늄 전구체는 (η5-에틸시클로펜타디에닐)(피리딘)루테늄(II) 및 비스(디메틸피리딘)루테늄(II) 중 적어도 하나를 포함할 수 있다.
일부 구현예에서, 루테늄 전구체는 하나 이상의 선형, 분지형 또는 환형 디에닐 리간드를 포함한다. 예를 들어, 루테늄 전구체는 비스(η5-2,4-디메틸펜타디에닐)루테늄(II) 및 음이온성 디에닐 리간드, 예컨대 Ru(η5-시클로헵타디에닐)2 중 적어도 하나를 포함할 수 있다. 일부 구현예에서, 루테늄 전구체는 부타디엔 유도 리간드 및 시클로헥사디엔 유도 리간드 중 적어도 하나를 포함한다.
일부 구현예에서, 루테늄 전구체는 하나 이상의 카르보닐 리간드를 포함한다. 예를 들어, 루테늄 전구체는 하나 이상의 카르보닐 리간드 및 하나 이상의 시클로펜타디에닐 리간드를 포함할 수 있다. 예를 들어, 루테늄 전구체는 하나 이상의 카르보닐 리간드, 하나 이상의 시클로펜타디에닐 리간드, 및 하나 이상의 알킬 리간드를 포함할 수 있다. 예를 들어, 루테늄 전구체는 (시클로펜타디에닐)비스(카르보닐)에틸 루테늄(II)을 포함할 수 있다.
일부 구현예에서, 세륨 전구체는 +4 산화 상태에서 세륨을 포함한다.
일부 구현예에서, 세륨 전구체는 +3 산화 상태에서 세륨을 포함한다.
일부 구현예에서, 세륨 전구체는 알킬아미도 리간드, 디알킬아미도 리간드, 시클로펜타디에닐 리간드, 알킬시클로펜타디에닐 리간드, 아미디네이트 리간드, 베타-디케토네이트 리간드, 및 알콕시드 리간드로부터 선택된 하나 이상의 리간드를 포함한다.
일부 구현예에서, 세륨 전구체는 화학식 Ce(RCp)2(L)로 나타낼 수 있는 화합물을 포함하되, 여기서 R은 H, Me, Et, iPr, 및 tBu로부터 선택되고, 여기서 L은 N,N'-디이소프로필아세트아미디네이트, N,N'-디-터트-부틸아세트아미네이트, N,N'-디이소프로필포름아미디네이트, 및 N,N'-디-터트-부틸포름아미디네이트로부터 선택된다.
일부 구현예에서, 스칸듐 전구체는 산화 상태 +4에서 스칸듐을 포함한다.
일부 구현예에서, 스칸듐 전구체는 산화 상태 +3에서 스칸듐을 포함한다.
일부 구현예에서, 스칸듐 전구체는 알킬아미도 리간드, 디알킬아미도 리간드, 시클로펜타디에닐 리간드, 알킬시클로펜타디에닐 리간드, 아미디네이트 리간드, 베타-디케토네이트 리간드, 및 알콕시드 리간드로부터 선택된 하나 이상의 리간드를 포함한다.
일부 구현예에서, 스칸듐 전구체는 트리스(시클로펜타디에닐)스칸듐과 같은 시클로펜타디에닐 리간드를 포함한다.
일부 구현예에서, 스칸듐 전구체는 양이온성 스칸듐 아미드 복합체를 포함한다. 이러한 전구체의 예시는 Sc[N(SiHMe2)2]3(THF)이며, Me는 메틸을, THF는 테트라하이드로푸란을 나타낸다.
일부 구현예에서, 스칸듐 전구체는 아미디네이트 및 미치환 또는 알킬실릴 치환된 시클로펜타디에닐 리간드를 포함한다. 이러한 전구체의 예는 Sc(Cp)2(NiPr Me-amd), Sc(EtCp)2(NiPr Me-amd), 및 Sc(iPrCp)2(NiPr Me-amd)를 포함한다. Cp는 시클로펜타디에닐을 의미하고, iPr은 이소프로필을 의미하고, Me는 메틸을 의미하고, amd는 아미디네이트를 의미하고, NiPr 질소-결합 이소프로필기를 나타내는 것으로 이해될 것이다. 이러한 전구체 명명법이 설명되고, 이러한 전구체를 제조하는 방법이 공개 번호 US 2016/0315168 Al를 갖는 미국 특허 출원에 개시된다.
일부 구현예에서, 스칸듐 전구체는 화학식 Sc(RCp)2(L)로 나타낼 수 있는 화합물을 포함하되, 여기서 R은 H, Me, Et, iPr, 및 tBu로부터 선택되고, 여기서 L은 N,N'-디이소프로필아세트아미디네이트, N,N'-디-터트-부틸아세트아미네이트, N,N'-디이소프로필포름아미디네이트, 및 N,N'-디-터트-부틸포름아미디네이트로부터 선택된다.
일부 구현예에서, 산소 반응물은 H2O, H2O2, O2, O3, N2O, NO, 및 NO2 중 하나 이상을 포함한다.
하나 이상의 공정 챔버를 포함한 시스템이 추가로 설명된다. 시스템은 하프늄 전구체 공급원을 추가로 포함한다. 하프늄 전구체 공급원은 하프늄 전구체를 포함한다. 시스템은 지르코늄 전구체 공급원을 추가로 포함한다. 지르코늄 전구체 공급원은 지르코늄 전구체를 포함한다. 시스템은 도펀트 전구체 공급원을 추가로 포함한다. 도펀ㅌ트 전구체 공급원은 도펀트 전구체를 포함한다. 시스템은 산소 반응물 공급원을 추가로 포함한다. 산소 반응물 공급원은 산소 반응물을 포함한다. 시스템은 제어기를 추가로 포함한다. 제어기는, 하나 이상의 공정 챔버 내에 가스 흐름을 제어하고 본원에 설명된 바와 같은 방법에 의해 기판을 처리시키도록 구성된다.
예시적인 구현예에서, 도 1을 참조한다. 도 1은 설명된 방법의 일 구현예를 나타낸다. 상기 방법은, 기판을 제1 공정 챔버에 제공하는 단계(111)를 포함한다. 그 다음, 상기 방법은 기판 상에 강유전성 층을 형성하는 단계(112)를 포함한다. 강유전성 층은 도핑 또는 비도핑 HfZrO2를 포함할 수 있다. 대안적으로, 강유전성 층은 도핑된 HfO2를 포함할 수 있다. 적절하게는, 강유전성 층은 원자층 증착과 같은 주기적 증착 공정에 의해 형성될 수 있다. 일부 구현예에서, 강유전성 층은 본원에 설명된 방법에 의해 형성된다. 추가 단계(113)에서, 기판은 어닐링 단계를 거친다. 예를 들어, 기판은, 귀가스를 포함하여 실질적으로 불활성 어닐링 분위기에서 어닐링될 수 있다. 다른 적절한 어닐링 분위기는 산소 함유 분위기, 예컨대 O2 함유 분위기를 포함한다. 적절하게는, 어닐링은 적어도 300℃ 내지 최대 500℃, 또는 적어도 350℃ 내지 최대 450℃의 어닐링 온도에서, 예를 들어 약 400℃의 온도에서 수행될 수 있다. 어닐링 후, 기판은 제2 공정 챔버로 이송(114)될 수 있다. 제2 공정 챔버는 전용 공정 챔버, 또는 로드 록과 같은 다른 챔버일 수 있다. 적절하게는, 제1 공정 챔버 및 제2 공정 챔버는, 임의의 개입하는 진공 파괴 없이 제1 공정 챔버 및 제2 공정 챔버 내의 기판 상에서 공정이 수행될 수 있도록, 즉, 제1 공정 챔버 및 제2 공정 챔버 내의 공정 사이에서 기판을 대기 중 공기에 노출시키지 않고서 공정이 제1 공정 챔버 및 제2 공정 챔버 내에서 처리될 수 있도록, 동일한 진공 시스템에 포함될 수 있다. 제2 공정 챔버에서, 상부 전극은 추가 단계(115)에서 강유전성 층 상에 형성될 수 있다. 적절한 상부 전극은 원자층 증착 공정을 사용하여 형성될 수 있고, 인듐-갈륨-아연-산화물(IGZO), 인듐-주석-산화물(ITO), 니켈 산호물(NiO), 및 구리 산화물(Cu2O)과 같은 반도체성 산화물을 포함한다. 따라서, 강유전성 층은, 상부 전극에 그의 특성을 손상시킬 수 있는 열 처리를 하지 않고 그의 특성을 개선하기 위한 열 처리를 받을 수 있다. 상부 전극을 형성하는 단계(115) 후에, 방법은 종료(116)되고, 원하는 경우 기판은 추가 처리 단계를 거칠 수 있다.
도 2는 본원에 설명된 방법의 일 구현예의 공정 흐름을 개략적으로 나타낸다. 상기 방법은 기판 지지부 상에 기판을 위치시키는 단계(211)를 포함한다. 그 다음, 상기 방법은 산소 반응물 펄스를 실행하는 단계(212)를 포함한다. 산소 반응물 펄스는 기판을 산소 반응물에 노출시키는 단계를 포함한다. 본원에 설명된 바와 같이 임의의 적절한 산소 반응물이 산소 반응물 펄스 동안 사용될 수 있다. 적절한 산소 반응물은 O2 및 O3과 같은 산소 함유 반응물, H2O 및 H2O2와 같은 산소 및 수소 함유 반응물, 및 N2O 및 NO 및 NO2와 같은 산소 및 질소 함유 반응물을 포함한다. 이어서, 선택적으로, 산소 반응물후 퍼지(213)가 수행된다. 산소 반응물후 퍼지(213)는 기판을 산소 반응물에 노출시키는 단계를 포함한다. 그 다음, 상기 방법은 전구체 펄스를 실행하는 단계(214)를 포함한다. 전구체 펄스는 기판을 전구체에 노출시키는 단계를 포함한다. 적절한 전구체는 본원에 설명된 바와 같은 하프늄 전구체 또는 지르코늄 전구체를 포함한다. 전구체 펄스(214) 후, 상기 방법은 선택적으로 전구체후 퍼지를 실행하는 단계(215)를 포함한다. 산소 반응물 펄스(212), 전구체 펄스(214), 및 이들의 각각의 선택적인 퍼지(213, 215)는 원하는 두께를 갖는 재료가 증착될 때까지 한 번 이상 반복된다(220). 원하는 두께를 갖는 재료가 증착되었을 경우에, 방법은 종료(218)된다.
도 3은 본원에 설명된 방법의 다른 구현예에 따라 공정 흐름을 개략적으로 나타낸다. 상기 방법은 기판 지지부 상에 기판을 위치시키는 단계(311)를 포함한다. 그 다음, 상기 방법은 산소 반응물 펄스를 실행하는 단계(312)를 포함한다. 산소 반응물 펄스는 기판을 산소 반응물에 노출시키는 단계를 포함한다. 본원에 설명된 바와 같이 임의의 적절한 산소 반응물이 산소 반응물 펄스 동안 사용될 수 있다. 이어서, 선택적으로, 산소 반응물후 퍼지(313)가 수행된다. 산소 반응물후 퍼지(313)는 기판을 산소 반응물에 노출시키는 단계를 포함한다. 그 다음, 상기 방법은 제1 전구체 펄스를 실행하는 단계(314)를 포함한다. 제1 전구체 펄스는 기판을 제1 전구체에 노출시키는 단계를 포함한다. 적절한 제1 전구체는 본원에 설명된 바와 같은 하프늄 전구체 또는 지르코늄 전구체를 포함한다. 제1 전구체 펄스(314) 후, 상기 방법은 선택적으로 제1 전구체후 퍼지를 실행하는 단계(315)를 포함한다. 산소 반응물 펄스(312), 제1 전구체 펄스(314), 및 이들의 각각의 선택적인 퍼지(313, 315)는 한 번 이상 반복되어(320) 하나 이상의 제1 전구체 사이클(320)을 형성한다. 그 다음, 도펀트 전구체 펄스(316)가 수행된다. 도펀트 전구체 펄스(316)는 기판을 도펀트 전구체에 노출시키는 단계를 포함한다. 적절한 도펀트 전구체는 본원의 다른 곳에서 개시된다. 도펀트 전구체 펄스(316) 후 도펀트 전구체후 퍼지(317)가 수행된다. 도펀트 전구체후 퍼지는 기판을 퍼지 가스에 노출시키는 단계를 포함한다. 제1 전구체 사이클(320), 도펀트 전구체 펄스(316), 및 임의의 상응하는 퍼지는 한 번 이상 반복(319)되어 한 번 이상의 슈퍼 사이클(319)을 형성한다. 적절한 양의 슈퍼 사이클(319)이 수행된 후, 상기 방법은 종료된다.
도 4는 본원에 설명된 방법의 다른 구현예에 따라 공정 흐름을 개략적으로 나타낸다. 상기 방법은 기판 지지부 상에 기판을 위치시키는 단계(411)를 포함한다. 그 다음, 상기 방법은 제1 산소 반응물 펄스를 실행하는 단계(412)를 포함한다. 제1 산소 반응물 펄스는 기판을 제1 산소 반응물에 노출시키는 단계를 포함한다. 본원에 설명된 바와 같이 임의의 적절한 산소 반응물이 제1 산소 반응물 펄스 동안 사용될 수 있다. 그 다음, 상기 방법은 제1 전구체 펄스를 실행하는 단계(413)를 포함한다. 제1 전구체 펄스는 기판을 제1 전구체에 노출시키는 단계를 포함한다. 적절한 제1 전구체는 본원에 설명된 바와 같은 하프늄 전구체 또는 지르코늄 전구체를 포함한다. 산소 반응물 펄스(412) 및 제1 전구체 펄스(413)는 한 번 이상 선택적으로 반복(419)될 수 있는 제1 서브 사이클(419)을 형성한다.
그 다음, 도 4의 방법은 제2 산소 반응물 펄스를 실행하는 단계(414)를 포함한다. 제2 산소 반응물 펄스(414)는 기판을 제2 산소 반응물에 노출시키는 단계를 포함한다. 본원에 설명된 바와 같이 임의의 적절한 산소 반응물이 제2 산소 반응물 펄스 동안 사용될 수 있다. 그 다음, 상기 방법은 제2 전구체 펄스를 실행하는 단계(415)를 포함한다. 제2 전구체 펄스(415)는 기판을 제2 전구체에 노출시키는 단계를 포함한다. 적절한 제2 전구체는 본원에 설명된 바와 같은 하프늄 전구체 또는 지르코늄 전구체를 포함한다. 제1 전구체와 제2 전구체는 상이하다는 것을 이해해야 한다. 제1 산소 반응물 및 제2 산소 반응물은 동일하거나 상이할 수 있다. 제2 산소 반응물 펄스(414) 및 제2 전구체 펄스(415)는 선택적으로 한 번 이상 반복(420)될 수 있는 제2 서브 사이클(420)을 형성한다.
하나 이상의 제1 서브 사이클(419) 및 하나 이상의 제2 서브 사이클(420)은 함께 증착 사이클(418)을 형성한다. 증착 사이클(418)은 한 번 이상 선택적으로 반복될 수 있다. 그 다음, 도펀트 전구체 펄스(416)가 수행된다. 도펀트 전구체 펄스(416)는 기판을 도펀트 전구체에 노출시키는 단계를 포함한다. 적절한 도펀트 전구체는 본원의 다른 곳에서 개시된다. 선택적으로, 퍼지는 도 4의 현재 설명된 방법의 구현예에서 실행된 하나 이상의 펄스 후에 실행될 수 있음을 유의한다.
하나 이상의 증착 사이클(418) 및 후속 도펀트 전구체 펄스(416)는 함께 슈퍼 사이클(421)을 형성한다. 선택적으로, 슈퍼 사이클(421)은 한 번 이상 반복된다. 적절한 양의 슈퍼 사이클(421)이 수행된 후, 상기 방법은 종료(417)된다.
도 5는 본원에 설명된 방법의 다른 구현예에 따라 공정 흐름을 개략적으로 나타낸다. 상기 방법은 기판 지지부 상에 기판을 위치시키는 단계(511)를 포함한다. 그 다음, 상기 방법은 제1 산소 반응물 펄스를 실행하는 단계(512)를 포함한다. 제1 산소 반응물 펄스는 기판을 제1 산소 반응물에 노출시키는 단계를 포함한다. 본원에 설명된 바와 같이 임의의 적절한 산소 반응물이 제1 산소 반응물 펄스 동안 사용될 수 있다. 그 다음, 상기 방법은 제1 전구체 펄스를 실행하는 단계(513)를 포함한다. 제1 전구체 펄스는 기판을 제1 전구체에 노출시키는 단계를 포함한다. 적절한 제1 전구체는 본원에 설명된 바와 같은 하프늄 전구체 또는 지르코늄 전구체를 포함한다. 제1 산소 반응물 펄스(512) 및 제1 전구체 펄스(513)는 한 번 이상 선택적으로 반복(519)될 수 있는 제1 서브 사이클(519)을 형성한다.
하나 이상의 제1 서브 사이클(519)에 이어서 도펀트 전구체 펄스(516)가 이어진다. 도펀트 전구체 펄스(516)는 기판을 도펀트 전구체에 노출시키는 단계를 포함한다. 적절한 도펀트 전구체는 본원의 다른 곳에서 개시된다. 하나 이상의 제1 서브 사이클(519) 및 도펀트 전구체 펄스(516)는 함께 한 번 이상 선택적으로 반복(518)될 수 있는 제1 사이클(518)을 형성한다.
그 다음, 도 5의 방법은 제2 산소 반응물 펄스를 실행하는 단계(514)를 포함한다. 제2 산소 반응물 펄스(514)는 기판을 제2 산소 반응물에 노출시키는 단계를 포함한다. 본원에 설명된 바와 같이 임의의 적절한 산소 반응물이 제2 산소 반응물 펄스 동안 사용될 수 있다. 그 다음, 상기 방법은 제2 전구체 펄스를 실행하는 단계(515)를 포함한다. 제2 전구체 펄스(515)는 기판을 제2 전구체에 노출시키는 단계를 포함한다. 적절한 제2 전구체는 본원에 설명된 바와 같은 하프늄 전구체 또는 지르코늄 전구체를 포함한다. 제1 전구체와 제2 전구체는 상이하다는 것을 이해해야 한다. 제1 산소 반응물 및 제2 산소 반응물은 동일하거나 상이할 수 있다. 제2 산소 반응물 펄스(514) 및 제2 전구체 펄스(515)는 선택적으로 한 번 이상 반복(520)될 수 있는 제2 서브 사이클(520)을 형성한다. 선택적으로, 퍼지는 도 5의 현재 설명된 방법의 구현예에서 실행된 하나 이상의 펄스 후에 실행될 수 있음을 유의한다.
하나 이상의 제1 사이클(518) 및 후속하는 하나 이상의 제2 서브 사이클(520)은 함께 슈퍼 사이클(521)을 형성한다. 선택적으로, 슈퍼 사이클(521)은 한 번 이상 반복된다. 적절한 양의 슈퍼 사이클(521)이 수행된 후, 상기 방법은 종료(517)된다.
도 6은 본원에 설명된 방법의 다른 구현예에 따라 공정 흐름을 개략적으로 나타낸다. 상기 방법은 기판 지지부 상에 기판을 위치시키는 단계(611)를 포함한다. 그 다음, 상기 방법은 제1 산소 반응물 펄스를 실행하는 단계(612)를 포함한다. 제1 산소 반응물 펄스는 기판을 제1 산소 반응물에 노출시키는 단계를 포함한다. 본원에 설명된 바와 같이 임의의 적절한 산소 반응물이 제1 산소 반응물 펄스 동안 사용될 수 있다. 그 다음, 상기 방법은 제1 전구체 펄스를 실행하는 단계(613)를 포함한다. 제1 전구체 펄스는 기판을 제1 전구체에 노출시키는 단계를 포함한다. 적절한 제1 전구체는 본원에 설명된 바와 같은 하프늄 전구체 또는 지르코늄 전구체를 포함한다. 제1 산소 반응물 펄스(612) 및 제1 전구체 펄스(613)는 한 번 이상 선택적으로 반복(619)될 수 있는 제1 서브 사이클(619)을 형성한다.
하나 이상의 제1 서브 사이클(619)에 이어서 제1 도펀트 전구체 펄스(614)가 이어진다. 제1 도펀트 전구체 펄스(614)는 기판을 도펀트 전구체에 노출시키는 단계를 포함한다. 적절한 도펀트 전구체는 본원의 다른 곳에서 개시된다. 하나 이상의 제1 서브 사이클(619) 및 도펀트 전구체 펄스(614)는 함께 한 번 이상 선택적으로 반복(622)될 수 있는 제1 사이클(622)을 형성한다.
그 다음, 도 6의 방법은 제2 산소 반응물 펄스를 실행하는 단계(615)를 포함한다. 제2 산소 반응물 펄스(615)는 기판을 제2 산소 반응물에 노출시키는 단계를 포함한다. 본원에 설명된 바와 같이 임의의 적절한 산소 반응물이 제2 산소 반응물 펄스 동안 사용될 수 있다. 그 다음, 상기 방법은 제2 전구체 펄스를 실행하는 단계(616)를 포함한다. 제2 전구체 펄스(616)는 기판을 제2 전구체에 노출시키는 단계를 포함한다. 적절한 제2 전구체는 본원에 설명된 바와 같은 하프늄 전구체 또는 지르코늄 전구체를 포함한다. 제2 산소 반응물 펄스(615) 및 제2 전구체 펄스(616)는 선택적으로 한 번 이상 반복될(620) 수 있는 제2 서브 사이클(620)을 형성한다. 하나 이상의 제2 서브 사이클(620) 후, 제2 도펀트 전구체 펄스(617)가 수행된다. 제2 도펀트 전구체 펄스(617)는 기판을 도펀트 전구체에 노출시키는 단계를 포함한다. 적절한 도펀트 전구체는 본원의 다른 곳에서 개시된다. 하나 이상의 제2 서브 사이클(620) 및 제2 도펀트 전구체 펄스(617)는 함께 제2 사이클(623)을 형성하며, 이는 선택적으로 한 번 이상 반복(623)될 수 있다. 선택적으로, 퍼지는 도 6의 현재 설명된 방법의 구현예에서 실행된 하나 이상의 펄스 후에 실행될 수 있음을 유의한다. 제1 전구체와 제2 전구체는 상이하다는 것을 이해해야 한다. 제1 산소 반응물 및 제2 산소 반응물은 동일하거나 상이할 수 있다. 제1 도펀트 전구체 및 제2 도펀트 전구체는 동일하거나 상이할 수 있다. 일부 구현예에서, 제1 도펀트 전구체는, 제2 도펀트 전구체에 포함된 제2 도펀트와 동일한 제1 도펀트를 포함한다. 일부 구현예에서, 제1 도펀트 전구체는, 제2 도펀트 전구체에 포함된 제2 도펀트와 상이한 제1 도펀트를 포함한다.
하나 이상의 제1 사이클(622) 및 후속하는 하나 이상의 제2 사이클(623)은 함께 슈퍼 사이클(621)을 형성한다. 슈퍼 사이클(621)은 한 번 이상 반복된다. 적절한 양의 슈퍼 사이클(621)이 수행된 후, 도 6의 상기 방법은 종료(617)된다.
도 6에 따른 방법은, 반응 챔버 내에서 원하는 증착 온도로 기판을 가열하는 단계를 포함할 수 있다. 일부 구현예에서, 도 6에 따른 방법은 800℃ 미만의 온도로 기판을 가열하는 단계를 포함할 수 있다. 예를 들어, 본 개시의 일부 구현예에서, 증착 온도로 기판을 가열하는 단계는 대략 20℃ 내지 대략 800℃, 대략 100℃ 내지 대략 500℃, 대략 150℃ 내지 대략 450℃, 또는 대략 200℃ 내지 대략 400℃, 또는 대략 200℃ 내지 대략 250℃, 또는 대략 250℃ 내지 대략 300℃, 또는 대략 300℃ 내지 대략 350℃, 또는 대략 350℃ 내지 대략 400℃의 온도로 기판을 가열하는 단계를 포함할 수 있다.
기판 온도의 제어 이외에, 반응 챔버 내의 압력도 또한 조절될 수 있다. 예를 들어, 본 개시의 일부 구현예에서 도 2에 따른 방법 동안에 반응 챔버 내의 압력은 760 토르 미만, 또는 0.2 토르 내지 760 토르, 약 1 토르 내지 100 토르, 또는 약 1 토르 내지 10 토르, 또는 약 0.5 토르 내지 10 토르, 또는 3 토르 미만, 또는 2 토르 미만, 또는 1 토르 미만일 수 있다.
일부 구현예에서, 도 6에 따른 방법은 적어도 1 토르 내지 최대 5 토르의 압력, 및 적어도 175℃ 내지 최대 300℃의 온도에서 수행될 수 있다. 적절한 제1 전구체는 하프늄 전구체, 예컨대 호모렙틱 하프늄 전구체, 예컨대 트라키스(에틸메틸아미도)하프늄(IV)와 같은 알킬아미도 리간드를 포함한 호모렙틱 하프늄 전구체를 포함한다. 적절한 제2 전구체는 지르코늄 전구체, 예컨대 호모렙틱 지르코늄 전구체, 예컨대 테트라키스(에틸메틸아미도)지르코늄(IV)와 같은 알킬아미도 리간드를 포함한 호모렙틱 지르코늄 전구체를 포함한다. 일부 구현예에서, 지르코늄 전구체는 제1 전구체로서 사용될 수 있고, 하프늄 전구체는 제2 전구체로서 사용될 수 있거나, 그 반대일 수 있다. 일부 구현예에서, 제1 산소 반응물은 오존을 포함하고 제2 산소 반응물은 물을 포함한다. 일부 구현예에서, 오존이 산소 반응물로서 사용되는 사이클은 적어도 275℃ 내지 최대 300℃의 기판 온도에서 수행될 수 있다. 일부 구현예에서, 산소 반응물로서 물이 사용되는 사이클은, 적어도 175℃ 내지 최대 250℃의 온도에서 수행될 수 있다.
도 7은 본 개시의 예시적 구현예에 따른 시스템(700)을 나타낸다. 시스템(700)은, 본원에 설명된 바와 같은 방법을 수행하고/수행하거나 본원에 설명된 바와 같은 구조체 또는 소자를 형성하도록 구성될 수 있다. 나타낸 예시에서, 시스템(700)은 하나 이상의 반응 챔버(702), 제1 전구체 가스 공급원(704), 도펀트 전구체 가스 공급원(706), 산소 반응물 가스 공급원(708), 배기(710), 및 제어기(712)를 포함한다. 일부 구현예에서, 시스템은 제2 전구체 가스 공급원(미도시) 및 제2 도펀트 전구체 가스 공급원(미도시) 중 적어도 하나를 추가로 포함한다. 반응 챔버(702)는 ALD 반응 챔버를 포함할 수 있다.
제1 전구체 가스 공급원(704)은, 용기 및 본원에 설명된 바와 같은 하나 이상의 전구체를 단독으로 또는 하나 이상의 캐리어(예를 들어, 귀) 가스와 혼합하여 포함할 수 있다. 도펀트 전구체 가스 공급원(706)은, 용기 및 본원에 설명된 바와 같은 하나 이상의 도펀트 전구체를 단독으로 또는 하나 이상의 캐리어 가스와 혼합하여 포함할 수 있다. 산소 반응물 가스 공급원(308)은, 본원에 설명된 바와 같은 하나 이상의 산소 반응물을 포함할 수 있다.
네 개의 가스 공급원(704)-(708)으로 나타냈지만, 시스템(700)은 적절한 임의 개수의 가스 공급원을 포함할 수 있다. 가스 공급원(704)-(708)은 라인(714)-(718)을 통해 반응 챔버(702)에 결합될 수 있으며, 이들 각각은 흐름 제어기, 밸브, 히터 등을 포함할 수 있다. 배기(710)는 하나 이상의 진공 펌프를 포함할 수 있다.
제어기(712)는 밸브, 매니폴드, 히터, 펌프 및 시스템700)에 포함된 다른 구성 요소를 선택적으로 작동시키기 위한 전자 회로 및 소프트웨어를 포함한다. 이러한 회로 및 구성 요소는, 전구체, 반응물, 퍼지 가스를 각각의 공급원(704)-(708)으로부터 도입하기 위해 작동한다. 제어기(712)는 가스 펄스 순서의 시점, 기판 및/또는 반응 챔버의 온도, 반응 챔버의 압력, 및 시스템(700)의 적절한 작동을 제공하는데 다양한 기타 작동을 제어할 수 있다. 제어기(712)는, 반응 챔버(702) 내로 그리고 반응 챔버로부터의 전구체, 반응물 및 퍼지 가스의 흐름을 제어하기 위한 밸브를 전기식 혹은 공압식으로 제어하는 제어 소프트웨어를 포함할 수 있다. 제어기(712)는, 소프트웨어 또는 하드웨어 구성 요소, 예를 들어 특정 작업을 수행하는 FPGA 또는 ASIC과 같은 모듈을 포함할 수 있다. 모듈은 제어 시스템의 어드레스 가능한 저장 매체에 탑재되도록 구성되고, 본원에 설명된 바와 같은 하나 이상의 공정을 실행하도록 유리하게 구성될 수 있다.
상이한 수 및 종류의 전구체 및 산소 반응물 공급원 및 선택적으로 퍼지 가스 공급원을 추가 포함하는 시스템(700)의 다른 구성이 가능하다. 예를 들어, 시스템(700)은 본원에 설명된 바와 같은 제2 도펀트 전구체를 포함한 제2 도펀트 전구체 공급원을 추가로 포함할 수 있다. 또한, 가스를 반응 챔버(702) 내로 선택적으로 공급하는 목적을 달성하는데 사용될 수 있는 밸브, 도관, 전구체 공급원, 퍼지 가스 공급원의 다수의 배열이 존재함을 이해할 것이다. 또한, 시스템을 개략적으로 표현하면서, 많은 구성 요소가 예시의 단순화를 위해 생략되었는데, 이러한 구성 요소는, 예를 들어 다양한 밸브, 매니폴드, 정화기, 히터, 용기, 벤트, 및/또는 바이패스를 포함할 수 있다.
시스템(700)의 작동 중에, 반도체 웨이퍼(미도시)와 같은 기판은, 예를 들어 기판 핸들링 시스템에서 반응 챔버(702)로 이송된다. 일단 기판(들)이 반응 챔버(702)로 이송되면, 전구체, 반응물, 캐리어 가스, 및/또는 퍼지 가스와 같이, 가스 공급원(704)-(708)으로부터 하나 이상의 가스가 반응 챔버(702) 내로 유입된다.
일부 구현예에서, 도 7의 시스템(700)과 같은 시스템은 동일한 반응 챔버 내에 하부 전극, 중간 층, 및 상부 전극을 형성하기 위해 구성되고 배열될 수 있다. 중간 층은 고-유전율 층, 반유전성 층, 및 강유전성 층 중 하나 이상을 포함할 수 있다. 일부 구현예에서, 도 7의 시스템(700)과 같은 시스템은, 동일한 반응 챔버(702)에서 루테늄 산화물 하부 전극, 하프늄 지르코늄 산화물 층, 및 루테늄 산화물 상부 전극을 형성하기 위해 구성되고 배열될 수 있다. 선택적으로, 하프늄 지르코늄 산화물 층은 루테늄으로 도핑될 수 있다. 이들 층을 동일한 반응 챔버(702)에 증착하는 것은, 층 사이의 계면, 예를 들어 RuO2/HfZrO2 계면이 어느 대기 중 오염 물질이나 의도하지 않은 산화 없이 순수함을 의미한다. RuO2와 같은 전도성 전극을 증착하는 것은 또한, 공정 처리량을 개선하고, 누설 전류를 감소시키고, HfZrO2와 같은 중간 층의 결정화 온도를 감소시킬 수 있다.
추가의 예시에서, 도 8을 참조한다. 도 8은 두 개의 데이터 세트, 즉 좌측 데이터 세트 및 우측 데이터 세트를 포함한다. ABC로 표시된 좌측 데이터 세트는, 란타늄 펄스가 전구체 펄스 이후인 경우, 란타늄 농도를 서브 사이클 비율의 함수로서 나타낸다. STD로 표시된 우측 데이터 세트는, 란타늄 펄스가 산소 반응물 펄스 이후인 경우, 란타늄 농도를 서브 사이클 비율의 함수로서 나타낸다. 란타늄 농도는 수직 축 상에 표시되고, 원자 백분율로 표현됨을 유의한다. STD 막과 ABC 막 모두는 약 10 nm의 목표 두께를 갖는다. ABC 막은 분광 엘립소미터로 측정했을 때 약간 더 낮은 두께를 가졌는데, 이는 더 낮은 La 도펀트 혼입과 일치한다(아래 참조). 조성 분석은 x-선 광전자 분광법을 사용하여 수행하였다.
본 예시에 따른 ABC 증착 공정에서, 하기 식에 따른 ALD 펄스화 계획을 사용하였다: N[x(B + A1 + B + A2) + C], N은 증착 사이클의 수이고, x는 하프늄 지르코늄 산화물 서브 사이클의 수이고, B는 H2O의 펄스를 나타내고, A1은 하프늄 전구체의 펄스를 나타내고, A2는 하프늄 전구체의 펄스를 나타내고, C는 란타늄 전구체의 펄스를 나타낸다. 본 예시에 따른 일 구현예에서, 하프늄 지르코늄 산화물 서브 사이클은, 주어진 순서로 H2O 펄스, 하프늄 전구체 펄스, H2O 펄스, 및 지르코늄 전구체 펄스를 지칭한다. 이러한 ABC 증착 공정의 특징은 란타늄 전구체 펄스가 하프늄 전구체 펄스를 따르는 것이다. ABC 증착 공정에서, 서브 사이클 비율은 1/(1+x)과 동일한 것으로 정의되며, 즉 서브 사이클 비율은 C 펄스의 수를 하프늄 지르코늄 산화물 서브 사이클의 수로 나눈 것이다. ABC 증착 공정은 유리하게는, 높은 서브 사이클 비율에서도, ALD를 사용하여 형성된 하프늄 지르코늄 산화물 막에 소량의 란타늄을 혼입시킬 수 있으며, 이는 란타늄으로 도핑된 하프늄 지르코늄 산화물 막에서 탁월한 란타늄 도핑 균일성을 제공할 수 있다.
비교 STD 증착 공정에서, 다음 식에 따른 ALD 펄스화 계획을 사용하였다: N[y(A1 + B + A2 + B) + C], N은 증착 사이클의 수이고, y는 하프늄 지르코늄 산화물 서브 사이클의 수이고, B는 H2O의 펄스를 나타내고, A1은 하프늄 전구체의 펄스를 나타내고, A2는 하프늄 전구체의 펄스를 나타내고, C는 란타늄 전구체의 펄스를 나타낸다. 본 예시에 따른 일 구현예에서, 하프늄 지르코늄 산화물 서브 사이클은, 주어진 순서로 H2O 펄스, 하프늄 전구체 펄스, H2O 펄스, 및 지르코늄 전구체 펄스를 지칭한다. 이러한 STD 증착 공정의 특징은 란타늄 전구체 펄스가 H2O 펄스를 따르는 것이다. STD 증착 공정에서, STD 증차 공정의 경우와 유사하게, 서브 사이클 비율은 1/(1+y)과 동일한 것으로 정의되며, 즉 서브 사이클 비율은 C 펄스의 수를 하프늄 지르코늄 산화물 서브 사이클의 수로 나눈 것이다. STD 증착 공정은, 서브 사이클 비율을 증가시키는 함수로서, 란타늄 농도의 신속한 증가를 초래한다. 따라서, STD 증착 공정에서 약간의 란타늄 도핑된 하프늄 지르코늄 산화물 막을 얻는 것이 어렵다; 낮은 서브 사이클 비가 사용될 경우, 란타늄을 소량 함유한 하프늄 지르코늄 산화물을 얻을 수 있지만, 란타늄은 이러한 막에 균일하게 분포되는 경향이 없다.
도 7의 STD 및 ABC 공정에서, 하프늄 전구체는 테트라키스(에틸메틸아미노)하프늄이었고, 지르코늄 전구체는 테트라키스(에틸메틸아미노)지르코늄이었고, 란타늄 전구체는 La(iPrCp)2(iPr-amd)였으며, 여기서 iPr은 이소프로필을 나타내고, Cp는 시클로펜타디에닐을 나타내고, Amd는 아미디네이트를 나타낸다.
도 9는 본 개시의 예시에 따른 구조체(900)를 나타낸다. 이 구조체(900)는 게이트 올 어라운드 전계 효과 트랜지스터(GAA FET)(측방향 나노와이어 FET로도 지칭됨) 소자 등에 사용하기에 적합하다.
나타낸 예시에서, 구조체(900)는 반도체 재료(902), 유전체 재료(904), 중간 층(906), 및 전도 층(908)을 포함한다. 유전체 재료(904)는 하프늄 산화물 층, 또는 하프늄 지르코늄 산화물 층, 또는 도핑된 하프늄 지르코늄 산화물 층과 같은 강유전성 층을 포함한다. 일부 구현예에서, 중간 층(906)은 강유전성 층에도 포함되는 도핑 원소를 포함한 반도체성 산화물과 같은 반도체성 산화물을 포함한다.
일부 구현예에서, 강유전성 층은 20 nm 미만, 또는 적어도 1 nm 내지 최대 15 nm, 또는 적어도 2 nm 내지 최대 10 nm, 또는 적어도 2 nm 내지 최대 5 nm, 예컨대 4 nm의 두께를 갖는다.
구조체(900)는, 본원에서 설명된 임의의 기판 재료를 포함한 기판 위에 형성될 수 있다. 중간 층(906)은 나타낸 바와 같이 전도 층(908)과 유전체 재료(906) 사이에 위치할 수 있다.
반도체 재료(902)는 임의의 적합한 반도체 재료를 포함할 수 있다. 예를 들어, 반도체 재료(902)는 IV족, III-V족, 또는 II-VI족 반도체 재료를 포함할 수 있다. 예시로서, 반도체 재료(902)는 실리콘을 포함할 수 있다.
도 10은 예시적인 커패시터(1000)를 나타낸다. 이는, 나타낸 구현예에서 두 개의 부분, 즉 내부 쉘 및 외부 쉘을 포함한 상부 전극(1010,1070)을 포함한다. 그럼에도 불구하고, 상부 전극은 단지 하나의 부분을 포함할 수 있거나, 두 개 초과의 부분, 예를 들어 세 개 이상의 부분을 포함할 수 있다. 도 10의 구현예에서 상부 전극(1010,1070)의 두 개의 부분은 서로 전기적으로 연결되고(연결은 미도시), 즉 정상 작동 중에 이들이 동일하거나 대략 동일한 전위로 유지된다는 것을 이해해야 한다. 일부 구현예에서, 상부 전극(1010,1070)은 반도체 산화물, 예컨대 커패시터(1000)에 포함된 강유전성 층에 또한 포함된 도펀트 원소를 포함하는 반도체 산화물을 포함한다.
상부 전극(1010, 1070)은, 예를 들어 적어도 0.5 nm 내지 5.0 nm, 또는 적어도 1.0 nm 내지 최대 4.0 nm, 또는 적어도 2.0 nm 내지 최대 3.0 nm, 또는 적어도 0.5 nm 내지 최대 2.5 nm, 또는 적어도 0.6 nm 내지 최대 2.0 nm, 또는 적어도 0.7 nm 내지 최대 1.5 nm의 두께를 가질 수 있다. 커패시터(1000)는 하부 전극(1040)을 추가로 포함한다. 하부 전극(1040)은 본원에 설명된 바와 같은 방법에 의해 증착된 층을 포함한다. 일부 구현예에서, 하부 전극(1040)의 조성은 상부 전극(1010,1070)의 조성과 동일하다. 대안적으로, 하부 전극(1040)의 조성은 상부 전극(1010,1070)의 조성과 상이할 수 있다. 하부 전극(1040)은, 예를 들어 적어도 1.0 nm 내지 최대 10.0 nm, 또는 적어도 3.0 nm 내지 최대 7.0 nm, 또는 적어도 0.5 nm 내지 5.0 nm, 또는 적어도 1.0 nm 내지 최대 4.0 nm, 또는 적어도 2.0 nm 내지 최대 3.0 nm, 또는 적어도 0.5 nm 내지 최대 2.5 nm, 또는 적어도 0.6 nm 내지 최대 2.0 nm, 또는 적어도 0.7 nm 내지 최대 1.5 nm의 두께를 가질 수 있다.
하부 전극(1040)은 하나 이상의 유전체 층(1020,1030)에 의해 상부 전극의 외부 쉘(1010)로부터 분리된다. 하나 이상의 유전체 층(1020,1030) 중 적어도 하나는 본원에 설명된 방법에 의해 형성되는 강유전성 층을 포함한다. 나타낸 구현예는 두 개의 유전체 층(1020,1030)을 특징으로 한다. 하나 이상의 유전체 층(1020,1030)은 고-유전율 유전체를 포함할 수 있다. 일부 구현예에서, 유전체 층(1020)은 유전체 층(1030)과 동일한 조성을 갖는다. 일부 구현예에서, 유전체 층(1020)은 유전체 층(1030)과 상이한 조성을 갖는다. 두 개의 유전체 층(1020,1030)의 조합된 두께는, 예를 들어 적어도 0.5 nm 내지 최대 10.0 nm, 또는 적어도 1.0 nm 내지 최대 8.0 nm, 또는 적어도 2.0 nm 내지 최대 6.0 nm, 또는 적어도 3.0 nm 내지 최대 4.0 nm일 수 있다. 상부 전극의 내부 쉘(1070)은 하나 이상의 유전체 층(1050,1060)에 의해 하부 전극(1040)의 외부 쉘로부터 분리된다. 나타낸 구현예는 두 개의 이러한 유전체 층을 특징으로 한다. 하나 이상의 유전체 층(1050,1060) 중 적어도 하나는 본원에 설명된 방법의 일 구현예에 따라 형성된 강유전성 재료를 포함한다. 일부 구현예에서, 유전체 층(1050)은 유전체 층(1060)과 동일한 조성을 갖는다. 일부 구현예에서, 유전체 층(1050)은 유전체 층(1060)과 상이한 조성을 갖는다. 유전체 층(1050,1060)의 조합된 두께는, 예를 들어 적어도 0.5 nm 내지 최대 10.0 nm, 또는 적어도 1.0 nm 내지 최대 8.0 nm, 또는 적어도 2.0 nm 내지 최대 6.0 nm, 또는 적어도 3.0 nm 내지 최대 4.0 nm일 수 있다. 일부 구현예에서, 상부 전극의 외부 쉘(1010)과 하부 전극(1040) 사이의 하나 이상의 유전체 층(1020,1030) 두께는, 예를 들어 2.0 nm 미만, 1.5 nm 미만, 또는 1.0 nm 미만, 또는 0.5 nm 미만, 또는 0.4 nm 미만, 또는 0.3 nm 미만, 또는 0.2 nm 미만, 또는 0.1 nm 미만의 오차 한계 내에서, 상부 전극의 내부 쉘(1070)과 하부 전극(1040) 사이의 하나 이상의 유전체 층(1050,1060) 두께와 동일하다. 갭 충전 유전체(1080)는 커패시터(1080) 내에 중심으로 배치될 수 있다. 예시적인 갭 충전 유전체는 저-유전율 유전체, 예를 들어 SiOC, SiOCN 등을 포함한다.
추가의 예시에서, 도 11을 참조한다. 도 11은, 제1 공정 챔버(1110), 제2 공정 챔버(1120), 및 제3 공정 챔버(1130)를 포함한 시스템(1100)을 개략적으로 나타낸다. 제1 공정 챔버(1110)는 기판 상에 투명 반도체 산화물 층을 형성하기 위해 배열될 수 있다. 예시적인 구현예에서, 투명 반도체 산화물 층은 RuO2를 포함한다. 제2 공정 챔버(1120)는 기판 상에 강유전성 재료를 형성하기 위해 배열될 수 있다. 적합한 강유전성 물질은 루테늄-도핑된 하프늄 지르코늄 산화물을 포함한다. 제3 공정 챔버(1130)는 열 교환기 및 적외선 램프와 같은 하나 이상의 가열 요소를 포함할 수 있다.
일부 구현예에서, 도 11에 따른 시스템은 커패시터를 형성하기 위해 사용될 수 있다. 커패시터는 루테늄 산화물 전극 사이에 끼워진 루테늄-도핑된 하프늄 지르코늄 산화물 층을 포함할 수 있다. 예를 들어, 이러한 커패시터는 먼저, 제1 공정 챔버(1110) 내에 루테늄 산화물 하부 전극을 형성함으로써 제조될 수 있다. 그 다음, 루테늄-도핑된 하프늄 지르코늄 산화물 층이 제2 공정 챔버(1120)에서 루테늄 산화물 하부 전극 상에 형성될 수 있다. 그 다음, 루테늄 산화물 상부 전극이 제1 공정 챔버(1110)에서 루테늄-도핑된 하프늄 지르코늄 산화물 층 상에 형성될 수 있다. 기판은, 하부 전극을 형성하는 단계, 루테늄-도핑된 하프늄 지르코늄 산화물 층을 형성하는 단계, 상부 전극을 형성하는 단계 중 하나 이상 후에, 제3 공정 챔버(1130)에서 어닐링될 수 있다. 적절하게는, 전술한 단계는 임의의 개입하는 진공 파괴 없이 동일한 시스템(1100)에서 순차적으로 실행된다. 일부 구현예에서, 어닐링은 500℃ 미만, 예를 들어 적어도 100℃ 내지 최대 450℃의 온도, 또는 적어도 200℃ 내지 최대 400℃의 온도, 예컨대 300℃의 온도에서 수행된다.
예시적인 구현예에서, 도 11에 따른 시스템(1100)은 기판, 강유전성 층, 및 전극을 포함한 구조체를 형성하기 위해 사용될 수 있다. 특히, 강유전성 층이 제2 공정 챔버(1120) 내의 기판 상에 형성될 수 있다. 강유전성 층은, 예를 들어 도핑된 HfO2, HfZrO2, 및 도핑된 HfZrO2 중 하나 이상을 포함할 수 있다. 적절하게는, 강유전성 층은 원자층 증착과 같은 주기적 증착 공정을 이용하여 형성될 수 있다. 그 다음, 강유전성 층을 포함한 기판은 제3 공정 챔버(1130)에서 어닐링될 수 있다. 어닐링은, 예를 들어 400℃의 온도에서 수행될 수 있다. 어닐링은 불활성 분위기 또는 산화 분위기에서 수행될 수 있다. 적절한 불활성 분위기는 Ar 및 He와 같은 귀가스를 포함한다. 적절한 산화 분위기는 O2와 같은 산소 함유 가스를 포함한 가스 또는 가스 혼합물을 포함한다. 어닐링 후, 전극은 제3 공정 챔버(1130)에서 강유전성 층 상에 형성될 수 있다. 적절하게는, 전극은 원자층 증착과 같은 주기적 증착 공정을 사용하여 형성될 수 있다. 적절한 반도체 산화물은 인듐 갈륨 아연 산화물, 인듐 주석 산화물, 니켈 산화물, 및 구리 산화물을 포함한다. 따라서, 강유전성 층은 원하는 상 내에서 결정화되도록 제조될 수 있고, 전극은 그의 특성을 개선할 수 있는 처리 동안 고온 또는 가혹한 환경에 노출되지 않는다.
일부 구현예에서, 제3 공정 챔버(1130)는 로드 록으로서 기능할 수 있다. 예를 들어, 제3 공정 챔버(1130)는 로봇 아암을 포함할 수 있다. 따라서, 처리량은 시스템의 설치 면적을 최소화하면서 향상될 수 있다.
예시적인 구현예에서, 물리적 기상 증착에 의해 증착된 루테늄(Ru)을 O3에 의해 산화시키고, 루테늄 원소 및 RuO2의 박층을 포함한 하부 전극을 형성하였으며, 상기 박층 상에 하프늄 지르코늄 산화물로 실질적으로 이루어진 강유전성 층이 원자층 증착(ALD)에 의해 증착되었다. 오존(O3) 산화는 275℃의 온도와 같은 임의의 적절한 온도에서 발생할 수 있다. 그 다음, 티타늄 질화물을 상부 전극으로서 스퍼터링하여 금속-절연체-금속(MIM) 구조를 형성하였다. 스퍼터링에 대한 대안으로서, 주기적 증착 방법을 사용하여 형성된 티타늄 질화물이 또한 사용될 수 있다. 정전용량-전압(CV) 및 전류-전압(IV) 측정 시, 생성된 5 nm HfZrO2는 >40의 높은 유전 상수 및 특히 고 전기장 체제에서 극도로 낮은 누설 전류를 나타냈다. 추가 분석시, 고 전기장 체제에서 누설 전류의 감소는 산소 공공 형성(티타늄 질화물 전극이 사용될 때 우세할 수 있음)의 완화로 기인한 것임을 확인하였다. 높은 유전 상수는 주로 비교적 낮은 온도(예, 420℃)에서 층의 성공적인 결정화에 기인한다. O3 노출시 RuO2의 형성을 확인하기 위해 추가 시험을 수행하였다. 마지막으로, 산화된 Ru 전극 상에 4 nm HfZrO2를 증착하여 층의 결정화를 평가하였고, 400℃ 어닐링시 하프늄과 지르코늄을 1:2의 몰비로 함유한 혼합 HfZrO2 가 우수한 결정화를 나타내는 것으로 밝혀졌다.
추가의 예시적인 구현예에서, RuO2 하부 전극은 원자층 증착을 사용하여 증착된다. 그 다음, RuO2 하부 전극은 귀가스, 예컨대 아르곤 같은 불활성 또는 실질적으로 불활성인 가스에서 어닐링된다. 그 다음, 절연 층, 예를 들어 유전체, 강유전성, 또는 반강유전성 층이 하부 전극 상에 형성될 수 있다. 적절한 절연 층은 도핑 또는 비도핑 하프늄 지르코늄 산화물을 포함한다. 그 다음, 상부 전극이 절연 층 상에 형성될 수 있다. 적절한 상부 전극은 티타늄 질화물과 같은 전이 금속 질화물을 포함한다. 일부 구현예에서, 상부 전극은 루테늄 산화물을 포함한다. 그 다음, 생성된 구조체를, 예를 들어 400-500℃의 온도에서, 예컨대 30분 내지 2시간의 지속 시간 동안, 예를 들어 1시간 동안 420℃에서 어닐링할 수 있다. 적절한 어닐링 분위기는 실질적으로 순수한 N2와 같은 질소 함유 가스 혼합물을 포함한다.

Claims (20)

  1. 기판을 처리하는 방법으로서,
    - 상기 기판을 공정 챔버에 제공하는 단계;
    - 복수의 증착 사이클을 실행하는 단계로서, 하나의 증착 사이클은 하프늄 전구체 펄스, 지르코늄 전구체 펄스, 산소 반응물 펄스, 및 도펀트 펄스를 포함하되,
    - 상기 하프늄 전구체 펄스는 상기 기판을 하프늄 전구체에 노출시키는 단계를 포함하고,
    - 상기 지르코늄 전구체 펄스는 상기 기판을 지르코늄 전구체에 노출시키는 단계를 포함하고,
    - 상기 산소 반응물 펄스는 상기 기판을 산소 반응물에 노출시키는 단계를 포함하고,
    - 상기 제1 도펀트 펄스는 상기 기판을 제1 도펀트 전구체에 노출시키는 단계를 포함하되, 상기 제1 도펀트 전구체는 제1 도펀트 원소를 포함하며, 이에 의해 도핑된 하프늄 지르코늄 산화물 층을 상기 기판 상에 형성하는 단계;를 포함하되,
    상기 도펀트 전구체 펄스는, 임의의 개입하는 산소 반응물 펄스 없이 상기 하프늄 전구체 펄스 및 상기 지르코늄 전구체 펄스 중의 하나 이후에 수행되는, 방법.
  2. 제1항에 있어서, 상기 증착 사이클은, 상기 기판을 제2 도펀트 전구체에 노출시키는 단계를 포함하는 제2 도펀트 펄스를 추가로 포함하되, 상기 제2 도펀트 전구체는 제2 도펀트 원소를 포함하고, 상기 제2 도펀트 원소는 상기 제1 도펀트 원소와 상이한, 방법.
  3. 제1항 내지 제4항 중 어느 한 항에 있어서, 상기 제1 도펀트 원소 및 상기 제2 도펀트 원소 중 적어도 하나는 세륨을 포함하는, 방법.
  4. 제1항 내지 제3항 중 어느 한 항에 있어서, 상기 제1 도펀트 원소는 란타늄을 포함하는, 방법.
  5. 제1항 내지 제4항 중 어느 한 항에 있어서, 상기 제1 도펀트 원소는 주석, 텔루륨, 세륨 및 납으로 이루어진 목록으로부터 선택되는, 방법.
  6. 제1항 내지 제5항 중 어느 한 항에 있어서, 상기 제1 도펀트 원소는 루테늄, 팔라듐, 레늄, 오스뮴, 이리듐 및 백금으로 이루어진 목록으로부터 선택되는, 방법.
  7. 제1항 내지 제6항 중 어느 한 항에 있어서, 상기 제1 도펀트 원소는 몰리브덴 또는 텅스텐인, 방법.
  8. 제2항 내지 제5항 중 어느 한 항에 있어서, 상기 제2 도펀트 원소는 세륨, 란타늄, 주석, 텔루륨, 납, 루테늄, 팔라듐, 레늄, 오스뮴, 이리듐, 백금, 몰리브덴, 및 텅스텐으로 이루어진 목록으로부터 선택된 상기 제1 도펀트와 독립적인, 방법.
  9. 제1항 내지 제8항 중 어느 한 항에 있어서, 상기 제1 도펀트 전구체 및 상기 제2 도펀트 전구체 중 적어도 하나는 화학식 M(RCp)x(L)y로 나타낼 수 있는 화합물로부터 독립적으로 선택되며, 여기서 M은 희토류 금속이고, R은 H, Me, Et, iPr, 및 tBu로부터 선택되고, L은 N,N'-디이소프로필아세트아미디네이트, N,N'-디-터트-부틸아세트아미디네이트, N,N'-디이소프로필포름아미디네이트, 및 N,N'-디-터트-부틸포름아미디네이트로부터 선택되는, 방법.
  10. 제1항 내지 제9항 중 어느 한 항에 있어서, 상기 기판은 표면층을 포함하고, 상기 하프늄 지르코늄 산화물 층은 상기 표면층 상에 형성되고, 상기 표면층은 표면층 전도성 산화물을 포함하고, 상기 표면층 전도성 산화물은 상기 도펀트 원소 및 산소를 포함하는, 방법.
  11. 제1항 내지 제9항 중 어느 한 항에 있어서, 표면층을 형성하는 단계가 상기 복수의 증착 사이클을 실행하는 단계에 선행(先行)하며, 상기 표면층은 표면층 전도성 산화물을 포함하고, 상기 표면층 전도성 산화물은 상기 도펀트 원소 및 산소를 포함하는, 방법.
  12. 제1항 내지 제11항 중 어느 한 항에 있어서, 상기 하프늄 지르코늄 산화물 층 상에 상부 전극을 형성하는 단계를 추가로 포함하고, 상기 상부 전극은 상부 전도성 산화물을 포함하고, 상기 상부 전도성 산화물은 상기 도펀트 원소를 포함하는, 방법.
  13. 제10항 내지 제12항 중 어느 한 항에 있어서, 상기 표면층 및 상기 상부 전도성 산화물은 실질적으로 동일한 조성을 갖는, 방법.
  14. 제11항 내지 제13항 중 어느 한 항에 있어서, 상기 표면층 전도성 산화물 및 상기 상부 전도성 산화물 중 적어도 하나는 루테늄 산화물을 포함하고, 상기 도펀트 원소는 루테늄을 포함하는, 방법.
  15. 제12항 내지 제14항 중 어느 한 항에 있어서, 상기 하프늄 지르코늄 산화물 층을 어닐링하는 단계가 상기 하프늄 지르코늄 산화물 층 상에 상부 전극을 형성하는 단계에 선행하는, 방법.
  16. 제15항에 있어서, 공정 챔버를 포함한 시스템에서 수행되고, 복수의 증착 사이클을 실행하는 단계 및 상기 하프늄 지르코늄 산화물 층을 어닐링하는 단계는 제1 공정 챔버에서 수행되는, 방법.
  17. 제15항에 있어서, 제1 공정 챔버 및 제2 공정 챔버를 포함한 시스템에서 수행되고, 상기 복수의 증착 사이클을 실행하는 단계 및 상기 하프늄 지르코늄 산화물 층을 어닐링하는 단계는 상기 제1 공정 챔버 내에서 수행되고, 상기 상부 전극을 형성하는 단계는 상기 제2 공정 챔버 내에서 수행되는, 방법.
  18. 제16항에 있어서, 제1 공정 챔버, 제2 공정 챔버, 및 제3 공정 챔버를 포함한 시스템에서 수행되고, 상기 복수의 증착 사이클을 실행하는 단계는 상기 제1 공정 챔버에서 수행되고, 상기 하프늄 지르코늄 산화물 층을 어닐링하는 단계는 상기 제2 공정 챔버에서 수행되고, 상기 상부 전극을 형성하는 단계는 상기 제3 공정 챔버에서 수행되는, 방법.
  19. 시스템으로서, 상기 시스템은
    하나 이상의 공정 챔버; 하프늄 전구체를 포함한 하프늄 전구체 공급원; 지르코늄 전구체를 포함한 지르코늄 전구체 공급원; 제1 도펀트 전구체를 포함한 제1 도펀트 전구체 공급원; 제2 도펀트 전구체를 포함한 제2 도펀트 전구체 공급원; 산소 반응물을 포함한 산소 반응물 공급원; 및 제어기를 포함하고,
    상기 제어기는, 상기 하나 이상의 반응 챔버로의 가스 흐름을 제어하고 제1항 내지 제18항 중 어느 한 항에 따른 방법에 의해 기판을 처리하도록 구성되는, 시스템.
  20. 제19항에 따른 시스템에 작동 가능하게 연결 가능한 전구체 공급원을 충전하는 방법으로서, 상기 방법은,
    - 상기 전구체 공급원을 제공하는 단계; 및
    - 상기 전구체 공급원을 하프늄 전구체, 지르코늄 전구체, 제1 도펀트 전구체, 및 제2 도펀트 전구체로부터 선택된 전구체로 충전하는 단계를 포함하는, 방법.
KR1020230060063A 2022-05-18 2023-05-09 메모리 소자 및 그의 구성 요소를 형성하기 위한 방법 및 시스템 KR20230161351A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202263343190P 2022-05-18 2022-05-18
US63/343,190 2022-05-18

Publications (1)

Publication Number Publication Date
KR20230161351A true KR20230161351A (ko) 2023-11-27

Family

ID=88778013

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020230060063A KR20230161351A (ko) 2022-05-18 2023-05-09 메모리 소자 및 그의 구성 요소를 형성하기 위한 방법 및 시스템

Country Status (3)

Country Link
US (1) US20230377877A1 (ko)
KR (1) KR20230161351A (ko)
CN (1) CN117096019A (ko)

Also Published As

Publication number Publication date
US20230377877A1 (en) 2023-11-23
CN117096019A (zh) 2023-11-21

Similar Documents

Publication Publication Date Title
US20210327715A1 (en) Method of forming chromium nitride layer and structure including the chromium nitride layer
US11885013B2 (en) Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11145506B2 (en) Selective passivation and selective deposition
US11549177B2 (en) Process for passivating dielectric films
KR20210099519A (ko) 바나듐 또는 인듐 층을 포함하는 구조체를 형성하는 방법
KR20230043089A (ko) 산화물 박막의 증착을 위한 반응기
JP2020133002A (ja) 反応チャンバーにおいて循環堆積プロセスにより基材上に酸化ハフニウムランタン膜を堆積させるための方法
TW202233876A (zh) 鉬之沉積方法
US20230250534A1 (en) Atomic layer deposition and etching of transition metal dichalcogenide thin films
KR20230161351A (ko) 메모리 소자 및 그의 구성 요소를 형성하기 위한 방법 및 시스템
TW202409328A (zh) 處理基板之方法、系統及填充可操作地連接至系統的前驅物源之方法
US20230407476A1 (en) Selective deposition of material comprising noble metal
US20240136392A1 (en) Memory devices, components thereof, and related methods and systems
US11898243B2 (en) Method of forming vanadium nitride-containing layer
US20230015690A1 (en) Methods and systems for forming a layer comprising a transitional metal and a group 13 element
US20240096632A1 (en) Transition metal deposition processes and a deposition assembly
KR101096227B1 (ko) 다성분계 전도성산화물의 형성 방법 및 그를 이용한 캐패시터 제조 방법
KR20070114519A (ko) 캐패시터의 유전막 및 그 제조 방법과 이를 이용한 반도체소자의 캐패시터 및 그 제조 방법
TW202407132A (zh) 包含貴金屬之材料的選擇性沉積
CN114381711A (zh) 形成用于阈值电压控制的结构的方法
KR20230106520A (ko) 쌍극자 층을 포함한 반도체 구조를 형성하는 방법
KR20220124631A (ko) 바나듐 및 질소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20070047904A (ko) 탄탈륨지르코늄산화막을 구비한 반도체소자 및 그의 제조방법