CN116911248A - 一种反向时钟树的实现方法及反向时钟树结构 - Google Patents

一种反向时钟树的实现方法及反向时钟树结构 Download PDF

Info

Publication number
CN116911248A
CN116911248A CN202310940528.6A CN202310940528A CN116911248A CN 116911248 A CN116911248 A CN 116911248A CN 202310940528 A CN202310940528 A CN 202310940528A CN 116911248 A CN116911248 A CN 116911248A
Authority
CN
China
Prior art keywords
clock
unit
transmission delay
gate
violations
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN202310940528.6A
Other languages
English (en)
Other versions
CN116911248B (zh
Inventor
石柱
李宏俊
吴伟
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Zhuhai Lingyange Chip Technology Co ltd
Original Assignee
Zhuhai Lingyange Chip Technology Co ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Zhuhai Lingyange Chip Technology Co ltd filed Critical Zhuhai Lingyange Chip Technology Co ltd
Priority to CN202310940528.6A priority Critical patent/CN116911248B/zh
Publication of CN116911248A publication Critical patent/CN116911248A/zh
Application granted granted Critical
Publication of CN116911248B publication Critical patent/CN116911248B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/396Clock trees

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Pulse Circuits (AREA)
  • Manipulation Of Pulses (AREA)

Abstract

本发明提供一种反向时钟树的实现方法及反向时钟树结构,属于集成电路技术领域,实现方法包括:依据时序逻辑级数确定反向时钟树的级数;其中每一级反向时钟树均包括至少一对时钟反相器单元;确定当前工作环境中各时钟反相器单元的传输延时差异,以及确定出现占空比违例的时钟反相器单元;将出现占空比违例的时钟反相器单元之前的一个或一对时钟反相器单元替换为时钟修复单元,所述时钟修复单元修正的传输延时差异等于被替换的一个或一对时钟反相器单元的传输延时差异与所述时钟修复单元的传输延时差异之和。本发明可以精确设计修复占空比违例的大小,使得反向时钟树结构中时钟信号能够满足实际应用中的设计要求,提高设计性能。

Description

一种反向时钟树的实现方法及反向时钟树结构
技术领域
本发明涉及集成电路技术领域,尤其涉及一种反向时钟树的实现方法及反向时钟树结构。
背景技术
时钟树是数字电路中一个重要的设计元素,用于分配时钟信号到各个时序逻辑单元中。时钟树结构通常由多个时钟反相器组成,并通过一定的绕线方式将相应的各个电路元件连接在一起。时钟信号的质量和稳定性直接影响整个系统的性能和可靠性,因此设计良好的时钟树结构非常重要。
图1是常见的传统时钟树结构,采用多级时钟反相器的方式来放大和扩展时钟信号,从而使得时钟信号能够到达远处的时序逻辑单元。但这种时钟树结构需要用到大量的时钟反相器来平衡时序逻辑单元之间的时钟信号延时。
图2是一种现有的反向时钟树结构,与图1所示的传统时钟树结构不同的是,反向时钟树结构中的时钟信号是通过反向的方式传播的,即数据信号的流向是从左向右,而时钟信号的流向是从右至左。由于时钟信号的传递方向(从右至左)与流水线的数据信号传递方向(从左至右)相反,因此该时钟树被称为反向时钟树。反向时钟树结构中无需平衡不同时序逻辑单元之间的时钟信号延时,因此相比于传统时钟树结构可以减少时钟反相器的使用数量,减少功耗。
但是在现有的反向时钟树结构中,时序逻辑级数都比较深,为了保持正确的相位关系,时钟反相器通常是成对的插入到时钟树结构中,由此导致时钟树的反相器级数也比较深。时钟树经过布局布线之后,时钟缓冲器的高电平信号传输延时与低电平信号传输延时不匹配,会导致时钟信号的占空比发生改变,严重时导致时钟信号出现占空比违例的问题。
占空比为高电平时间与高电平、低电平时间之和的百分比。如果高电平信号传输延时大于低电平信号传输延时,反相器的输出信号的占空比可能会减小。相反,如果高电平信号传输延时小于低电平信号传输延时,反相器的输出信号的占空比可能会增大。尤其是当电路工作在超低电压的环境中,时钟反相器传输延时差异更加明显,经过多级时钟反相器的累积之后,占空比问题尤其突出,最终芯片设计出现性能和可靠性问题。
发明内容
本发明提供一种反向时钟树的实现方法及反向时钟树结构,针对时钟信号出现占空比违例的问题,通过修复占空比,使得反向时钟树结构中时钟信号能够满足实际应用中的设计要求,提高设计性能。技术方案如下:
第一方面,本发明的实施例提供一种反向时钟树的实现方法,包括:
依据时序逻辑级数确定反向时钟树的级数;其中每一级反向时钟树均包括至少一对时钟反相器单元;
确定当前工作环境中各时钟反相器单元的传输延时差异,以及确定出现占空比违例的时钟反相器单元;所述时钟反相器单元的传输延时差异为所述时钟反相器单元的高电平信号传输延时与低电平信号传输延时之间的差异;
将出现占空比违例的时钟反相器单元之前的一个或一对时钟反相器单元替换为时钟修复单元,所述时钟修复单元修正的传输延时差异等于被替换的一个或一对时钟反相器单元的传输延时差异与所述时钟修复单元的传输延时差异之和。
可选地,在将出现占空比违例的时钟反相器单元之前的一个或一对时钟反相器单元替换为时钟修复单元之后,所述反向时钟树的实现方法还包括:
验证当前反向时钟树中各时钟反相器单元是否出现占空比违例;
如果存在出现占空比违例的时钟反相器单元,则返回执行所述将出现占空比违例的时钟反相器单元之前的一个或一对时钟反相器单元替换为时钟修复单元的步骤,并于之后,再次执行所述验证当前反向时钟树中各时钟反相器单元是否出现占空比违例的步骤,如此反复,直至当前反向时钟树中各时钟反相器单元均不出现占空比违例。
可选地,将出现占空比违例的时钟反相器单元之前的一个或一对时钟反相器单元替换为时钟修复单元,包括:
如果所述时钟修复单元的输出信号电平与输入信号电平相同,则将出现占空比违例的时钟反相器单元之前的一对时钟反相器单元替换为所述时钟修复单元;
如果所述时钟修复单元的输出信号电平与输入信号电平相反,则将出现占空比违例的时钟反相器单元之前的一个时钟反相器单元替换为所述时钟修复单元。
可选地,所述占空比违例包括占空比过大违例和占空比过小违例;
所述占空比过大违例为占空比大于反向时钟树允许的最大占空比;
所述占空比过小违例为占空比小于反向时钟树允许的最小占空比。
可选地,所述时钟修复单元为利用标准单元得到;所述标准单元包括第一类标准单元和第二类标准单元;
所述第一类标准单元的高电平信号传输延时大于低电平信号传输延时,时钟信号经过所述第一类标准单元时,时钟信号的占空比将增大;
所述第二类标准单元的高电平信号传输延时小于低电平信号传输延时,时钟信号经过所述第二类标准单元时,时钟信号的占空比将减小。
可选地,所述时钟修复单元为利用标准单元得到,包括:
所述时钟修复单元为逻辑门与缓冲器的组合;或,
所述时钟修复单元为逻辑门。
可选地,所述逻辑门包括以下至少之一:与非门、与门、或非门、或门。
第二方面,本发明的实施例提供一种反向时钟树结构,包括:多级时序逻辑单元和多级时钟缓冲电路,一级时钟缓冲电路对应一级时序逻辑单元,一级时钟缓冲电路为与其对应的一级时序逻辑单元提供时钟信号;
所述多级时钟缓冲电路中的每一级时钟缓冲电路均包括至少一对时钟反相器单元,至少部分时钟缓冲电路还包括时钟修复单元,同一级时钟缓冲电路中的时钟修复单元与时钟反相器单元串联连接;
所述时钟修复单元修正的传输延时差异,等于,与其同一级时钟缓冲电路中被替换的一个或一对时钟反相器单元的传输延时差异与所述时钟修复单元的传输延时差异之和。
可选地,所述时钟修复单元为逻辑门与缓冲器的组合;或,
所述时钟修复单元为逻辑门。
可选地,所述逻辑门包括以下至少之一:与非门、与门、或非门、或门。
本发明的上述技术方案的有益效果是:
本发明实施例提供的反向时钟树的实现方法及反向时钟树结构中首先依据时序逻辑级数确定反向时钟树的级数,其中每一级反向时钟树均包括至少一对时钟反相器单元;进而确定当前工作环境中各时钟反相器单元的传输延时差异,以及确定出现占空比违例的时钟反相器单元;最后将出现占空比违例的时钟反相器单元之前的一个或一对时钟反相器单元替换为时钟修复单元,所述时钟修复单元修正的传输延时差异等于被替换的一个或一对时钟反相器单元的传输延时差异与所述时钟修复单元的传输延时差异之和。本发明实施例通过将时钟树中部分时钟反相器单元替换为时钟修复单元,可以精确设计修复占空比违例的大小,使得反向时钟树结构中时钟信号能够满足实际应用中的设计要求,提高了时钟信号质量和稳定性,消除了低压工作环境中时钟信号占空比的问题,提高设计性能。
附图说明
图1为传统时钟树结构示意图;
图2为现有的反向时钟树结构示意图;
图3为本发明实施例公开的一种反向时钟树的实现方法流程图;
图4为基于现有的反向时钟树结构出现占空比违例的示意图一;
图5为基于现有的反向时钟树结构出现占空比违例的示意图二;
图6a为本发明实施例公开的一种反向时钟树结构示意图;
图6b为本发明实施例公开的另一种反向时钟树结构示意图;
图6c为本发明实施例公开的再一种反向时钟树结构示意图;
图6d为本发明实施例公开的再一种反向时钟树结构示意图;
图7a为本发明实施例公开的再一种反向时钟树结构示意图;
图7b为本发明实施例公开的再一种反向时钟树结构示意图;
图7c为本发明实施例公开的再一种反向时钟树结构示意图;
图7d为本发明实施例公开的再一种反向时钟树结构示意图;
图8为本发明实施例公开的另一种反向时钟树的实现方法流程图;
图9为本发明实施例公开的一种反向时钟树结构示意图;
图10为基于本发明实施例提供的反向时钟树结构的时钟信号波形示意图。
具体实施方式
为使本发明要解决的技术问题、技术方案和优点更加清楚,下面将结合附图及具体实施例进行详细描述。在下面的描述中,提供诸如具体的配置和组件的特定细节仅仅是为了帮助全面理解本发明的实施例。因此,本领域技术人员应该清楚,可以对这里描述的实施例进行各种改变和修改而不脱离本发明的范围和精神。另外,为了清楚和简洁,省略了对已知功能和构造的描述。
应理解,说明书通篇中提到的“一个实施例”或“一实施例”意味着与实施例有关的特定特征、结构或特性包括在本发明的至少一个实施例中。因此,在整个说明书各处出现的“在一个实施例中”或“在一实施例中”未必一定指相同的实施例。此外,这些特定的特征、结构或特性可以任意适合的方式结合在一个或多个实施例中。
在本发明的各种实施例中,应理解,下述各过程的序号的大小并不意味着执行顺序的先后,各过程的执行顺序应以其功能和内在逻辑确定,而不应对本发明实施例的实施过程构成任何限定。
如图3所示,本发明实施例提供一种反向时钟树的实现方法,包括:
步骤101,依据时序逻辑级数确定反向时钟树的级数;其中每一级反向时钟树均包括至少一对时钟反相器单元。
本发明实际应用中,通过分析设计的特点,依据时序逻辑级数确定反向时钟树的级数,采用反向时钟树结构实现本发明的时钟树。在反向时钟树结构设计过程中,为保持正确的相位关系,时钟反相器单元通常是成对的插入时钟树中,因此本发明实施例中每一级反向时钟树均包括至少一对时钟反相器单元(即两个时钟反相器单元)。
步骤102,确定当前工作环境中各时钟反相器单元的传输延时差异,以及确定出现占空比违例的时钟反相器单元;所述时钟反相器单元的传输延时差异为所述时钟反相器单元的高电平信号传输延时与低电平信号传输延时之间的差异。
占空比为高电平时间与高电平、低电平时间之和的百分比。占空比违例指的是占空比超出反向时钟树允许的占空比范围。本发明实施例在STA工具中分析时钟信号占空比情况。
通常,反向时钟树允许的占空比范围为45%~55%。如果占空比大于55%则出现占空比过大违例,占空比小于45%则出现占空比过小违例。本发明实施例中的占空比违例包括占空比过大违例和占空比过小违例。其中占空比过大违例为占空比大于反向时钟树允许的最大占空比,如占空比大于55%;占空比过小违例为占空比小于反向时钟树允许的最小占空比,如占空比小于45%。
在本发明实施例的实际应用过程中,当出现占空比过大违例时,说明此时时钟树所采用的时钟反相器单元的高电平信号传输延时小于低电平信号传输延时。当出现占空比过小违例时,说明此时时钟树所采用的时钟反相器单元的高电平信号传输延时大于低电平信号传输延时。
本发明实施例在分析占空比违例情况时,会确定并记录每个时钟反相器单元的传输延时差异(即每个时钟反相器单元的高电平信号传输延时与低电平信号传输延时之间的差异)、以及在各时钟反相器单元的传输延时差异累积到第n对时钟反相器单元之后出现占空比违例,由此确定出现占空比违例的时钟反相器单元,n为正整数。
步骤103,将出现占空比违例的时钟反相器单元之前的一个或一对时钟反相器单元替换为时钟修复单元,所述时钟修复单元修正的传输延时差异等于被替换的一个或一对时钟反相器单元的传输延时差异与所述时钟修复单元的传输延时差异之和。
本发明实施例中的时钟修复单元为利用标准单元得到的,本发明实施例通过分析当前工作环境对应的工艺库中标准单元的传输延时信息,依据标准单元的高电平信号传输延时与低电平信号传输延时的差异,确定时钟修复单元。其中标准单元包括以下至少之一:缓冲器Buffer、反相器Inverter、与非门NAND、与门AND、或非门NOR、或门OR。实际应用中,通常只需分析缓冲器Buffer、反相器Inverter、与非门NAND、与门AND、或非门NOR、或门OR这六种标准单元就可以得到本发明所需要的时钟修复单元。
本发明实施例中的标准单元包括第一类标准单元和第二类标准单元,其中:
所述第一类标准单元的高电平信号传输延时大于低电平信号传输延时,时钟信号经过所述第一类标准单元时,时钟信号的占空比将增大;
所述第二类标准单元的高电平信号传输延时小于低电平信号传输延时,时钟信号经过所述第二类标准单元时,时钟信号的占空比将减小。
对于第一类标准单元,其输出的高电平信号传输延时大于低电平信号传输延时,因此时钟信号经过第一类标准单元时占空比将增大,由此第一类标准单元可用于修复时钟树占空比过小导致的违例。
对于第二类标准单元,其输出的高电平信号传输延时小于低电平信号传输延时,因此时钟信号经过第二类标准单元时占空比将减小,由此第二类标准单元可用于修复时钟树占空比过大导致的违例。
本发明实施例在分析工艺库中标准单元时,会记录分析所获取的标准单元的高电平信号传输延时与低电平信号传输延时的差异大小,通常专门设计的时钟缓冲器、时钟反相器的高低电平传输延时差异较小,而与非门、或非门、与门、或门的高低电平传输延时差异都比较明显,非常适合修复占空比违例问题。
在具体替换时钟反相器单元时,为保证正确的相位关系,时钟反相器单元替换为时钟修复单元时,需要注意时钟修复单元的输入输出信号关系。如果所述时钟修复单元的输出信号电平与输入信号电平相同,则将出现占空比违例的时钟反相器单元之前的一对时钟反相器单元替换为所述时钟修复单元,即时钟修复单元需要替换一对时钟反相器单元;如果所述时钟修复单元的输出信号电平与输入信号电平相反,则将出现占空比违例的时钟反相器单元之前的一个时钟反相器单元替换为所述时钟修复单元,即时钟修复单元需要替换一个时钟反相器单元。
本发明实施例提供的反向时钟树的实现方法,基于当前工作环境中各时钟反相器单元的传输延时差异以及确定出的出现占空比违例的时钟反相器单元,将出现占空比违例的时钟反相器单元之前的一个或一对时钟反相器单元替换为时钟修复单元,时钟修复单元修正的传输延时差异大小为被替换的时钟反相器单元的传输延时差异加上替换后的时钟修复单元的传输延时差异。由于时钟反相器单元的传输延时差异已知,修正后的传输延时差异完全由选定的时钟修正单元决定,即选择的标准单元的高电平信号传输延时与低电平信号传输延时差异决定,因此本发明可以精确设计修复占空比违例的大小,使得反向时钟树结构中时钟信号能够满足实际应用中的设计要求,提高设计性能。
作为本发明实施例的一种可选实现方式,时钟修复单元为利用标准单元得到,具体可以包括如下两种实现方式:
实现方式一:所述时钟修复单元为逻辑门与缓冲器的组合。
实现方式二:所述时钟修复单元为逻辑门。
所述逻辑门包括以下至少之一:与非门、与门、或非门、或门。
即,本发明实施例提供了两种具体的占空比违例修复方法,第一种方法是将时钟反相器单元替换为逻辑门与缓冲器的组合,第二种方法是将时钟反相器单元替换为逻辑门。
在反向时钟树中,由于时钟反相器单元的高电平、低电平延迟不匹配,时钟信号波形出现偏移,导致占空比出现违例,违例波形如图4、图5中clk_c所示。其中图4中clk_c为出现占空比过小违例的波形,图5中clk_c为出现占空比过大违例的波形。
(1)当时钟修复单元采用实现方式一实现时:
为解决占空比过小违例,在图6a中,将一对时钟反相器单元替换为或门、缓冲器的组合。在此结构中,时钟信号经过或门的两个输入时,延迟不同。高电平作为输入时,或门输出在经过或门延迟之后,随即由低电平转换为高电平,而低电平作为输入时,或门输出需要经过或门延迟与缓冲器延迟之后才会由高电平转换为低电平。这种差异可以有效的调节时钟信号占空比,并且可以通过调整缓冲器延迟,精确的调整需要增大的占空比大小。
为解决占空比过小违例,在图6b中,将单个时钟反相器单元替换为与非门、缓冲器的组合。在此结构中,时钟信号经过与非门的两个输入时,延迟不同。低电平作为输入时,与非门输出在经过与非门延迟之后,随即由低电平转换为高电平,而高电平作为输入时,与非门输出需要经过与非门延迟加上缓冲器延迟之后才会由高电平转换为低电平。这种差异可以有效的调节时钟占空比,并且可以通过调节缓冲器延迟,精确的调整需要增大的占空比大小。
为解决占空比过大违例,在图6c中,将单个时钟反相器单元替换为或非门、缓冲器的组合。在此结构中,时钟信号经过或非门的两个输入时,延迟不同。高电平作为输入时,或非门输出在经过或非门延迟之后,随即由高电平转换为低电平,而低电平作为输入时,或非门输出需要经过或非门延迟与缓冲器延迟之后才会由低电平转换为高电平。这种差异可以有效的调节时钟占空比,并且可以通过调整缓冲器延迟,精确的调整需要减小的占空比大小。
为解决占空比过大违例,在图6d中,将一对时钟反相器单元替换为与门、缓冲器的组合。在此结构中,时钟信号经过与门的两个输入时,延迟不同。低电平作为输入时,与门输出在经过与门延迟之后,随即由高电平转换为低电平,而高电平作为输入时,与门输出需要经过与门延迟加上缓冲器延迟之后才会由低电平转换为高电平。这种差异可以有效的调节时钟占空比,并且可以通过调节缓冲器延迟,精确的调整需要减小的占空比大小。
(2)当时钟修复单元采用实现方式二实现时:
可以结合图7a、7b、7c、7d所示,将时钟反相器单元替换为逻辑门单元。
本发明实施例已知与非门、或非门、与门、或门的高电平信号传输延时与低电平信号传输延时的差异,当高电平信号传输延时大于低电平信号传输延时时,该逻辑门单元可以用于修复占空比过小违例,当高电平信号传输延时小于低电平信号传输延时时,该逻辑门单元可以用于修复占空比过大违例。
需要说明的是,与非门、或非门只需替换一个时钟反相器单元,与门、或门需要替换一对时钟反相器单元。
在前述实施例的基础上,如图8所示,本发明实施例提供的反向时钟树的实现方法还包括:
步骤104,验证当前反向时钟树中各时钟反相器单元是否出现占空比违例。
如果所有时钟反相器单元均未出现占空比违例,则流程结束。如果存在出现占空比违例的时钟反相器单元,则返回步骤103,将本次出现占空比违例的时钟反相器单元之前的一个或一对时钟反相器单元替换为时钟修复单元。并于之后,再次执行步骤104,验证当前反向时钟树中各时钟反相器单元是否出现占空比违例的步骤,如此反复,直至当前反向时钟树中各时钟反相器单元均不出现占空比违例。
本发明实施例在完成时钟修复单元替换时钟反相器单元之后,需要进一步验证当前反向时钟树中各时钟反相器单元是否出现占空比违例。实际应用中,对于占空比违例较小的情况,采用本发明实施例提供的反向时钟树的实现方法,选定对应的时钟修正单元可以一次完成全部修正,即只需一次替换操作。如果最差占空比违例无法一次被修复,则通过重复步骤103和104,找出下一个被替换的时钟反相器单元,完成替换后检查占空比违例情况,直至满足设计要求。
本发明实施例通过将反向时钟树中部分时钟反相器单元替换为其他标准单元,改善了时钟信号质量和鲁棒性,解决了不同工作环境中时钟信号占空比的问题,使得反向时钟树结构中时钟信号能够满足各种实际应用中的设计要求,提高设计性能。
基于前文本发明实施例提供的一种反向时钟树的实现方法,本发明实施例还提供一种反向时钟树结构。该反向时钟树结构可以采用前文所述的反向时钟树的实现方法得到。
如图9所示,本发明实施例提供一种反向时钟树结构,包括:多级时序逻辑单元100和多级时钟缓冲电路200,其中一级时钟缓冲电路200对应一级时序逻辑单元100,一级时钟缓冲电路200为与其对应的一级时序逻辑单元100提供时钟信号。特别的,本发明实施例中多级时钟缓冲电路200中的每一级时钟缓冲电路200均包括至少一对时钟反相器单元210,至少部分时钟缓冲电路200还包括时钟修复单元220,同一级时钟缓冲电路200中的时钟修复单元220与时钟反相器单元210串联连接。
所述时钟修复单元220修正的传输延时差异,等于,与其同一级时钟缓冲电路200中被替换的一个或一对时钟反相器单元210的传输延时差异与所述时钟修复单元220的传输延时差异之和。
本发明实施例提供的反向时钟树结构包括多级时序逻辑单元100和多级时钟缓冲电路200(即多个时钟反相器单元210),数据流的走向为从左至右,时钟信号的走向为从右至左。特别的,本发明实施例提供的反向时钟树结构中至少部分时钟缓冲电路200还包括时钟修复单元220,相比于现有的反向时钟树结构,本发明实施例通过对现有的反向时钟树结构(即不包含时钟修复单元220的反向时钟树结构)进行分析,明确占空比违例情况,进而选择将部分时钟反相器单元210替换为时钟修复单元220,以达到修复占空比的目的。
本发明实施例通过对现有的反向时钟树结构进行改进,将反向时钟树中部分时钟反相器单元210替换为时钟修复单元220,提高了时钟信号质量和稳定性,消除了低压工作环境中时钟信号占空比的问题,使得反向时钟树结构中时钟信号能够满足实际应用中的设计要求,提高设计性能。
本发明实施例中的时钟修复单元220可以是一些特殊设计的单元或单元组合,以及一些逻辑门。作为本发明实施例的一种可选实现方式,本发明实施例中的时钟修复单元220可以为逻辑门与缓冲器的组合;或,时钟修复单元220可以为逻辑门。其中,所述逻辑门包括以下至少之一:与非门、与门、或非门、或门。
示例性地,如图6a至6d、7a至7d所示。图6a中时钟修复单元220为或门、缓冲器的组合;图6b中时钟修复单元220为与非门、缓冲器的组合;图6c中时钟修复单元220为或非门、缓冲器的组合;图6d中时钟修复单元220为与门、缓冲器的组合。图7a中时钟修复单元220为与非门;图7b中时钟修复单元220为或非门;图7c中时钟修复单元220为与门;图7d中时钟修复单元220为或门。
图7a至7d中,与非门、或非门只需替换一个时钟反相器单元,与门、或门单元需要替换一对时钟反相器单元。
为更充分说明本发明实施例提供的反向时钟树结构能够解决占空比违例问题,申请人进行了实验验证。图4和图5是基于现有的反向时钟树结构的违例波形图,由于时钟反相器的上升、下降延迟不匹配,时钟信号波形出现偏移,导致占空比出现违例,违例波形如图4、图5中的clk_c所示。采用本发明实施例提供的反向时钟树的实现方法得到的反向时钟树结构,如图10所示,经时钟修复单元220修复后,clk_c占空比没有出现违例情形。
以上所述是本发明的优选实施方式,应当指出,对于本技术领域的普通技术人员来说,在不脱离本发明所述原理的前提下,还可以作出若干改进和润饰,这些改进和润饰也应视为本发明的保护范围。

Claims (10)

1.一种反向时钟树的实现方法,其特征在于,包括:
依据时序逻辑级数确定反向时钟树的级数;其中每一级反向时钟树均包括至少一对时钟反相器单元;
确定当前工作环境中各时钟反相器单元的传输延时差异,以及确定出现占空比违例的时钟反相器单元;所述时钟反相器单元的传输延时差异为所述时钟反相器单元的高电平信号传输延时与低电平信号传输延时之间的差异;
将出现占空比违例的时钟反相器单元之前的一个或一对时钟反相器单元替换为时钟修复单元,所述时钟修复单元修正的传输延时差异等于被替换的一个或一对时钟反相器单元的传输延时差异与所述时钟修复单元的传输延时差异之和。
2.根据权利要求1所述的反向时钟树的实现方法,其特征在于,在将出现占空比违例的时钟反相器单元之前的一个或一对时钟反相器单元替换为时钟修复单元之后,所述反向时钟树的实现方法还包括:
验证当前反向时钟树中各时钟反相器单元是否出现占空比违例;
如果存在出现占空比违例的时钟反相器单元,则返回执行所述将出现占空比违例的时钟反相器单元之前的一个或一对时钟反相器单元替换为时钟修复单元的步骤,并于之后,再次执行所述验证当前反向时钟树中各时钟反相器单元是否出现占空比违例的步骤,如此反复,直至当前反向时钟树中各时钟反相器单元均不出现占空比违例。
3.根据权利要求1所述的反向时钟树的实现方法,其特征在于,将出现占空比违例的时钟反相器单元之前的一个或一对时钟反相器单元替换为时钟修复单元,包括:
如果所述时钟修复单元的输出信号电平与输入信号电平相同,则将出现占空比违例的时钟反相器单元之前的一对时钟反相器单元替换为所述时钟修复单元;
如果所述时钟修复单元的输出信号电平与输入信号电平相反,则将出现占空比违例的时钟反相器单元之前的一个时钟反相器单元替换为所述时钟修复单元。
4.根据权利要求1-3任一项所述的反向时钟树的实现方法,其特征在于,所述占空比违例包括占空比过大违例和占空比过小违例;
所述占空比过大违例为占空比大于反向时钟树允许的最大占空比;
所述占空比过小违例为占空比小于反向时钟树允许的最小占空比。
5.根据权利要求4所述的反向时钟树的实现方法,其特征在于,所述时钟修复单元为利用标准单元得到;所述标准单元包括第一类标准单元和第二类标准单元;
所述第一类标准单元的高电平信号传输延时大于低电平信号传输延时,时钟信号经过所述第一类标准单元时,时钟信号的占空比将增大;
所述第二类标准单元的高电平信号传输延时小于低电平信号传输延时,时钟信号经过所述第二类标准单元时,时钟信号的占空比将减小。
6.根据权利要求5所述的反向时钟树的实现方法,其特征在于,所述时钟修复单元为利用标准单元得到,包括:
所述时钟修复单元为逻辑门与缓冲器的组合;或,
所述时钟修复单元为逻辑门。
7.根据权利要求6所述的反向时钟树的实现方法,其特征在于,所述逻辑门包括以下至少之一:与非门、与门、或非门、或门。
8.一种反向时钟树结构,其特征在于,包括:多级时序逻辑单元和多级时钟缓冲电路,一级时钟缓冲电路对应一级时序逻辑单元,一级时钟缓冲电路为与其对应的一级时序逻辑单元提供时钟信号;
所述多级时钟缓冲电路中的每一级时钟缓冲电路均包括至少一对时钟反相器单元,至少部分时钟缓冲电路还包括时钟修复单元,同一级时钟缓冲电路中的时钟修复单元与时钟反相器单元串联连接;
所述时钟修复单元修正的传输延时差异,等于,与其同一级时钟缓冲电路中被替换的一个或一对时钟反相器单元的传输延时差异与所述时钟修复单元的传输延时差异之和。
9.根据权利要求8所述的反向时钟树结构,其特征在于,
所述时钟修复单元为逻辑门与缓冲器的组合;或,
所述时钟修复单元为逻辑门。
10.根据权利要求9所述的反向时钟树结构,其特征在于,所述逻辑门包括以下至少之一:与非门、与门、或非门、或门。
CN202310940528.6A 2023-07-28 2023-07-28 一种反向时钟树的实现方法及反向时钟树结构 Active CN116911248B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202310940528.6A CN116911248B (zh) 2023-07-28 2023-07-28 一种反向时钟树的实现方法及反向时钟树结构

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN202310940528.6A CN116911248B (zh) 2023-07-28 2023-07-28 一种反向时钟树的实现方法及反向时钟树结构

Publications (2)

Publication Number Publication Date
CN116911248A true CN116911248A (zh) 2023-10-20
CN116911248B CN116911248B (zh) 2024-08-09

Family

ID=88366577

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202310940528.6A Active CN116911248B (zh) 2023-07-28 2023-07-28 一种反向时钟树的实现方法及反向时钟树结构

Country Status (1)

Country Link
CN (1) CN116911248B (zh)

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6373288B1 (en) * 1997-06-20 2002-04-16 Stmicroelectronics S.R.L. Method of implementing clock trees in synchronous digital electronic circuits, and a programmable delay buffer stage therefor
US20060026539A1 (en) * 2004-07-28 2006-02-02 Alexander Tetelbaum Method of automated repair of crosstalk violations and timing violations in an integrated circuit design
CN114676658A (zh) * 2022-05-20 2022-06-28 飞腾信息技术有限公司 一种时序违例修复方法、装置、存储介质及电子设备
CN115796116A (zh) * 2023-01-30 2023-03-14 飞腾信息技术有限公司 一种集成电路优化方法、装置、存储介质及电子设备

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6373288B1 (en) * 1997-06-20 2002-04-16 Stmicroelectronics S.R.L. Method of implementing clock trees in synchronous digital electronic circuits, and a programmable delay buffer stage therefor
US20060026539A1 (en) * 2004-07-28 2006-02-02 Alexander Tetelbaum Method of automated repair of crosstalk violations and timing violations in an integrated circuit design
CN114676658A (zh) * 2022-05-20 2022-06-28 飞腾信息技术有限公司 一种时序违例修复方法、装置、存储介质及电子设备
CN115796116A (zh) * 2023-01-30 2023-03-14 飞腾信息技术有限公司 一种集成电路优化方法、装置、存储介质及电子设备

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
石柱等: ""40nm工艺下Mesh结构的时钟设计"", 《[1]石柱, 赵振宇, 蒋江, 等. 40NM工艺下MESH结构的时钟设计[C]//中国计算机学会.第十五届计算机工程与工艺年会暨第一届微处理器技术论坛论文集(A辑)》, 31 August 2011 (2011-08-31), pages 203 - 207 *

Also Published As

Publication number Publication date
CN116911248B (zh) 2024-08-09

Similar Documents

Publication Publication Date Title
CN112865781B (zh) 信号宽度修复电路、方法及电子设备
CN102339338B (zh) 一种时序修复方法
CN102456087B (zh) 一种建立时序修复方法
CN110619166B (zh) 一种低功耗时钟树的设计方法
CN115796093A (zh) 电路时序优化方法、装置、电子设备及存储介质
CN116776796A (zh) 一种电路时序修复的方法、计算机设备、存储介质
CN116911248B (zh) 一种反向时钟树的实现方法及反向时钟树结构
Wijayasekara et al. Equivalence verification for NULL Convention Logic (NCL) circuits
US20060031798A1 (en) Special engineering change order cells
JP2005093563A (ja) 半導体集積回路およびその設計方法
US11120189B2 (en) Single-ended-mode to mixed-mode transformer spice circuit model for high-speed system signal integrity simulations
CN116401988A (zh) 时序验证的方法、装置及存储介质
JP2009180532A (ja) 標準セルおよび半導体装置
CN114239475A (zh) 低频数模混合模块时钟结构及扫描链设计方法
US8869082B2 (en) Method and device for checking a circuit for adherence to set-up and hold times
CN103092729B (zh) 基于输入微调的余数校验容错高通/带通滤波处理方法
KR20220158413A (ko) 멤리스터와 mosfet을 이용한 3진법 논리 설계 방법, 이를 수행하기 위한 기록 매체 및 장치
US20050091620A1 (en) Simplified method for limiting clock pulse width
CN101145789B (zh) 高速维特比译码器幸存路径管理模块
CN114665866B (zh) 一种自时钟异步计数器
CN112491395B (zh) 单元电路
CN112016263B (zh) 一种实现数据延时均衡的方法
CN114696812B (zh) 一种自时钟异步状态机
US20080069558A1 (en) Static Pulsed Bus Circuit and Method Having Dynamic Power Supply Rail Selection
CN116088668B (zh) 一种超低功耗的时序错误预测芯片

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant